首页 / 国际专利分类库 / 物理 / 摄影术;电影术;电记录术;全息摄影术 / 图纹面的照相制版工艺,例如,印刷工艺、半导体器件的加工工艺;其所用材料;其所用原版;其所用专用设备 / 用于图纹面的照相制版的原版,例如掩膜,光掩膜,光罩;其所用空白掩膜或其所用薄膜;其专门适用于此的容器;其制备
子分类:
序号 专利名 申请号 申请日 公开(公告)号 公开(公告)日 发明人
201 METHOD OF PRODUCING SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND METHOD OF PRODUCING MULTI-CHIP MODULE EP01956897 2001-08-15 EP1310987A4 2008-04-30 TERASAWA TSUNEO; TANAKA TOSHIHIKO; MIYAZAKI KO; HASEGAWA NORIO; MORI KAZUTAKA
An exposure process conducted by properly using a photomask having a metal-made shielding pattern or a photomask (MR1) having a resin film-made shielding pattern (7a), as required by the number of exposure processes for a photomask.
202 Exposure method and apparatus EP06110470.9 2006-02-28 EP1698940A3 2007-12-05 YAMAZOE, Kenji

An exposure method for exposing a dense contact hole pattern onto a plate via a projection optical system includes the step of illuminating one of a binary mask and an attenuated phase shifting mask which one has the dense contact hole pattern by utilizing light from a light source and an illumination optical system, wherein the illuminating step uses an off-axis illumination that is polarized in a tangential direction when a value that is directed to half of a interval between centers of two adjacent contact holes in the dense contact hole pattern and is normalized by λ / NA is 0.25 × √2 or smaller, where λ is a wavelength of the light, and NA is a numerical aperture of the projection optical system at an image side.

203 Mask for laser induced thermal imaging (LITI) and LITI method using the same EP06254461.4 2006-08-25 EP1757978A2 2007-02-28 Kang, Tae-Min Samsung SDI Co., Ltd.; Lee, Jae-Ho Samsung SDI Co., Ltd.; Lee, Seong-Taek Samsung SDI Co., Ltd.

A mask for LITI and a LITI method using the same wherein the mask includes patterns arranged in a direction perpendicular to a beam scanning direction and are arranged so that increasingly longer patterns are located towards the edge of the mask than in or near the center, and having center axes aligned to corresponding center axes of image patterns formed on a substrate.

204 Exposure method for forming pattern for IC chips on reticle by use of master masks EP02007382.1 2002-04-09 EP1249733A3 2005-02-09 Kyoh, Suigen; Inoue, Soichi

There is provided a method which forms master masks used when a pattern of size larger than a region which can be exposed at one time is exposed on a to-be-exposed object (2). The pattern of the size larger than the region which can be exposed at one time is divided into a region (4) of low repetitiveness and a region (A) of high repetitiveness. A pattern of the region (4) of low repetitiveness is drawn on at least one first master mask (1-5, 1-6). Further, a pattern of the region (A) of high repetitiveness is drawn on at least one second master mask (1-1 to 1-4).

205 GENERATION OF PATTERN DATA FREE FROM ANY OVERLAPPING OR EXCESSIVE SEPARATION OF DOT PATTERNS ADJACENT TO EACH OTHER EP02767890.3 2002-09-05 EP1429159A1 2004-06-16 KANOH, Hiroshi,c/o NEC Corporation; SUZUKI, Teruaki,c/o NEC Corporation

A device is disclosed for generating pattern data for unevenness that is randomly arranged on the surface of the reflective substrate of a reflective liquid crystal display device. The number of coordinates, a basic pitch, a movable range, and a dot diameter are entered from a data entry unit. An array generation unit regularly arranges base coordinates in two dimensions in accordance with the basic pitch. Coordinate displacement unit randomly displaces within the movable range at a portion of the basic coordinates to generate a multiplicity of displaced coordinates. Pattern generation unit arranges dot patterns with the dot diameter entered at each of the displaced coordinates generated to generate pattern data.

206 Method of recording identifier and set of photomasks EP02019809.9 2002-09-05 EP1291722A3 2003-11-19 Hirooka, Taisuke

A method of recording identifiers, each including a group of character strings A and B including z1 and z2 characters, respectively, on plate members involves the use of a photomask of a first type and at least one photomask of a second type. The photomask of the first type has an opaque pattern defining the character string A. The photomask of the second type has an opaque pattern defining at least one of the characters of the character string B. The method further includes the steps of forming a photoresist layer on one of the plate members, selectively exposing the photoresist layer to a radiation through the photomask of the first type to form a latent image of the character string A in the photoresist layer, and forming a latent image of the character of the character string B in the photoresist layer through the photomask of the second type.

207 Method of recording identifier and set of photomasks EP02017649.1 2002-08-06 EP1286219A3 2003-11-19 Hirooka, Taisuke

A method of recording different identifiers, each including at least one character, on multiple plate-type members, involves the use of a photomask of a first type and at least two photomasks of a second type. The photomask of the first type has an opaque pattern that defines a blank region to write the identifier thereon. Each of the photomasks of the second type has an opaque pattern defining the at least one character. The method further includes the steps of forming a photoresist layer on the surface of one of the plate-type members, exposing the photoresist layer, except the blank region, to a radiation through the photomask of the first type, and forming a latent image of the at least one character in the blank region through at least one of the photomasks of the second type.

208 METHOD OF PRODUCING SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND METHOD OF PRODUCING MULTI-CHIP MODULE EP01956897.1 2001-08-15 EP1310987A1 2003-05-14 TERASAWA, Tsuneo, C/O Central Res. Lab.,; TANAKA, Toshihiko, C/O Central Res. Lab.,; MIYAZAKI, Ko, C/O Semiconductor & Integrated; HASEGAWA, Norio, C/O Device Dev. Center; MORI, Kazutaka, C/O Device Dev. Center,

Productivity of a semiconductor integrated circuit device is improved. According to how many times the photomask is used, a photomask having an opaque pattern made of metal and a photomask having an opaque pattern made of a resist film are properly used, and thereby an exposure treatment is performed.

209 Method of recording identifier and set of photomasks EP02019809.9 2002-09-05 EP1291722A2 2003-03-12 Hirooka, Taisuke

A method of recording identifiers, each including a group of character strings A and B including z1 and z2 characters, respectively, on plate members involves the use of a photomask of a first type and at least one photomask of a second type. The photomask of the first type has an opaque pattern defining the character string A. The photomask of the second type has an opaque pattern defining at least one of the characters of the character string B. The method further includes the steps of forming a photoresist layer on one of the plate members, selectively exposing the photoresist layer to a radiation through the photomask of the first type to form a latent image of the character string A in the photoresist layer, and forming a latent image of the character of the character string B in the photoresist layer through the photomask of the second type.

210 SYSTEM TO REDUCE HEAT-INDUCED DISTORTION OF PHOTOMASKS DURING LITHOGRAPHY EP00963637.4 2000-09-19 EP1131679A1 2001-09-12 SHAMOUN, Bassam; TROST, David
The present invention relates generally to methods, apparatus and materials to reduce or minimize the heating of a substrate (and associated distortions of the photomask) caused by electron-beam energy deposited in the substrate during patterning. Heating of the substrate is exacerbated by radiative transfer of infrared energy from the substrate to other nearby components of the e-beam apparatus followed by reflection or re-radiation of a portion of the energy back to the substrate. The present invention provides useful materials and methods for reducing such reflection or re-radiation effects, leading to temperature stability of the substrate, reduced thermal distortion and the possibility of increased patterning accuracy. The infrared absorbing materials of the present invention also possess sufficient electrical conductivity to dissipate scattered electrons residing on the material, and sufficient thermal conductivity to dissipate heat rapidly and not result in local heating or significant temperature rise of the absorber. The semiconducting material silicon carbide (SiC) is satisfactory for the practice of the present invention. Doped SiC having altered electrical conductivity may also be used. It is shown that emission and re-absorption from the uncoated face of the substrate dominates the substrate's temperature rise.
211 ELASTOMERIC MASK AND USE IN FABRICATION OF DEVICES, INLCUDING PIXELATED ELECTROLUMINESCENT DISPLAYS EP99918698.4 1999-04-20 EP1080394A1 2001-03-07 JACKMAN, Rebecca, J.; DUFFY, David, C.; WHITESIDES, George, M.; VAETH, Kathleen, M.; JENSEN, Klavs, F.
An elastomeric mask is provided that allows deposition of a variety of materials through mask openings. The mask seals effectively against substrate surfaces, allowing simple deposition from fluid phase, gas phase, and the like or removal of material using gaseous or liquid etchants. The mask then can be simply peeled from the surface of the substrate leaving the patterned material behind. Multi-layered mask techniques are described in which openings in an upper mask allow selected openings of a lower mask to remain unshielded, while other openings of the lower mask are shielded. A first deposition step, followed by re-orientation of the upper mask to expose a different set of lower mask openings, allows selective deposition of different materials in different openings of the lower mask. Pixelated organic electroluminescent devices are provided via the described technique.
212 Method of manufacturing a semiconductor device whereby photomasks comprising partial patterns are projected onto a photoresist layer so as to merge into one another EP94203522.1 1994-12-05 EP0657780A1 1995-06-14 Theuwissen, Albert Joseph Pierre

A method of manufacturing a semiconductor device whereby a photoresist layer (3) is provided on a surface (1) of a slice of semiconductor material (2), after which two photomasks (4, 5; 50, 51; 60, 61; 70, 71) corresponding to adjoining portions of a pattern (6) to be formed in the photoresist are projected on the photoresist by means of a projection lens, with overlapping edges (7, 8). Strip-shaped transparent end portions (10, 11; 52, 53; 62, 63; 72, 73) of the two photomasks which are situated within this edge and which overlap one another in projection are provided with strip-shaped connection patterns (30; 54, 55; 64, 65; 74, 75) which overlap one another in projection and which exhibit a complementary transmittance in projection. To keep the quantity of computer data necessary for describing the photomasks comparatively small, the strip-shaped transparent end portions of the two photomasks overlapping one another in projection are provided at their edges only with strip-shaped connection patterns overlapping one another in projection.

213 Method of manufacturing a photomask for an optical memory EP91311148.0 1991-11-29 EP0490547A1 1992-06-17 Hirokane, Junji; Inui, Tetsuya; Mieda, Michinobu; Ohta, Kenji

A method of manufacturing a photomask for an optical memory, the photomask having two types of pattern where guide tracks (1b) and formatting pits (1a) are different in amount of optical transmission, including the steps of (a) forming on a transparent substrate (1) a thin film (2) of which light transmission amount depends upon its thickness; (b) forming a photoresist film (3) on the thin film; (c) exposing (4, 5) the photoresist film to light with different light intensities depending selectively on the guide tracks or formatting pits; (d) eliminating the photoresist film exposed to the more intense light (4) by the development until the thin film is revealed; (e) etching the exposed thin film; (f) eliminating the photoresist film until the thin film in the areas which were exposed to the less intense light (5) is revealed; (g) etching away the thin film until the transparent substrate is revealed in the areas which were exposed to the more intense light (4); and (h) eliminating the remnant photoresist.

214 A method for forming plate characters in a half-tone gravure platemaking process EP90310458.6 1990-09-25 EP0477443A1 1992-04-01 Takakura, Kouichi

A method for forming plate characters in a half-tone gravure platemaking process wherein the laser beam is moved so that it will be irradiated on a light sensitive material for forming a plate character on the light-sensitive material by exposure. The plate character is made with a frame portion and a filling portion. The frame portion is formed with continuous groove-form cells which have a predetermined length and width and dot-form projections are formed in the groove-form cells for preventing ink flow. The filling portion is formed by dot-form cells which have a shadow-portion dot percentage.

215 Method of manufacturing a device and group of masks for this method EP90203311.7 1990-12-13 EP0434142A1 1991-06-26 Gemmink, Jan Willem; Geerts, Wilhelmus Hendrikus Maria; Dissel, Marcel

A method and group of masks for manufacturing a device whereby illumination of a photoresist layer (4) takes place by subsequent illumination through partial masks (1) and (2).

During these separate illuminations, complementary scales of grey are obtained in the connection region (7, 8) of the images (5, 6), the total illumination in the connection region (7, 8) being nevertheless complete.

According to the invention, good results are obtained when the partial masks (1) and (2) have complementary transparency gradients in the ends (9, 10) corresponding to the scales of grey. Figs. 1a and 1b.

216 POLYMER COMPOUND, NEGATIVE RESIST COMPOSITION, LAMINATE, PATTERNING PROCESS, AND COMPOUND EP17000111.9 2017-01-23 EP3203319A1 2017-08-09 Domon, Daisuke; Hasegawa, Koji; Masunaga, Keiichi; Kotake, Masaaki

The present invention provides a polymer compound containing a repeating unit shown by the following general formula (1). There can be provided a polymer compound usable in a negative resist composition that can achieve high resolution of 50 nm or less and small LER and cause very few defects, a negative resist composition using the polymer compound, and a patterning process using the negative resist composition.

217 MASK PLATE EP13852352 2013-04-12 EP2960717A4 2017-02-22 LI WUSHENG
A mask is disclosed. The mask includes a light-blocking region and a slit-like light-transmitting region. The slit includes two edges that are disposed oppositely to each other, and each of the edges is made up of a plurality of arcs.
218 MASK PLATE EP12880240 2012-12-04 EP2869120A4 2017-01-18 XIE ZHENYU; GUO JIAN
A mask plate is used for implementing a graphic structure with a narrower line width on a target substrate. The mask plate comprises a slit-shaped photic area and a lightproof area. An edge of the slit-shaped photic area is in a curve shape.
219 LIGHT PATTERN EXPOSURE METHOD EP16159201.9 2012-11-16 EP3048484A1 2016-07-27 YOSHIKAWA, Hiroki; INAZUKI, Yukio; KANEKO, Hideo; KOITABASHI, Ryuji; KOJIMA, Yosuke; HARAGUCHI, Takashi; HIROSE, Tomohito

A light pattern exposure method is by irradiating ArF excimer laser light to a resist film through a photomask. The photomask includes a transparent substrate and a pattern of optical film of a material comprising a transition metal, silicon, nitrogen and oxygen, with contents thereof falling in a specific range. The photomask may be irradiated with ArF excimer laser light in a cumulative dose of at least 10 kJ/cm2.

220 MASK EP12853235 2012-12-03 EP2787386A4 2016-01-13 SHIN BU GON
Provided are a mask, a method of manufacturing the same, a light irradiation device, a method of radiating light, and a method of manufacturing an orientationally ordered photo-alignment layer. By the mask, collimated light or nearly collimated light may be irradiated with uniform illumination to a surface of an object to be irradiated. Further, by the mask, light may be effectively incident even on the object to be irradiated in the curved shape.
QQ群二维码
意见反馈