首页 / 专利库 / 化学反应,工艺和试剂 / 离子注入 / 튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치

튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치

阅读:375发布:2024-01-28

专利汇可以提供튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치专利检索,专利查询,专利分析的服务。并且적어도제 1 가스주입존, 제 2 가스주입존 및제 3 가스주입존을통해도입된가스들로기판을프로세싱하기위한, 플라즈마프로세싱시스템의가스공급전달장치는복수의프로세스가스공급유입부들및 튜닝가스유입부들을포함한다. 혼합매니폴드는프로세스가스공급부와유체로연통하는가스스틱들및 튜닝가스공급부와유체로연통하는튜닝가스스틱들을포함한다. 제 1 가스유출부는제 1 가스주입존으로가스를전달하고, 제 2 가스유출부는제 2 가스주입존으로가스를전달하고, 제 3 가스유출부는제 3 가스주입존으로가스를전달한다. 가스스플리터는혼합매니폴드와유체로연통하고, 제 1 가스유출부로공급된제 1 혼합된가스및 제 2 가스유출부및/또는제 3 가스유출부로공급된제 2 혼합된가스로혼합매니폴드를나가는혼합된가스를분할하는제 1 밸브장치를포함한다.,下面是튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치专利的具体信息内容。

  • 반도체 기판이 적어도 제 1 가스 주입 존, 제 2 가스 주입 존 및 제 3 가스 주입 존을 통해 도입된 가스들로 프로세싱되는, 플라즈마 프로세싱 시스템의 챔버로 프로세스 가스를 공급하기 위한 가스 공급 전달 장치에 있어서,
    복수의 프로세스 가스 공급 유입부들 및 복수의 튜닝 가스 유입부들;
    복수의 가스 공급 스틱들을 포함하는 혼합 매니폴드로서, 상기 복수의 가스 공급 스틱들 각각은 각각의 프로세스 가스 공급부와의 유체 연통을 제공하도록 구성된, 상기 혼합 매니폴드;
    복수의 튜닝 가스 스틱들로서, 상기 복수의 튜닝 가스 스틱들 각각은 각각의 튜닝 가스 공급부와의 유체 연통을 제공하도록 구성되는, 상기 복수의 튜닝 가스 스틱들;
    상기 제 1 가스 주입 존으로 가스를 전달하도록 구성된 제 1 가스 유출부, 상기 제 2 가스 주입 존으로 가스를 전달하도록 구성된 제 2 가스 유출부, 및 상기 제 3 가스 주입 존으로 가스를 전달하도록 구성된 제 3 가스 유출부;
    상기 혼합 매니폴드와 유체로 연통하는 가스 스플리터로서, 상기 가스 스플리터는, 상기 제 1 가스 유출부로 공급될 수 있는 제 1 혼합된 가스 및 상기 제 2 가스 유출부 및/또는 상기 제 3 가스 유출부로 공급될 수 있는 제 2 혼합된 가스로 상기 혼합 매니폴드를 나가는 혼합된 가스를 분할하는 제 1 밸브 장치를 포함하는, 상기 가스 스플리터; 및
    상기 튜닝 가스 스틱들로부터 상기 제 1 가스 유출부, 상기 제 2 가스 유출부 및/또는 상기 제 3 가스 유출부로 튜닝 가스를 선택적으로 전달하는 제 2 밸브 장치를 포함하는, 가스 공급 전달 장치.
  • 제 1 항에 있어서,
    상기 제 2 밸브 장치는 상기 제 1 가스 유출부, 상기 제 2 가스 유출부, 상기 제 3 가스 유출부, 또는 이들의 조합과 유체로 연통하는 제 1 튜닝 가스 도관으로 제 1 튜닝 가스를 선택적으로 전달하는 제 1 세트의 밸브들, 상기 제 1 가스 유출부, 상기 제 2 가스 유출부, 상기 제 3 가스 유출부, 또는 이들의 조합과 유체로 연통하는 제 2 튜닝 가스 도관으로 제 2 튜닝 가스를 선택적으로 전달하는 제 2 세트의 밸브들, 상기 제 1 가스 유출부, 상기 제 2 가스 유출부, 상기 제 3 가스 유출부, 또는 이들의 조합과 유체로 연통하는 제 3 튜닝 가스 도관으로 제 3 튜닝 가스를 선택적으로 전달하는 제 3 세트의 밸브들, 및 상기 제 1 가스 유출부, 상기 제 2 가스 유출부, 상기 제 3 가스 유출부, 또는 이들의 조합과 유체로 연통하는 제 4 튜닝 가스 도관으로 제 4 튜닝 가스를 � �택적으로 전달하는 제 4 세트의 밸브들을 포함하는, 가스 공급 전달 장치.
  • 제 2 항에 있어서,
    상기 제 2 세트의 밸브들은 상기 제 1 튜닝 가스 스틱들, 상기 제 2 튜닝 가스 스틱들, 상기 제 3 튜닝 가스 스틱들 및 상기 제 4 튜닝 가스 스틱들을 상기 혼합 매니폴드 및/또는 퍼지 라인으로 선택적으로 연결하는 밸브들을 포함하는, 가스 공급 전달 장치.
  • 제 2 항에 있어서,
    상기 제 1 밸브 장치는 상기 제 1 혼합된 가스의 비를 정밀하게 제어하고 상기 제 1 혼합된 가스를 상기 제 1 가스 유출부로 전달하기 위한 중요 오리피스들을 갖는 제 1 밸브 세트, 상기 제 2 혼합된 가스의 비를 제어하고 상기 제 2 혼합된 가스를 상기 제 2 가스 유출부 및/또는 상기 제 3 가스 유출부로 전달하기 위한 중요 오리피스들을 갖는 제 2 밸브 세트, 및 상기 제 2 가스 유출부 및/또는 상기 제 3 가스 유출부로 상기 혼합된 가스를 전달하는 제 3 밸브 세트를 포함하는, 가스 공급 전달 장치.
  • 제 1 항에 있어서,
    상기 제 1 밸브 장치는 상기 제 1 가스 유출부로 상기 제 1 혼합된 가스만 그리고 상기 제 2 가스 유출부 및/또는 상기 제 3 가스 유출부로 상기 제 2 혼합된 가스만을 전달하는, 가스 공급 전달 장치.
  • 제 1 항에 기재된 가스 공급 전달 장치를 포함하는 플라즈마 프로세싱 시스템에 있어서,
    상기 플라즈마 프로세싱 시스템은 챔버, 기판 지지부로서 상부의 반도체 기판이 상기 챔버 내에서 프로세싱되는, 상기 기판 지지부, 상기 가스 공급 전달 시스템의 상기 가스 유출부들에 연결된 가스 주입 시스템, 목표된 진공 압력으로 상기 챔버를 유지하도록 동작가능한 진공 소스, 및 상기 챔버 내 가스를 플라즈마로 에너자이징하도록 (energize) 동작가능한 전력 공급부를 포함하고, 상기 가스 주입 시스템은 상기 가스 공급 전달 장치로부터 상기 반도체 기판 위의 적어도 제 1 존, 제 2 존 및 제 3 존으로 가스를 전달하는, 플라즈마 프로세싱 시스템.
  • 제 6 항에 있어서,
    상기 제 1 존은 상기 반도체 기판의 중심 존이고, 상기 제 2 존은 상기 중심 존을 둘러싸는 중간 존이고, 그리고 상기 제 3 존은 상기 중간 존을 둘러싸는 에지 존인, 플라즈마 프로세싱 시스템.
  • 제 6 항에 있어서,
    상기 챔버는 유도 결합 플라즈마 프로세싱 챔버이고, 상기 가스 주입 시스템은 가스 분배 플레이트인, 플라즈마 프로세싱 시스템.
  • 제 6 항에 있어서,
    상기 챔버는 용량 결합 플라즈마 프로세싱 챔버이고, 상기 가스 주입 시스템은 샤워헤트 전극인, 플라즈마 프로세싱 시스템.
  • 반도체 기판이 적어도 제 1 가스 주입 존, 제 2 가스 주입 존 및 제 3 가스 주입 존을 통해 도입된 가스들로 프로세싱되는, 플라즈마 프로세싱 시스템의 챔버로 프로세스 가스를 공급하기 위한 가스 공급 전달 장치를 사용하는 방법에 있어서, 상기 가스 공급 전달 장치는, 복수의 프로세스 가스 공급 유입부들 및 복수의 튜닝 가스 유입부들; 복수의 가스 공급 스틱들을 포함하는 혼합 매니폴드로서, 상기 복수의 가스 공급 스틱들 각각은 각각의 프로세스 가스 공급부와의 유체 연통을 제공하도록 구성된, 상기 혼합 매니폴드; 복수의 튜닝 가스 스틱들로서, 상기 복수의 튜닝 가스 스틱들 각각은 각각의 튜닝 가스 공급부와의 유체 연통을 제공하도록 구성되는, 상기 복수의 튜닝 가스 스틱들; 상기 제 1 가스 주입 존으로 가스를 전달하도록 구성된 제 1 가스 유출부, 상기 제 2 가스 주입 존으로 가스를 전달하도록 구성된 제 2 가스 유출부, 및 상기 제 3 가스 주입 존으로 가스를 전달하도록 구성된 제 3 가스 유출부; 상기 혼합 매니폴드와 유체로 연통하는 가스 스플리터로서, 상기 가스 스플리터는, 상기 제 1 가스 유출부로 공급될 수 있는 제 1 혼합된 가스 및 상기 제 2 가스 유출부 및/또는 상기 제 3 가스 유출부로 공급될 수 있는 제 2 혼합된 가스로 상기 혼합 매니폴드를 나가는 혼합된 가스를 분할하는 제 1 밸브 장치를 포함하는, 상기 가스 스플리터; 및 상기 튜닝 가스 스틱들로부터 상기 제 1 가스 유출부, 상기 제 2 가스 유출부 및/또는 상기 제 3 가스 유출부로 튜닝 가스를 선택적으로 전달하는 제 2 밸브 장치를 포함하고,
    상기 방법은,
    상기 제 1 혼합된 가스를 상기 제 1 가스 유출부로 전달하고 상기 제 2 혼합된 가스를 상기 제 2 가스 유출부 및/또는 상기 제 3 가스 유출부로 전달하도록 상기 제 1 밸브 장치를 동작시키는 단계; 및
    하나 이상의 튜닝 가스들을 상기 제 1 가스 유출부, 상기 제 2 가스 유출부 및/또는 상기 제 3 가스 유출부로 전달하도록 상기 제 2 밸브 장치를 동작시키는 단계를 포함하는, 가스 공급 전달 장치를 사용하는 방법.
  • 제 10 항에 있어서,
    상기 제 2 밸브 장치는 상기 제 1 가스 유출부, 상기 제 2 가스 유출부, 상기 제 3 가스 유출부, 또는 이들의 조합과 유체로 연통하는 제 1 튜닝 가스 도관으로 제 1 튜닝 가스를 선택적으로 전달하는 제 1 세트의 밸브들, 상기 제 1 가스 유출부, 상기 제 2 가스 유출부, 상기 제 3 가스 유출부, 또는 이들의 조합과 유체로 연통하는 제 2 튜닝 가스 도관으로 제 2 제 1 튜닝 가스를 선택적으로 전달하는 제 2 세트의 밸브들, 상기 제 1 가스 유출부, 상기 제 2 가스 유출부, 상기 제 3 가스 유출부, 또는 이들의 조합과 유체로 연통하는 제 3 튜닝 가스 도관으로 제 3 튜닝 가스를 선택적으로 전달하는 제 3 세트의 밸브들, 및 상기 제 1 가스 유출부, 상기 제 2 가스 유출부, 상기 제 3 가스 유출부, 또는 이들의 조합과 유체로 연통하는 제 4 튜닝 가스 도관으로 제 4 튜닝 가스 를 선택적으로 전달하는 제 4 세트의 밸브들을 포함하고,
    상기 방법은, 상기 가스 스플리터를 바이패스하는 (bypass) 동안 상기 제 1 가스 유출부, 상기 제 2 가스 유출부 및/또는 상기 제 3 가스 유출부로 상기 튜닝 가스 중 적어도 하나를 전달하는 단계를 포함하는, 가스 공급 전달 장치를 사용하는 방법.
  • 제 11 항에 있어서,
    상기 제 2 세트의 밸브들은 상기 제 1 튜닝 가스 스틱들, 상기 제 2 튜닝 가스 스틱들, 상기 제 3 튜닝 가스 스틱들 및 상기 제 4 튜닝 가스 스틱들을 상기 혼합 매니폴드 및/또는 퍼지 라인으로 선택적으로 연결하는 밸브들을 포함하고, 상기 방법은 튜닝 가스 각각을 상기 퍼지 라인 또는 상기 혼합 매니폴드로 전달하는 단계를 포함하는, 가스 공급 전달 장치를 사용하는 방법.
  • 제 11 항에 있어서,
    상기 제 1 밸브 장치는 상기 제 1 혼합된 가스의 비를 정밀하게 제어하고 상기 제 1 혼합된 가스를 상기 제 1 가스 유출부로 전달하기 위한 중요 오리피스들을 갖는 제 1 밸브 세트, 상기 제 2 혼합된 가스의 비를 제어하고 상기 제 2 혼합된 가스를 상기 제 2 가스 유출부 및/또는 상기 제 3 가스 유출부로 전달하기 위한 중요 오리피스들을 갖는 제 2 밸브 세트, 및 상기 제 2 가스 유출부 및/또는 상기 제 3 가스 유출부로 상기 혼합된 가스를 전달하는 제 3 밸브 세트를 포함하고,
    상기 방법은 상기 제 1 혼합된 가스를 상기 제 1 가스 유출부로 전달하도록 상기 제 1 밸브 세트를 동작시키는 단계 및 상기 제 2 혼합된 가스를 상기 제 2 가스 유출부 및/또는 상기 제 3 가스 유출부로 전달하도록 상기 제 2 밸브 세트를 동작시키는 단계를 포함하는, 가스 공급 전달 장치를 사용하는 방법.
  • 제 10 항에 있어서,
    제어기가 상기 제 1 혼합된 가스는 상기 제 1 가스 유출부로만 전달되고 그리고 상기 제 2 혼합된 가스는 상기 제 2 가스 유출부 및/또는 상기 제 3 가스 유출부로만 전달되도록 상기 제 1 밸브 장치의 밸브들을 동작시키는, 가스 공급 전달 장치를 사용하는 방법.
  • 제 10 항에 있어서,
    상기 플라즈마 프로세싱 시스템은 챔버, 기판 지지부로서 상부의 반도체 기판이 상기 챔버 내에서 프로세싱되는, 상기 기판 지지부, 상기 가스 공급 전달 시스템의 상기 가스 유출부들에 연결된 가스 주입 시스템, 목표된 진공 압력으로 상기 챔버를 유지하도록 동작가능한 진공 소스, 및 상기 챔버 내 가스를 플라즈마로 에너자이징하도록 (energize) 동작가능한 전력 공급부를 포함하고, 상기 가스 주입 시스템은 상기 가스 공급 전달 장치로부터 상기 반도체 기판 위의 적어도 제 1 존, 제 2 존 및 제 3 존으로 가스를 전달하고,
    상기 방법은 상기 제 1 존, 상기 제 2 존 및 상기 제 3 존으로 프로세스 가스 및 튜닝 가스를 전달하도록 상기 제 1 밸브 장치 및 상기 제 2 밸브 장치를 동작시키는 단계를 포함하는, 가스 공급 전달 장치를 사용하는 방법.
  • 제 15 항에 있어서,
    상기 제 1 존은 상기 반도체 기판의 중심 존이고, 상기 제 2 존은 상기 중심 존을 둘러싸는 중간 존이고, 그리고 상기 제 3 존은 상기 중간 존을 둘러싸는 에지 존이고,
    상기 방법은 상기 튜닝 가스 및 상기 혼합된 가스를 상기 중심 존, 상기 중간 존 및 상기 에지 존으로 전달하는 단계를 포함하는, 가스 공급 전달 장치를 사용하는 방법.
  • 제 15 항에 있어서,
    상기 챔버는 유도 결합 플라즈마 프로세싱 챔버이고, 상기 가스 주입 시스템은 가스 분배 플레이트이고,
    상기 방법은 안테나를 에너자이징하고 그리고 상기 챔버 내로 RF 에너지를 커플링함으로써 상기 챔버 내에서 플라즈마를 생성하는 단계를 포함하는, 가스 공급 전달 장치를 사용하는 방법.
  • 제 15 항에 있어서,
    상기 챔버는 용량 결합 플라즈마 프로세싱 챔버이고, 상기 가스 주입 시스템은 샤워헤트 전극이고,
    상기 방법은 RF 에너지를 상기 샤워헤드 전극에 공급함으로써 상기 챔버 내에서 플라즈마를 생성하는 단계를 포함하는, 가스 공급 전달 장치를 사용하는 방법.
  • 제 6 항에 기재된 반도체 기판 프로세싱 장치에서 웨이퍼 프로세싱 균일도를 최적화하는 방법에 있어서,
    상기 방법은,
    상기 가스 스플리터에 의해 가스 조성 및/또는 플로우 레이트가 제어되는 프로세스 레시피에 따라 상기 복수의 가스 주입 존들 각각을 통해 가스 조성 및 플로우 레이트들을 공급하는 동안 상기 반도체 기판 프로세싱 장치 내에서 테스트 웨이퍼를 에칭하는 단계;
    상기 테스트 웨이퍼의 표면에 걸쳐 각각의 상기 존들의 에칭 레이트를 결정하도록 상기 테스트 웨이퍼의 상기 표면의 에칭 균일도를 측정하는 단계;
    상기 프로세스 레시피를 수정하는 단계로서, 상기 가스 조성 및/또는 플로우 레이트가 상기 하나 이상의 가스 주입 존들에서 조정되고, 상기 가스 스플리터에 의해 상기 가스 조성 및/또는 플로우 레이트가 제어되는, 상기 프로세스 레시피를 수정하는 단계;
    상기 수정된 프로세스 레시피에 따라 상기 하나 이상의 가스 주입 존들의 상기 조정된 가스 조성 및/또는 플로우 레이트를 공급하는 동안 상기 반도체 기판 프로세싱 장치 내에서 테스트 웨이퍼를 에칭하는 단계;
    상기 테스트 웨이퍼의 표면에 걸쳐 각각의 상기 존들의 에칭 레이트를 결정하도록 상기 테스트 웨이퍼의 상기 표면의 균일도를 측정하는 단계; 및
    상기 에칭 균일도가 목표된 오차 내인지 여부를 결정하는 단계를 포함하는, 웨이퍼 프로세싱 균일도를 최적화하는 방법.
  • 제 19 항에 있어서,
    상기 결정된 균일도가 목표된 오차 내라면 상기 하나 이상의 가스 주입 존들의 상기 조정된 가스 조성 및/또는 플로우 레이트로 기판들의 배치 (batch) 를 에칭하는 단계를 더 포함하는, 웨이퍼 프로세싱 균일도를 최적화하는 방법.
  • 제 19 항에 있어서,
    상기 하나 이상의 가스 주입 존들에서 상기 가스 조성 및/또는 플로우 레이트를 수정하는 단계로서, 상기 가스 조성 및/또는 플로우 레이트는 상기 가스 스플리터에 의해 제어되는, 상기 수정하는 단계;
    상기 수정된 프로세스 레시피에 따라 상기 하나 이상의 가스 주입 존들의 상기 조정된 가스 조성 및/또는 플로우 레이트를 공급하는 동안 상기 반도체 기판 프로세싱 장치 내에서 테스트 웨이퍼를 에칭하는 단계;
    상기 테스트 웨이퍼의 상기 표면에 걸친 각각의 존들의 상기 프로세싱 레이트를 결정하도록 상기 테스트 웨이퍼의 상기 표면의 상기 에칭 균일도를 측정하는 단계; 및
    상기 균일도가 목표된 오차 내인지 여부를 결정하는 단계를 더 포함하는, 웨이퍼 프로세싱 균일도를 최적화하는 방법.
  • 반도체 기판 프로세싱 장치의 가스 공급 전달 장치에 있어서,
    복수의 가스 소스들과 유체로 연통하는 가스 패널;
    상기 가스 패널을 통한 각각의 가스 소스로부터의 가스 공급을 제어하도록 동작가능한 가스 소스 각각을 위한 각각의 질량 유량 제어기; 및
    상기 가스 패널에 부착된 가스 스플리터로서, 상기 가스 스플리터는 상기 반도체 기판 프로세싱 장치의 프로세스 챔버의 각각의 가스 주입 존들과 유체로 연통하도록 구성된 복수의 가스 존 피드들과 유체로 연통하고, 상기 가스 스플리터는 상기 가스 소스들의 각각의 소스들로부터 상기 가스 패널을 통해 공급된 하나 이상의 가스들을 수용하고 그리고 수용되어 상기 복수의 가스 존 피드들의 각각의 피드들로 공급된 상기 하나 이상의 가스들의 비를 제어하도록 동작가능한, 상기 가스 스플리터를 포함하는, 가스 공급 전달 장치.
  • 제 22 항에 있어서,
    상기 가스 스플리터는 수용된 가스의 상기 복수의 가스 존 피드들의 각각의 피드들로의 상기 공급의 상기 플로우 레이트를 제어하도록 동작가능한 11 개의 밸브들을 포함하고, 밸브 각각은, 상기 밸브 각각과 연관된 각각의 오리피스를 통해 가스의 공급이 흐르도록 개방되거나 폐쇄되도록 동작가능하고, 오리피스 각각은 각각의 오리피스 각각에 공급된 상기 가스의 플로우 레이트를 제어하도록 미리 결정된 단면적을 갖는, 가스 공급 전달 장치.
  • 제 22 항에 있어서,
    상기 가스 스플리터로 공급된 가스의 압력을 측정하도록 동작가능한 상기 가스 스플리터의 업스트림에 하나 이상의 마노미터들을 더 포함하는, 가스 공급 전달 장치.
  • 제 22 항에 있어서,
    상기 복수의 가스 소스들은 프로세스 가스 소스, 불활성 가스 소스, 튜닝 가스 소스, 또는 이들의 조합을 포함하는, 가스 공급 전달 장치.
  • 제 23 항에 있어서,
    상기 밸브들은 연관된 오리피스들의 업스트림에 위치되는, 가스 공급 전달 장치.
  • 샤워헤드 전극 어셈블리를 포함하는 플라즈마 프로세싱 챔버, 및
    제 22 항에 기재된 가스 분배 시스템을 포함하고,
    상기 복수의 가스 존 피드들 각각은 상기 샤워헤드 전극 어셈블리의 각각의 가스 주입 존과 유체로 연통하는, 반도체 프로세싱 장치.
  • 제 27 항에 있어서,
    (a) 상기 플라즈마 프로세싱 장치에 의해 수행된 프로세스를 제어하도록 동작가능한 제어기; 및
    (b) 상기 가스 분배 시스템의 제어를 위한 프로그램 인스트럭션들을 포함하는 비일시적인 컴퓨터 머신-판독가능 매체를 더 포함하는, 반도체 기판 프로세싱 장치.
  • 제 27 항에 있어서,
    중심 가스 주입 존, 중간 가스 주입 존, 및 에지 가스 주입 존을 더 포함하는, 반도체 기판 프로세싱 장치.
  • 제 27 항에 기재된 반도체 기판 프로세싱 장치 내에서 반도체 기판을 프로세싱하는 방법에 있어서,
    상기 방법은,
    상기 복수의 프로세스 가스 소스들로부터 상기 가스 스플리터로 하나 이상의 가스들을 공급하는 단계;
    상기 가스 스플리터의 밸브들의 조합을 개방 및/또는 폐쇄함으로써 상기 복수의 가스 존 피드들에 공급된 가스의 비를 제어하는 단계;
    상기 샤워헤드 전극 어셈블리의 각각의 가스 주입 존들로 상기 복수의 가스 존 피드들을 통해 가스를 공급하는 단계; 및
    상기 반도체 기판의 상기 표면 위의 상기 샤워헤드 전극 어셈블리의 상기 각각의 가스 주입 존들을 통해 가스를 공급함으로써 상기 반도체 기판을 프로세싱하는 단계로서, 상기 각각의 가스 주입 존들은 상기 반도체 기판의 상기 기판에 걸친 존들에 대응하고 각각의 가스 주입 존 각각에 공급된 가스의 비는 목표된 균일도로 상기 반도체 기판을 프로세싱하도록 동작가능한, 상기 반도체 기판을 프로세싱하는 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  • 제 30 항에 있어서,
    그리고 상기 가스 스플리터를 사용하여 상기 가스의 조성을 제어하는 단계로서, 상기 가스 스플리터는 상기 가스에 하나 이상의 튜닝 가스들을 첨가하는, 반도체 기판을 프로세싱하는 방법.
  • 说明书全文

    튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치{GAS SUPPLY DELIVERY ARRANGEMENT INCLUDING A GAS SPLITTER FOR TUNABLE GAS FLOW CONTROL}

    반도체 구조체들은 플라즈마 프로세싱 챔버, 챔버 내로 프로세스 가스를 공급하는 가스 소스, 및 프로세스 가스로부터 플라즈마를 생성하는 에너지 소스를 포함하는 플라즈마 프로세싱 장치들 내에서 프로세싱된다. 반도체 구조체들은 금속, 유전체 및 반도체 재료들의 건식 에칭 프로세스들, CVD (chemical vapor deposition), PVD (physical vapor deposition) 또는 PECVD (plasma-enhanced chemical vapor deposition) 와 같은 증착 프로세스들 및 레지스트 스트립핑 프로세스들을 포함하는 기법들에 의해 이러한 장치들에서 프로세싱된다. 상이한 프로세스 가스들이 이들 프로세싱 기법들, 뿐만 아니라 반도체 구조체들의 상이한 재료들을 프로세싱하는데 사용된다.

    반도체 기판이 적어도 제 1 가스 주입 존, 제 2 가스 주입 존 및 제 3 가스 주입 존을 통해 도입된 가스들로 프로세싱되는, 플라즈마 프로세싱 시스템의 챔버로 프로세스 가스를 공급하기 위한 가스 공급 전달 장치가 본 명세서에 개시된다. 가스 공급 전달 장치는 복수의 프로세스 가스 공급 유입부들 및 복수의 튜닝 가스 유입부들을 포함한다. 혼합 매니폴드가 복수의 가스 공급 스틱들 및 복수의 튜닝 가스 스틱들을 포함하고, 복수의 가스 공급 스틱들 각각은 각각의 프로세스 가스 공급부와의 유체 연통을 제공하도록 구성되고, 복수의 튜닝 가스 스틱들 각각은 각각의 튜닝 가스 공급부와의 유체 연통을 제공하도록 구성된다. 제 1 가스 유출부가 제 1 가스 주입 존으로 가스를 전달하도록 구성되고, 제 2 가스 유출부가 제 2 가스 주입 존으로 가스를 전달하도록 구성되고, 그리고 제 3 가스 유출부가 제 3 가스 주입 존으로 가스를 전달하도록 구성된다. 가스 스플리터는 혼합 매니폴드와 유체로 연통하고, 제 1 가스 유출부로 공급될 수 있는 제 1 혼합된 가스 및 제 2 가스 유출부 및/또는 제 3 가스 유출부로 공급될 수 있는 제 2 혼합된 가스로 혼합 매니폴드를 나가는 혼합된 가스를 분할하는 제 1 밸브 장치를 포함한다. 제 2 밸브 장치는 튜닝 가스 스틱들로부터 제 1 가스 유출부, 제 2 가스 유출부 및/또는 제 3 가스 유출부로 튜닝 가스를 선택적으로 전달한다.

    또한 반도체 기판이 적어도 제 1 가스 주입 존, 제 2 가스 주입 존 및 제 3 가스 주입 존을 통해 도입된 가스들로 프로세싱되는, 플라즈마 프로세싱 시스템의 챔버로 프로세스 가스를 공급하기 위한 가스 공급 전달 장치를 사용하는 방법이 본 명세서에 개시된다. 가스 공급 전달 장치는 복수의 프로세스 가스 공급 유입부들 및 복수의 튜닝 가스 유입부들; 복수의 가스 공급 스틱들을 포함하는 혼합 매니폴드로서, 복수의 가스 공급 스틱들 각각은 각각의 프로세스 가스 공급부와의 유체 연통을 제공하도록 구성된, 혼합 매니폴드; 복수의 튜닝 가스 스틱들로서, 복수의 튜닝 가스 스틱들 각각은 각각의 튜닝 가스 공급부와의 유체 연통을 제공하도록 구성되는, 복수의 튜닝 가스 스틱들; 제 1 가스 주입 존으로 가스를 전달하도록 구성된 제 1 가스 유출부, 제 2 가스 주입 존으로 가스를 전달하도록 구성된 제 2 가스 유출부, 및 제 3 가스 주입 존으로 가스를 전달하도록 구성된 제 3 가스 유출부; 혼합 매니폴드와 유체로 연통하는 가스 스플리터로서, 가스 스플리터는, 제 1 가스 유출부로 공급될 수 있는 제 1 혼합된 가스 및 제 2 가스 유출부 및/또는 제 3 가스 유출부로 공급될 수 있는 제 2 혼합된 가스로 혼합 매니폴드를 나가는 혼합된 가스를 분할하는 제 1 밸브 장치를 포함하는, 가스 스플리터; 및 튜닝 가스 스틱들로부터 제 1 가스 유출부, 제 2 가스 유출부 및/또는 제 3 가스 유출부로 튜닝 가스를 선택적으로 전달하는 제 2 밸브 장치를 포함한다. 방법은, 제 1 혼합된 가스를 제 1 가스 유출부로 전달하고 제 2 혼합된 가스를 제 2 가스 유출부 및/또는 제 3 가스 유출부로 전달하도록 제 1 밸브 장치를 동작시키는 단계; 및 하나 이상의 튜닝 가스들을 제 1 가스 유출부, 제 2 가스 유출부 및/또는 제 3 가스 유출부로 전달하도록 제 2 밸브 장치를 동작시키는 단계를 포함한다.

    복수의 가스 소스들과 유체로 연통하는 가스 패널; 가스 패널을 통한 각각의 가스 소스로부터의 가스 공급을 제어하도록 동작가능한 가스 소스 각각을 위한 각각의 질량 유량 제어기; 및 가스 패널에 부착된 가스 스플리터로서, 가스 스플리터는 반도체 기판 프로세싱 장치의 프로세스 챔버의 각각의 가스 주입 존들과 유체로 연통하도록 구성된 복수의 가스 존 피드들과 유체로 연통하고, 가스 스플리터는 가스 소스들의 각각의 소스들로부터 가스 패널을 통해 공급된 하나 이상의 가스들을 수용하고 그리고 수용되어 복수의 가스 존 피드들의 각각의 피드들로 공급된 하나 이상의 가스들의 비를 제어하도록 동작가능한, 가스 스플리터를 포함하는, 반도체 기판 프로세싱 장치의 가스 공급 전달 장치가 본 명세서에 더 개시된다.

    도 1은 가스 공급 전달 장치의 바람직한 실시예들과 함께 사용될 수 있는 반도체 기판 프로세싱 장치의 예시적인 실시예의 단면도이다.
    도 2는 멀티-존 가스 플로우 스플리터를 포함하는 가스 공급 전달 장치의 가스 패널의 부분의 등각도를 예시한다.
    도 3은 혼합 매니폴드 및 가스 스플리터를 포함하는 가스 공급 전달 장치의 개략도를 도시한다.
    도 4는 중심 주입 존, 중간 주입 존, 및 에지 주입 존을 통해 제어되고 튜닝된 프로세스 가스를 수용하는 반도체 기판의 개략도를 예시한다.
    도 5는 웨이퍼를 균일하게 프로세싱하도록 가스 조성 및 플로우 레이트를 결정하는 방법의 플로우 차트를 도시한다.

    관련 출원의 교차 참조

    본 출원은 35 USC §119(e) 하에서, 2014년 10월 17일 출원되고, 전체 내용이 참조로서 본 명세서에 인용된 미국 가출원 번호 제 62/065,497 호에 대한 우선권을 주장한다.

    반도체 기판들, 예를 들어, 실리콘 웨이퍼들 상에 형성된 반도체 디바이스들과 같은 반도체 재료들을 프로세싱하기 위한 플라즈마 프로세싱 장치들과 같은 반도체 기판 프로세싱 장치는 플라즈마 프로세싱 챔버 또는 진공 챔버 및 플라즈마 프로세싱 챔버 내로 프로세스 가스를 공급하는 가스 공급 전달 장치를 포함할 수 있다. 가스 공급 전달 장치는 플라즈마 프로세싱 동안 반도체 기판의 표면에 걸쳐 복수의 영역들 (또는 존들) 로, 반도체 기판의 표면에 걸친 각각의 존들에 복수의 가스 플로우 피드들을 통해 가스를 분배할 수 있다. 가스 공급 전달 장치는 존들로의 동일한 프로세스 가스 또는 상이한 프로세스 가스들 또는 동일하거나 상이한 가스 혼합물의 유량비 (flow ratio) 를 제어하여, 프로세스 내에서 또는 프로세스 사이에서 가스 플로우 및 가스 조성의 기판에 걸친 균일도의 조정 및 따라서 기판 프로세싱의 균일도의 조정을 허용하는 플로우 제어기들을 포함할 수 있다.

    복수-존 가스 공급 전달 장치들이 단일-존 시스템과 비교하여 개선된 플로우 제어를 제공할 수 있지만, 중심 영역, 중간 영역, 또는 에지 영역을 포함할 수도 있는 방사상 영역들과 같은 각각의 존 각각에 대응하는 반도체 기판의 영역에서 에칭 또는 증착 레이트를 제어하도록 가스 조성이 튜닝될 수 있고 그리고/또는 가스 플로우 레이트가 각각의 존 각각에서 미세하게 조정될 수 있는 반도체 기판 프로세싱 동작들을 허용하는 장치를 갖는 이러한 복수-존 시스템들을 제공하는 것이 바람직할 수도 있다.

    멀티-존 분배 시스템은 진공 또는 프로세스 챔버로 상이한 가스 조성들 및/또는 가스의 플로우 레이트들을 공급하기 위해 제공된다. 바람직한 실시예에서, 가스 공급 전달 장치는 플라즈마 프로세싱 장치의 플라즈마 프로세싱 챔버와 같은 진공 챔버의 내부와 유체로 연통하도록 그리고 프로세싱 동작들 동안 진공 챔버의 각각의 존들로 상이한 가스 화학물질들 및/또는 가스 플로우 레이트들을 공급하는 능력을 제공하도록 구성된다. 예를 들어, 프로세스 가스는 유도 결합 플라즈마 챔버의 가스 분배 플레이트의 복수의 존들, 샤워헤드 전극 어셈블리의 샤워헤드 전극, 멀티-존 가스 주입기, 측면 가스 주입기들, 가스 링 또는 이하에 기술된 바와 같이 반도체 기판의 상부 표면에 걸친 각각의 존들 또는 영역들로 가스를 전달하는 다른 적합한 장치를 통해 공급될 수 있다.

    플라즈마 프로세싱 장치는 플라즈마를 생성하기 위해 RF 에너지, 마이크로파 에너지, 자기장, 등을 사용하는 에너지 소스를 포함하는 저밀도, 중밀도, 또는 고밀도 플라즈마 반응기일 수 있다. 예를 들어, 고밀도 플라즈마는 또한 유도 결합 플라즈마 챔버, ECR (electron-cyclotron resonance) 플라즈마 반응기, 용량성-타입 방전 반응기, 용량 결합 플라즈마 프로세싱 챔버, 등으로 또한 공지된, TCP™ (transformer coupled plasma) 반응기 내에서 생성될 수 있다. 가스 공급 전달 장치의 실시예들에 사용될 수 있는 예시적인 플라즈마 반응기들은 California, Fremont 소재의 Lam Research Corporation으로부터 입수가능한 2300 Excelan™ 플라즈마 반응기와 같은, Exelan™ 플라즈마 반응기들을 포함한다. 일 실시예에서, 본 명세서에 개시된 바와 같은 플라즈마 프로세싱 시스템은 가스 주입 시스템이 가스 분배 플레이트인 유도 결합 플라즈마 프로세싱 챔버인 챔버를 포함할 수 있고, 또는 대안적으로, 챔버는 가스 분배 시스템이 샤워헤드 전극일 수도 있는 용량 결합 플라즈마 프로세싱 챔버이다. 플라즈마 에칭 프로세스들 동안, 복수의 주파수들이 전극 및 정전 척을 포함하는 기판 지지부에 적용될 수 있다. 대안적으로, 듀얼-주파수 플라즈마 반응기들에서, 상이한 주파수들이 기판 지지부 및 전극, 예컨대 플라즈마 생성 영역을 규정하도록 반도체 기판으로부터 이격된 샤워헤드 전극에 적용될 수 있다.

    바람직하게, 가스 공급 전달 장치는 플라즈마 프로세싱 동안 반도체 기판의 표면에 걸친 각각의 존들로 가스를 분배하도록 플라즈마 프로세싱 챔버의 복수의 주입 존들에 제어가능한 그리고 튜닝가능한 가스 전달을 제공할 수 있다. 본 명세서에서 사용된 바와 같은, 가스 또는 가스 혼합물은 하나 이상의 프로세스 가스들, 하나 이상의 불활성 가스들, 하나 이상의 튜닝 가스들 또는 이들의 조합을 지칭할 수도 있다. 예를 들어, 샤워헤드 전극 어셈블리의 중심 존, 중심 존을 둘러싸는 중간 존, 및 중간 존을 둘러싸는 에지 존과 같은 제 1 존, 제 2 존 및 제 3 존으로의 멀티-존 가스 피드들은 각각의 존들로의 가스 조성 및/또는 가스 전달의 플로우 레이트가 제어되고 튜닝될 때 플라즈마 프로세싱 동안 플라즈마 프로세싱 챔버 내에서 에칭되는 반도체 기판의 중심, 중간, 그리고 에지 에칭 레이트 균일도를 개선할 수 있다.

    본 명세서에 개시된 바와 같이, 가스 공급 전달 장치는 하나 이상의 각각의 가스 공급부들, 하나 이상의 압력 트랜스튜서들 및/또는 레귤레이터들, 히터들, 하나 이상의 필터들 또는 정제기들 (purifier) 및 셧오프 밸브들과 유체로 연통하는 하나 이상의 MFC들 (mass flow controller) 과 같은 일련의 가스 분배 및 제어 컴포넌트들을 포함할 수 있다. 미리 결정된 가스 공급 전달 장치에 사용된 컴포넌트들은 가스 공급 전달 장치의 설계 및 의도된 애플리케이션에 따라 가변할 수 있다. 반도체 프로세싱 장치의 일 실시예에서, 17 개 이상의 가스들이 가스 공급 라인들, 가스 분배 컴포넌트들, 및 혼합 매니폴드들을 통해 프로세싱 챔버에 연결될 수도 있다. 이들 컴포넌트들은 "가스 패널" 또는 "가스 박스"로 공지된 완전한 시스템을 형성하는 베이스 플레이트에 부착된다.

    "가스 스틱"은, 위에 가스 제어 컴포넌트들이 장착될 수 있는 혼합 매니폴드의 채널들을 통해 다른 가스 제어 컴포넌트들에 연결되는 복수의 집적된 표면 장착 컴포넌트들 (예를 들어, 밸브, 필터, 등) 을 포함한다. 가스 스틱의 컴포넌트 각각은 통상적으로 매니폴드 블록 위에 위치된다. 복수의 매니폴드 블록들은 모듈형 기판, 가스 스틱을 통과하는 가스들의 플로우 경로를 생성하는 매니폴드 블록들의 층을 형성한다. 피처들 사이즈들이 축소됨에 따라, 프로세싱될 기판들 상에서 에칭 레이트 균일도를 개선하기 위해 가스 전달 시스템들에서의 개선들이 바람직하다.

    정밀한 양들의 프로세스 가스 및 튜닝 가스를 스플릿하고 (split) 분배하기 위한 멀티-존 가스 플로우 스플리터를 포함하는 가스 공급 전달 장치 (즉, 멀티-존 가스 전달 시스템) 가 본 명세서에 개시되고, 가스 플로우 스플리터는 가스 패널에 포함될 수도 있고, 가스의 조성을 튜닝하고 그리고/또는 프로세싱 챔버의 복수의 존들로의 가스의 플로우 레이트를 제어할 수도 있다.

    가스 혼합물은 스플릿될 수도 있고 따라서 각각의 존들에서 반도체 기판의 표면에 걸친 프로세싱 레이트를 제어하도록 존들 각각으로 피딩된 가스의 백분율을 가변함으로써 가스 스플리터를 포함하는 가스 공급 전달 장치에 의해 제어될 수도 있다. 각각의 존들에서 반도체 기판의 표면에서 프로세싱 레이트를 제어하도록 존들 사이에서 가스의 조성은 멀티-존 가스 플로우 스플리터에 의해 튜닝될 수도 있다. 따라서, 복수의 존들을 통한 가스 전달은 반도체 기판의 상부 표면을 형성하는 각각의 재료 층에 대한 구체적인 프로세싱 요건들의 요구들을 충족하는 가스 스플리터를 포함하는 멀티-존 가스 전달 시스템에 의해 달성가능한 복수의 구성들 사이에서 제어되고 튜닝될 수도 있다.

    멀티-존 가스 전달 시스템은 멀티-존 가스 피드들을 포함하고, 가스 피드 각각은 프로세싱 챔버의 각각의 복수의 주입 존들로 가스를 전달할 수도 있다. 멀티-존 가스 전달 시스템은 존 각각으로 엄격하고 정확한 가스 전달 분배를 제공하도록 동작가능한 오리피스계 가스 스플리터 및 몇몇 튜닝 가스 전달 스틱들을 포함한다. 스플릿은 다양한 액티브 오리피스들을 제어하는 가스 스플리터의 밸브들이 특정한 프로세스 레짐 비들마다 배열될 때 일어나고, 액티브 오리피스들 각각은 가스 스플리터의 직경들의 각각의 오차들에 기초하여, 개별화된 출력 플로우에서 오리피스를 통해 가스를 공급하도록 동작가능하다. 하나 이상의 액티브 오리피스들을 통해 형성된 목표된 비마다, 상당한 양의 가스 플로우가 프로세스 챔버의 복수의 주입 존들의 주입 존 각각으로 분배된다. 이에 더하여, 튜닝 가스 스틱 각각은, 피드 라인과 혼합되거나 대안적으로 예를 들어 반도체 기판 프로세싱 장치의 프로세스 챔버의 샤워헤드 전극의 각각의 주입 존의 주입 지점들로 개별적으로 전달되도록 프로세스 가스 라인에 연결할 능력을 갖는다. 가스 스플리터를 포함하는 가스 공급 전달 장치의 설계는 프로세스 챔버의 복수의 주입 존들로의 가스 플로우의 독립적인 조정을 포함하여, 장치의 변경을 허용한다.

    복수의 가스 피드들은 가스 스플리터를 포함하는 가스 패널의 확장이다. 복수의 가스 피드들은 혼합물들을 분배하거나 플라즈마 프로세싱 챔버들의 복수의 주입 존들로 가스의 종을 개별적으로 공급하는 능력을 갖고, 가스 스플리터는 주입 존들 챔버로의 복수의 가스 피드들의 각각의 피드들로 가스를 전달할 수도 있다 (즉 가스 스플리터는 단일 가스 피드 입력을 취하고 이를 각각의 복수의 가스 피드들과 같은 복수의 라인들로 스플릿할 수도 있다). 복수의 가스 피드들은 예를 들어, 프로세싱될 기판의 표면의 대응하는 존에 걸쳐 중심 존, 중간 존 및 에지 존 에칭 레이트 균일도를 개선한다. 가스 혼합물은, 가스 스플리터가 존 각각에 대한 가스 피드 백분율을 가변시킬 뿐만 아니라, 하나 이상의 각각의 존들로 공급될 가스의 조성을 가변시킬 때 스플릿되고 제어된다. 프로세싱 챔버의 상이한 각각의 존들로 가스를 공급하기 위해 가스 스플리터 내에서 개방되거나 폐쇄되는 (shut) 다양한 조합들의 밸브들은 복수의 가스 피드들 각각이 각각의 가스 주입 존으로 가스 전달 라인을 형성하는 복수의 가스 피드들로 목표된 비의 혼합된 가스를 전달한다. 가스 전달 라인들은 이 기능을 위해 가스 박스 (즉 가스 패널) 로부터 프로세싱 챔버로 라우팅된다.

    전술한 설계는 구체적인 프로세싱 요건들의 요구들을 충족하도록 멀티-존 가스 전달 시스템의 복수의 구성들을 허용한다. 예를 들어, 다단계 에칭 프로세스 동안 반도체 기판의 상이한 층들은 미리 결정된 에칭 프로세스를 위한 프로세싱 챔버의 개별 주입 존들로의 상이한 플로우 레이트들 및 가스 조성들을 요구할 것이다. 반도체 기판의 층들은 실리콘 웨이퍼와 같은 베이스 재료; 베이스 재료 위의 프로세싱될, 예를 들어, 에칭되거나 증착될 재료들의 중간 층들; 및 중간 층 위의 마스킹 층을 포함할 수도 있다. 중간 층들은 도전성 재료, 유전체 재료, 및 반도전성 재료를 포함할 수도 있다. 마스킹 층은 중간 층들 내에 목표된 피처들, 예를 들어, 홀들, 비아들 및/또는 트렌치들을 에칭하기 위한 개구부 패턴을 갖는 패터닝된 포토레지스트 재료일 수 있다. 예를 들어, 다단계 에칭 프로세스 동안 프로세싱되는 다양한 층들을 포함하는 멀티-층 막 스택 (반도체 기판) 은 본 명세서에 전체가 참조로서 인용되는, 공동으로 양도된 미국 특허 제 8,668,835 호에 개시된다.

    프로세싱될 수 있는 예시적인 유전체 재료들은, 예를 들어, 도핑된 실리콘 옥사이드, 예컨대 플루오르화 실리콘 옥사이드; 도핑되지 않은 실리콘 옥사이드, 예컨대 실리콘 다이옥사이드; 스핀-온 글래스; 실리케이트 유리들; 도핑되거나 도핑되지 않은 열적 실리콘 옥사이드; 및 도핑되거나 도핑되지 않은 TEOS 증착된 실리콘 옥사이드이다. 유전체 재료는 선택된 k 값을 갖는 로우-k 재료일 수 있다. 이러한 유전체 재료들은 도전층 또는 반도전층, 예컨대 폴리크리스탈 실리콘; 금속들, 예컨대 알루미늄, 구리, 티타늄, 텅스텐, 몰리브덴 및 그 합금들; 나이트라이드들, 예컨대 티타늄 나이트라이드; 및 금속 실리사이드들, 예컨대 티타늄 실리사이드, 텅스텐 실리사이드 및 몰리브덴 실리사이드 위에 놓일 수 있다.

    반도체 기판의 층을 형성하는 전술한 재료 각각의 에칭은 최적의 에칭 결과들을 달성하기 위해 상이한 에칭 가스 화학물질들 및 기판에 걸친 가스 화학물질들의 조정들을 필요로 한다. 가스 공급 전달 장치의 가스 스플리터에 포함된 밸브들 및 오리피스들은 0 내지 100 % 및 100 내지 0 %에 대한 멀티-플로우 제어 능력 및 각각의 존 각각에 대해 90 내지 10 % 및 10 내지 90 %로부터 약 10 % 이하의 단계적 상승을 제공한다. 또한, 마노미터가 멀티-존 가스 플로우 스플리터의 임의의 오리피스들이 플러깅되는지를 결정하기 위한 진단 툴로서 사용될 수도 있다. 이에 더하여, 존 각각에 대한 튜닝 가스 조정들은 존 각각에서 에칭 결과들을 미세 튜닝하기 위해 0.1 sccm만큼 낮은 미소량으로 영향을 줄 수 있다.

    멀티-존 가스 플로우 스플리터는 프로세스 챔버의 각각의 주입 존들을 통해 플로우 레이트를 정밀하게 제어하도록 동작가능하다. 많은 플라즈마 에칭 프로세스들에서, 반도체 기판의 중심 에칭 레이트는 중간 존 에칭 레이트 및/또는 에지 존 에칭 레이트보다 높다. 따라서, 가스 조성 및 플로우 레이트를 미세 튜닝함으로써, 중심 에칭 레이트는 에칭 레이트 중간 존 에칭 레이트 및 에지 존 에칭 레이트와 균일하게 감소될 수도 있다. 예를 들어, 중심 존에서의 에칭 결과들을 미세 튜닝하기 위해, 0.1 내지 1 sccm의 가스 첨가의 증분으로 프로세스 가스 및/또는 튜닝 가스의 중심 존으로의 첨가는 보다 균일한 에칭 결과들을 달성하도록 제공될 수 있다.

    오리피스계 가스 스플리터 및 몇몇 튜닝 가스 전달 스틱들은 예를 들어, 일 주입 존으로 전달될 프로세스/튜닝 가스의 약 0.1 내지 1 sccm의 플로우 레이트의 상승 또는 감소를 허용하도록 존 각각으로 엄격하고 정확한 가스 전달 분배를 제공하는 한편 다른 주입 존들로 보다 높은 플로우 레이트들의 혼합된 프로세스 가스를 공급한다. 가스 스플리터는 개방되고 폐쇄되어 고정된 사이즈들을 갖는 오리피스들을 통해 목표된 비의 혼합된 가스를 전달하는 밸브들을 포함하고, 선택된 오리피스들의 조합은 가스 전달 시스템의 가스 유출부들을 통해 전달된 목표된 비들의 혼합된 가스를 제공하도록 오리피스를 통한 가스 플로우 레이트를 제어한다. 오리피스 사이즈들 및 오리피스들의 위치는 오리피스를 통해 전달된 가스의 가스 플로우 레이트를 제어하는 중요 피처들로서 제어된다. 멀티-존 가스 플로우 스플리터는 특정한 프로세스 레짐들을 위해 구성되고, 각각의 존들의 각각으로 가스의 플로우 레이트를 제어하도록 미리 결정된 밸브 조합들을 없애도록 (fire) 동작가능한 제어기에 의해 제어된다. 또한, 튜닝 가스 스틱들은 존 각각에서 가스의 화학물질 (즉 조성) 을 국부적으로 개질하도록 사용될 수 있다.

    가스 공급 전달 장치의 가스 패널은 가스 패널을 통해 가스 공급 섹션에 의해 가스 스플리터로 이어서 후속하여 프로세스 챔버의 각각의 가스 주입 존들로 가스를 전달하는 복수의 가스 피드들로 공급될 수 있는 2 이상의 가스들의 조성의 제어를 허용하도록 MFC들 및 가스 스플리터의 밸브들을 포함하는 플로우 제어 컴포넌트들의 동작을 제어하도록 제어기에 연결된 가스 공급 섹션과 유체로 연통한다. 일 실시예에서, 가스 공급 섹션은 복수의 가스 소스들, 예컨대 가스 스플리터와 유체로 연통하는 프로세스 가스 및 튜닝 가스 및 가스 주입 존들 내로 직접적인 주입을 위해 가스 스플리터를 바이패스하도록 (bypass) 구성된 튜닝 가스들을 갖는, 17 개의 개별 가스 소스들을 포함한다. 이와 같이, 가스 공급 섹션은 각각의 가스 주입 존들과 유체로 연통하는 가스 스플리터 및 각각의 복수의 가스 피드들을 통해 프로세스 챔버의 주입 존들로 많은 상이한 목표된 가스 혼합물들을 공급할 수 있다.

    가스 공급 전달 장치 내에 포함된 가스 소스들의 수는 임의의 특정한 수의 가스 소스들로 제한되지 않지만, 적어도 2 개의 상이한 가스 소스들을 포함하는 것이 바람직하다. 예를 들어, 가스 공급 섹션은 8 개 보다 많거나 보다 적은 가스 소스들, 예컨대 최대 17 개의 가스 소스들을 포함할 수 있고, 각각은 가스 패널 및 각각의 MFC를 통해 가스 스플리터와 유체로 연통한다. 각각의 가스 소스들에 의해 제공될 수 있는 상이한 가스들은 개별 가스들, 예컨대 O 2 , Ar, H 2 , Cl 2 , N 2 등 뿐만 아니라 가스성 플루오로카본 화합물 및/또는 플루오로하이드로카본 화합물, 예컨대 CF 4 , CH 3 F 등을 포함한다. 일 실시예에서, 프로세스 챔버는 플라즈마 프로세싱 에칭 챔버이고 가스 소스들은 O 2 , Ar, N 2 , Cl 2 , CH 3 , CF 4 , C 4 F 8 및 CH 3 F 또는 CHF 3 (이들의 임의의 적합한 순서로) 를 공급할 수 있다. 각각의 가스 소스들에 의해 공급된 구체적인 가스들은, 프로세싱될 반도체 기판의 상부 표면의 특정한 재료 조성에 의해 결정되는 플라즈마 프로세싱 챔버 내에서 수행될 목표된 프로세스, 예를 들어, 특정한 건식 에칭 및/또는 재료 증착 프로세스에 기초하여 선택될 수 있다. 가스 공급 섹션은 에칭 프로세스들을 수행하기 위해 공급될 수 있는 가스들의 선택과 관련하여 광범위한 융통성 (versatility) 을 제공할 수 있다.

    가스 공급 섹션은 바람직하게 또한 가스 조성을 조정하도록 적어도 하나의 튜닝 가스 소스를 포함한다. 튜닝 가스는 예를 들어, O 2 , 불활성 가스, 예컨대 아르곤, 또는 반응성 가스 예컨대 플루오로카본 또는 플루오로하이드로카본 가스, 예를 들어, C 4 F 8 일 수 있다. 이 실시예에서, 가스 공급 섹션은 4 개의 튜닝 가스 소스들을 포함할 수 있고, 튜닝 가스 소스는 가스가 가스 스플리터에 도달하기 전에 가스 스플리터로 전달되는 가스의 조성을 조정하도록 튜닝 가스를 공급할 수 있고 또는 가스 스플리터는 각각의 복수의 가스 피드 라인들을 통해 각각의 가스 주입 존들 중 어느 하나로 전달될 가스 혼합물의 조성을 조정하도록 가스 스플리터 내에서 튜닝 가스와 프로세스 가스를 조합할 수도 있다. 대안적으로, 가스 공급 전달 장치는 각각 프로세싱 챔버의 각각의 가스 주입 존과 유체로 연통하는 복수의 가스 피드들 중 각각의 가스 피드로 바로 튜닝 가스를 공급하도록 동작가능하다.

    가스 스플리터 (700) 의 오리피스들의 업스트림에서 압력은 바람직하게 밸브 (v540) 를 통해 가스 스플리터 (700) 와 유체로 연통하는 마노미터 DGF-2와 같은 500 Torr 마노미터로부터 정밀하게 측정되고 수집된다. 이 설계는 프로세싱될 반도체 기판의 상부 표면에 걸쳐 각각의 존들에 대응하는 챔버의 복수의 가스 주입 존들로의 가스 플로우의 독립적인 조정을 포함하여, 특정한 프로세싱 요건들을 위한 멀티-존 가스 전달 시스템의 수정을 허용한다. 또한, 그리고 가스 스플리터는 밸브 (v605) 를 통한 출력 AFV를 포함하고, 일 실시예에서 출력 AFV는 가스 플로우 스플리터 (700) 를 바이패스하도록 또는 가스 플로우 스플리터 (700) 를 통해 부가적인 가스 플로우 출력을 제공하도록 사용될 수 있다.

    가스 공급 전달 장치의 가스 패널의 가스 스플리터에 의해 가능한 많은 수의 밸브 조합들은 오리피스들을 통해 많은 튜닝가능하고 제어가능한 가스 플로우들을 허용한다. 예를 들어, 도 2는 스플릿을 수행하도록 사용된 11 개의 2-상태 밸브들 (702) 을 포함하는 멀티-존 가스 플로우 스플리터 (700) 를 포함하는 가스 공급 전달 장치 (100) 의 가스 패널 (701) 의 일부의 등각도를 예시한다. 상이한 밸브 조성들의 최대 수는 2 11 = 4096이지만, 이들 상태들 중 일부는 무효일 수도 있다 (예를 들어 모든 밸브들이 폐쇄되거나 과도하게 많거나 적게 다양한 존들로 스플릿한다). 실제로 거의 1,000 개의 조합들이 사용가능하다. 각각의 복수의 가스 존 피드들을 통해 프로세스 챔버의 주입 존 각각으로 공급된 가스의 비를 제어하도록, 이들 조합들의 서브세트를 효과적으로 선택하기 위해 알고리즘 방식이 사용된다. 알고리즘 방식은 서로 공간적 근접성에서 가까운 각각의 가스 주입 존 각각에 대한 밸브들의 조합들인 출력들을 생성하는 밸브들의 조합들을 선택할 수도 있고 또는 대안적으로 알고리즘은 개별 오리피스들의 직경들의 오차들에 기초하여, 가스의 출력 플로우의 총 불확실성을 최소화하는 밸브 조합들을 선택할 수도 있다.

    도 1은 가스 공급 전달 장치 (100) 의 실시예들과 함께 사용될 수 있는 예시적인 반도체 재료 플라즈마 프로세싱 장치 (10) 를 도시한다. 장치 (10) 는 플라즈마 프로세싱 동안 상부에 반도체 기판 (16) 이 지지되는, 기판 지지부 (14) 를 포함하는 내부를 갖는 진공 챔버 또는 플라즈마 프로세싱 챔버 (12) 를 포함한다. 기판 지지부 (14) 는, 프로세싱 동안 기판 지지부 (14) 상에 반도체 기판 (16) 을 클램핑하도록 동작가능한 클램핑 디바이스, 바람직하게 정전 척 (18) 을 포함한다. 반도체 기판은 포커스 링들 및/또는 에지 링들, 접지 연장부들 또는 다른 부품에 의해 둘러싸일 수 있고, 이러한 부품은 전체가 본 명세서에 참조로서 인용되는, 공동으로 양도된 미국 특허 제 6,984,288 호에 개시된다.

    바람직한 실시예에서, 플라즈마 프로세싱 챔버 (12) 는 200 ㎜ 또는 300 ㎜ 웨이퍼들을 프로세싱하기 위해 약 ½ 리터 내지 약 4 리터, 바람직하게 약 1 리터 내지 약 3 리터의 체적을 갖는 플라즈마 한정 존을 포함한다. 대안적으로, 플라즈마 한정 존은 450 ㎜ 웨이퍼들을 프로세싱하기 위해 약 4 리터 이상의 체적을 가질 수 있다. 플라즈마 프로세싱 챔버 (12) 는, 전체가 참조로서 본 명세서에 인용된 공동으로 양도된 미국 특허 제 8,826,855 호에 개시된 바와 같이, 플라즈마 한정 존을 규정하도록 한정 링 장치를 포함할 수 있다. 한정 메커니즘은 플라즈마 볼륨으로부터 플라즈마 볼륨의 외부인 플라즈마 프로세싱 챔버 (12) 의 내부의 부분들로의 유체 연통을 제한할 수 있다. 바람직하게, 가스 공급 전달 장치는 실질적인 역 확산 없이, 이러한 플라즈마 한정 존의 가스의 볼륨을 약 1 s 미만, 바람직하게 약 200 ㎳ 미만의 기간 내에 또 다른 가스로 교체할 수 있고, 가스 분배는 전체가 본 명세서에 참조로서 인용된 공동으로 양도된 미국 특허 제 8,772,171 호에 기술된 바와 같은 가스 스위칭 장치를 포함할 수도 있다.

    도 1에 도시된 플라즈마 프로세싱 장치 (10) 는 플라즈마 챔버의 벽을 형성하는 지지 플레이트 (20) 및 지지 플레이트에 부착된 샤워헤드 (22) 를 갖는 샤워헤드 전극 어셈블리와 같은 가스 분배 시스템을 포함하고, 샤워헤드는 복수의 가스 주입 존들을 포함한다. 배플 어셈블리는 샤워헤드 (22) 의 복수의 주입 존들의 플라즈마 노출된 표면 (28) 으로 프로세스 가스를 균일하게 분배하도록 샤워헤드 (22) 와 지지 플레이트 (20) 사이에 위치된다. 배플 어셈블리는 하나 이상의 배플 플레이트들을 포함할 수 있다. 이 실시예에서, 배플 어셈블리는 배플 플레이트들 (30A, 30B 및 30C) 을 포함한다. 개방 플레넘들 (48A, 48B 및 48C) 은 배플 플레이트들 (30A, 30B 및 30C) 사이; 그리고 배플 플레이트 (30C) 와 샤워헤드 (22) 사이에 규정된다. 배플 플레이트들 (30A, 30B 및 30C) 및 샤워헤드 (22) 는 플라즈마 프로세싱 챔버 (12) 의 내부 내로 프로세스 가스를 흘리기 위한 관통 통로들을 포함한다.

    이 실시예에서, 플레이트 (20) 와 배플 플레이트 (30A) 사이의 플레넘 및 배플 플레이트들 (30A, 30B 및 30C) 사이의 플레넘들 (48A, 48B 및 48C) 은 중심 존 (72), 중심 존 (72) 을 둘러싸는 중간 존 (42), 및 시일들 (38A, 38B, 38C, 38D, 38E, 38F, 38G, 및 38H) 예컨대 O-링들에 의해 중간 존 (42) 을 둘러싸는 에지 존 (46) 으로 분할된다. 중심 존 (72), 중간 존 (42), 및 에지 존 (46) 은 본 명세서에 설명된 바와 같이, 바람직하게 복수의 가스 소스들 및 이들의 각각의 MFC들, 뿐만 아니라 가스 스플리터를 제어하는 제어기 (500) 의 제어 하에서 가스 공급 전달 장치 (100) 에 의해 상이한 각각의 가스 화학물질들 및/또는 플로우 레이트들을 갖는 프로세스 가스를 공급받을 수 있다. 예를 들어, 가스는 중심 존 가스 피드 (40) 로부터 중심 존 (72) 내로 공급되고, 가스는 중간 존 가스 피드 (70) 로부터 중간 존 (42) 으로 공급되고, 가스는 에지 존 가스 피드 (44) 로부터 환형 채널 (44a) 내로 그리고 이어서 에지 존 (46) 내로 공급된다. 프로세스 가스는 배플 플레이트들 (30A, 30B 및 30C) 내의 통로들을 통해 그리고 샤워헤드 (22) 의 각각의 주입 존들을 통해, 플라즈마 프로세싱 챔버 (12) 의 내부 내로, 반도체 기판 (16) 의 상부 표면에 걸쳐 각각의 존들 위로 흐른다. 프로세스 가스는 전력 소스, 에컨대 전극 (22) 을 구동하는 RF 소스 또는 기판 지지부 (14) 내에서 전극을 구동하는 전력 소스에 의해 플라즈마 프로세싱 챔버 (12) 내에서 플라즈마 상태로 에너자이징된다. 전극 (22) 에 인가된 RF 전력은 상이한 가스 조성들이 플라즈마 프로세싱 챔버 (12) 내로, 바람직하게 약 1 s 미만, 보다 바람직하게 약 200 ㎳ 미만의 시간 기간 내에 공급될 때 가변될 수 있다. 일 실시예에서, 중심 존 (72), 중간 존 (42), 및 에지 존 (46) 은 가스 공급 전달 장치 (100) 에 의해 상이한 각각의 가스 화학물질들 및/또는 플로우 레이트들을 갖는 프로세스 가스를 공급받을 수 있다.

    다른 바람직한 실시예들에서, 플라즈마 프로세싱 장치 (10) 는 플라즈마 프로세싱 챔버 내로 프로세스 가스를 주입하기 위한 튜닝가능한 멀티-존 가스 주입기 시스템을 포함할 수 있다. 예를 들어, 튜닝가능한 멀티-존 가스 주입기 시스템은 전체가 참조로서 본 명세서에 인용된 공동으로 소유된 미국 특허 출원 공개공보 제 2010/0041238 호에 개시된 바와 같은 구성을 가질 수 있다. 예를 들어, 2 개의 존 중심 주입기는 플라즈마 프로세싱 챔버의 외측 존으로 프로세스 가스를 공급하는 측벽 주입기들과 함께 사용되고, 상이한 존들은 가스 공급 전달 장치 (100) 에 의해 상이한 각각의 가스 화학물질들 및/또는 플로우 레이트들을 갖는 프로세스 가스를 공급받을 수 있다 (전체가 참조로서 본 명세서에 인용된, 공동으로 양도된 미국 특허 출원번호 제 2011/0056626 호를 참조하라). 가스 공급 전달 장치 (100) 는 가스 공급 섹션, 플로우 제어 섹션, 및 선택가능하게 서로 유체로 연통하는 가스 스위칭 섹션을 포함할 수 있다. 플라즈마 프로세싱 장치 (10) 는 챔버 (12) 로부터 프로세스 가스들 및 반응 부산물들을 배기하도록 동작가능한 진공 소스 (88) 를 포함한다.

    도 3은 본 명세서에 개시된 바와 같이, 반도체 기판이 적어도 제 1 가스 주입 존, 제 2 가스 주입 존 및 제 3 가스 주입 존을 통해 도입된 가스들로 프로세싱되는 플라즈마 프로세싱 시스템의 챔버로 프로세스 가스를 공급하기 위한 가스 공급 전달 장치 (100) 의 개략도를 예시한다. 가스 공급 전달 장치 (100) 는 복수의 프로세스 가스 공급 유입부들 및 복수의 튜닝 가스 유입부들을 포함한다. 혼합 매니폴드 (710) 는 각각이 각각의 프로세스 가스 공급부와의 유체 연통을 제공하도록 구성되는 복수의 가스 공급 스틱들 (200) 을 포함한다. 복수의 튜닝 가스 스틱들, 예컨대 예를 들어 튜닝 가스 스틱들 (300) 은 각각이 각각의 튜닝 가스 공급부와 유체로 연통하도록 구성되고 또한 각각의 밸브들 (300a 또는 v172, v162) 을 통해 혼합 매니폴드 (710) 와 유체로 연통할 수 있다. 제 1 가스 유출부 C1은 제 1 가스 주입 존으로 가스를 전달하도록 구성되고, 제 2 가스 유출부 C2는 제 2 가스 주입 존으로 가스를 전달하도록 구성되고, 그리고 제 3 가스 유출부 E1은 제 3 가스 주입 존으로 가스를 전달하도록 구성된다. 가스 스플리터 (700) 는 혼합 매니폴드 (710) 와 유체로 연통한다. 가스 스플리터 (700) 는 혼합 매니폴드 (710) 를 나가는 혼합된 가스를 제 1 가스 유출부 C1로 공급될 수 있는 제 1 혼합된 가스 및 제 2 가스 유출부 C2 및/또는 제 3 가스 유출부 E1로 공급될 수 있는 제 2 혼합된 가스로 스플릿하는 제 1 밸브 장치를 포함한다. 일 실시예에서, 혼합 매니폴드 (710) 는 밸브 (540a) 를 통해 마노미터 DGF-2와 유체로 연통할 수 있다.

    제 2 밸브 장치 (720) 는 튜닝 가스 스틱들 (300) 로부터 제 1 가스 유출부 C1, 제 2 가스 유출부 C2 및/또는 제 3 가스 유출부 E1로 튜닝 가스를 선택적으로 전달하도록 동작가능하다. 제 2 밸브 장치는 제 1 가스 유출부 C1, 제 2 가스 유출부 C2, 제 3 가스 유출부 E1, 또는 이들의 조합과 유체로 연통하는 제 1 튜닝 가스 도관으로 제 1 튜닝 가스를 선택적으로 전달할 수 있는 제 1 세트의 밸브들, 제 1 가스 유출부 C1, 제 2 가스 유출부 C2, 제 3 가스 유출부 E1, 또는 이들의 조합과 유체로 연통하는 제 2 튜닝 가스 도관으로 제 2 튜닝 가스를 선택적으로 전달하는 제 2 세트의 밸브들, 제 1 가스 유출부 C1, 제 2 가스 유출부 C2, 제 3 가스 유출부 E1, 또는 이들의 조합과 유체로 연통하는 제 3 튜닝 가스 도관으로 제 3 튜닝 가스를 선택적으로 전달하는 제 3 세트의 밸브들, 및 제 1 가스 유출부 C1, 제 2 가스 유출부 C2, 제 3 가스 유출부 E1, 또는 이들의 조합과 유체로 연통하는 제 4 튜닝 가스 도관으로 제 4 튜닝 가스를 선택적으로 전달하는 제 4 세트의 밸� ��들을 포함한다. 전술한 실시예들에서, 대안적인 밸브 구성들이 각각의 제 1 튜닝 가스, 제 2 튜닝 가스, 제 3 튜닝 가스 및/또는 제 4 튜닝 가스를 도 3에 도시된 바와 같은, 제 1 가스 유출부 C1, 제 2 가스 유출부 C2, 제 3 가스 유출부 E1, 또는 이들의 조합들로 전달하도록 동작가능하다. 또한, 제 2 세트의 밸브들은 제 1 튜닝 가스 스틱들, 제 2 튜닝 가스 스틱들, 제 3 튜닝 가스 스틱들 및 제 4 튜닝 가스 스틱들 (300) 을 혼합 매니폴드 (710) 및/또는 퍼지 라인 VAC에 선택적으로 연결하는 밸브들을 포함한다. 일 실시예에서, 제 2 밸브 장치 (720) 는 이로 제한되지 않지만, 밸브들 (v162, v525, v8-v9, v8-v9a, v172, v526, v527, v527c, v525c, v526c, v527b, v527a, v526a, v525b, v525a, v173b, v173a, v526c, v526b, v527a, v525a, v525c 및 v173) 을 포함할 수 있다. 제 2 밸브 장치 (720) 의 밸브들 사이의 가스 라인들은 또한 이로 제한되는 것은 아니지만 압력 센서들 (PS4c, PS4b, PS4a, PS4, 및 PS3) 과 같은 압력 센서들을 포함할 수 있다.

    도 3은 또한, 가스 스플리터 (700) 는 각각의 제 1 가스 유출부 C1, 제 2 가스 유출부 C2, 및 제 3 가스 유출부 E1로 공급될 수 있는 상이한 가스들의 플로우 레이트를 제어하고, 그리고 선택가능하게 조성을 또한 조정하도록 동작가능하다. 가스 스플리터 (700) 는 각각의 밸브들 (200a, V3, 또는 v4) 을 통해 공급된 가스 소스들 (200) 로부터의 가스들의 상이한 플로우 레이트들 및/또는 화학물질들을 제공할 수 있고, 가스 소스들 (200) 은 수행될 프로세싱 동작에 따라 프로세스 가스, 불활성 가스, 튜닝 가스 또는 이들의 조합 중 하나일 수 있다. 프로세스 가스는 각각의 가스 소스들 (200) 로부터 각각의 밸브들 (200a) 을 통해 일반적으로 도 3에 도시된 바와 같이 라벨 "v"를 포함하는 연관된 밸브들 및 가스 라인들을 통해 가스 스플리터 (700) 로 공급될 수 있다. 혼합 매니폴드 (710) 는 일 실시예에서 하나 이상의 밸브들 (v187, v188, v189) 을 통해 가스 스플리터 (700) 로 가스를 공급할 수 있다.

    가스 스플리터 (700) 의 제 1 밸브 장치는 제 1 혼합된 가스의 비를 정밀하게 제어하고 제 1 혼합된 가스를 제 1 가스 유출부 C1로 전달하기 위한 중요 오리피스들을 갖는 제 1 밸브 세트 (730a), 제 2 혼합된 가스의 비를 제어하고 제 2 혼합된 가스를 제 2 가스 유출부 C2 및/또는 제 3 가스 유출부 E1로 전달하기 위한 중요 오리피스들을 갖는 제 2 밸브 세트 (730b), 및 제 2 가스 유출부 C2 및/또는 제 3 가스 유출부 E1로 혼합된 가스를 전달하는 제 3 밸브 세트 (730c) 를 포함할 수 있다. 일 실시예에서, 제 1 밸브 장치는 제 1 혼합된 가스만을 제 1 가스 유출부 C1로 제 2 혼합된 가스만을 제 2 가스 유출부 C2 및/또는 제 3 가스 유출부 E1로 전달한다. 일 실시예에서 제 1 밸브 세트 (730a) 는 밸브들 (531a, 532a, 533a, 534a, 및 535a) 을 포함할 수 있고, 제 2 밸브 세트 (730b) 는 밸브들 (531, 532, 533, 534, 535, 및 536) 을 포함할 수 있다. 일 실시예에서, 제 3 밸브 세트 (730c) 는 적어도 밸브들 (521, 522, v512, 및 v511) 을 포함할 수 있다.

    이에 더하여, 플라즈마 프로세싱 챔버 (12) (도 1 참조) 로 공급되는 가스의 플로우 레이트 및/또는 화학물질은 중심 존 (72), 중간 존 (42) 및 에지 존 (46) 에 대해 상이할 수 있다. 이에 따라, 가스 스플리터 (700) 는 반도체 기판 (16) 에 걸친 영역들로 목표된 가스 플로우들 및/또는 가스 화학물질들을 포함할 수 있어서, 반도체 기판 프로세싱 균일도를 향상시킨다. 일 실시예에서, 가스 주입 존들을 통해 진공 챔버로 공급된 가스는 스위칭될 수도 있고, 멀티-존 가스 플로우 스플리터 (700) 는 현재 사용되지 않는 가스, 예컨대 프로세스 동작의 후속 단계를 위한 가스를, 터보 펌프와 러핑 펌프 (roughing pump) 사이와 같은, 진공 펌핑 시스템과 유체로 연통할 수 있는 퍼지 (즉 바이패스) 라인 VAC와 같은 바이패스 라인으로 방향전환하도록 동작할 수 있다. 퍼지 라인 VAC는 밸브 (cv1) 를 통해 하나 이상의 프로세스 가스 공급부들 및/또는 튜닝 가스 공급부들과 유체로 연통할 수 있다.

    도 3에 도시된 바와 같은 실시예에서, 프로세스 가스는 하나 이상의 프로세스 가스 소스들 (200) 로부터 예를 들어 11 개의 밸브들 (531, 532, 533, 534, 535, 536, 531a, 532a, 533a, 534a, 및 535a) 을 포함하는 가스 스플리터 (700) 로 공급될 수 있고, 전술한 밸브들의 조합은, 가스가 복수의 가스 존 피드들 중 각각의 피드로 그리고 후속하여 함께 유체로 연통하는 프로세스 챔버의 각각의 가스 주입 존으로 공급될 수도 있도록 개방 위치의 밸브들의 오리피스들을 통해 공급된 가스의 비를 각각의 출력 라인들 (E1, C1, C2, AFV, 및/또는 VAC) 로 공급하기 위해 온 위치 또는 오프 위치로 조정될 수도 있다. 밸브들 (531 내지 535a) 은 바람직하게 제어기 (500) 의 제어 하에서, 상이한 가스 혼합물들로 하여금 각각의 출력 라인들로 흐르게 하도록 선택적으로 개방되거나 폐쇄될 수 있고, 각각의 밸브 각각과 연관된 고유의 오리피스 치수들은 프로세스 챔버의 각각의 가스 주입 존 각각으로 플로우 레이트의 비로 하여금 미세하게 튜닝되게 한다. 예를 들어, 하나 이상의 가스 소스들 (200) 과 연관된 밸브들 (531 내지 535a) 중 하나 이상을 개방함으로써 (가스 소스들 (200) 중 다른 가스 소스와 연관된 하나 이상의 나머지 밸브들 (531 내지 535a) 이 폐쇄되는 동안), 제 1 가스 혼합물로 하여금 제 1 가스 주입 존으로 공급되게 하고, 제 2 가스 혼합물로 하여금 제 2 가스 주입 존으로 공급되게 하고, 그리고 제 3 가스 혼합물로 하여금 프로세스 챔버의 제 3 주입 존으로 공급되게 하고, 제 1 가스 혼합물, 제 2 가스 혼합물 및 제 3 가스 혼합물은 동일한 플로우 레이트 또는 상이한 플로우 레이트들로 흐를 수 있고, 가스 혼합물들의 조성은 동일할 수도 있고, 또는 대안적으로 가스 혼합물들 간의 조성은 프로세싱될 웨이퍼의 표면에 걸친 대응하는 존에서 에칭 레이트를 제어하도록 튜닝될 수 있다. 이에 따라, 다양한 혼합물들 및 질량 유량 레이트들이 출력 라인들 (E1, C1, C2, AFV, 및 VAC (즉 바이패스/퍼지 라인)) 으로 따라서 프로세스 챔버의 복수의 가스 존 피드들의 각각의 피드 및 가스 주입 존들로 제공될 수 있다. 일 실시예에서, 프로세스 가스는 이로 제한되는 것은 아니지만, 밸브들 (v9 PR8, 및 v8) 을 포함하는 밸브들을 통해 가스 스플리터 (300) 를 바이패스할 수 있다.

    도 3에 도시된 실시예에서, 11 개의 밸브들 (531 내지 535a) 각각은 연관된 각각의 오리피스를 포함하고, 오리피스 각각은 상대적인 제한 사이즈들, 예를 들어, 직경들을 가질 수 있다. 이에 따라, 가스 플로우가 하나 이상의 오리피스들을 통해 발생할 때, 가스 공급 전달 장치 (100) 의 출력으로 전달된 가스의 총 컨덕턴스는 각각의 밸브들 (531 내지 535a) 과 연관된 오리피스들의 미리 결정된 조합을 사용하여 제어될 수 있다. 반도체 기판의 표면에 걸친 각각의 존에서의 에칭 레이트와 같은 프로세스 챔버 내에서 기판의 프로세싱 레이트를 제어하기 위해 임의의 수의 오리피스들이 상이한 가스 주입 존들로 지향된 오리피스들의 컨덕턴스와 비교하여 단일 가스 주입 존으로 지향된 오리피스들의 상이한 비들의 총 컨덕턴스를 제공하도록 개방될 수 있다. 또한, 특정한 오리피스들을 개방하는 것은 반도체 기판의 표면에 걸친 각각의 존에서의 에칭 레이트와 같은 프로세스 챔버 내에서 기판의 프로세싱 레이트를 제어하기 위해 각각의 존에 도달하는 가스의 조성을 미세 튜닝하도록 가스 혼합물에 튜닝 가스를 공급할 수도 있다.

    또 다른 실시예는 11 개 초과의 다수의 밸브들과 같은 상이한 수의 오리피스들 및 연관된 밸브들을 포함할 수 있다. 밸브들 (531 내지 535a) 은 오리피스들로 가스의 플로우를 제어하도록 각각의 오리피스들 각각의 업스트림에 위치되는 것이 바람직하지만, 대안적인 실시예에서, 밸브들 (531 내지 535a) 은 오리피스들의 다운스트림에 배치될 수도 있다. 바람직한 실시예들에서, 밸브들 (531 내지 536) 은 중간 존 및/또는 에지 존으로 전달된 가스의 비를 제어하도록 전용되지만, 밸브들 (531a 내지 535a) 은 밸브 (v511a) 를 통해 중심 존으로 전달된 가스의 비를 제어하도록 전용된다.

    각각의 밸브들 (531 내지 535a) 과 연관된 오리피스들은 가스 공급 전달 장치 (100) 가 예를 들어 제 1 가스 혼합물로부터 제 2 가스 혼합물로 또는 반대로 플라즈마 프로세싱 챔버 (12) 내로 흐르는 가스를 변화시킬 때 가스 플로우의 플로우 불안정성들 및 압력 서지들 (surge) 을 방지하도록 동작가능하다.

    도 4는 중심 주입 존 (72), 중간 주입 존 (42), 및 에지 주입 존 (46) 을 통해 제어되고 튜닝된 프로세스 가스를 수용하는 반도체 기판 (16) 의 개략도를 예시한다. 예를 들어, 도 4에 도시된 바와 같이, 반도체 기판 (16) 은 중심 주입 존 (72) 으로 공급되는 제 1 튜닝 가스 및 제 2 튜닝 가스, 중간 주입 존 (42) 으로 공급된 프로세스 가스 및 제 3 튜닝 가스 42, 그리고 에지 주입 존 (46) 으로 공급될 제 4 튜닝 가스 및 프로세스 가스를 포함할 수도 있다. 가스의 조성 뿐만 아니라 각각의 중심 존, 중간 존 및 에지 존 (72, 42, 및 46) 으로 공급된 가스의 플로우 레이트를 제어함으로써 기판은 균일하게 에칭될 수도 있다.

    도 5는 도 1의 예시 또는 도 4의 개략도와 같이 프로세싱 챔버 내에서 에칭 프로세스 또는 증착 프로세스 동안 가스 스플리터에 의해 제어된 멀티-존 가스 주입을 사용하여 웨이퍼 (즉 반도체 기판) 를 균일하게 프로세싱하기 위해 가스 조성 및 플로우 레이트들을 결정하는 방법의 플로우 차트를 도시한다. 먼저, 단계 800에서, 예컨대 조성 및/또는 플로우 레이트가 가스 스플리터 (700) 에 의해 제어되는 프로세스 레시피에 따라 복수의 가스 주입 존들 각각으로 가스 조성 및 플로우 레이트들이 공급되는 에칭 프로세스에 의해 테스트 웨이퍼가 프로세싱된다. 단계 801에서, 이어서 테스트 웨이퍼의 표면에 걸쳐 각각의 존들의 에칭 레이트를 결정하도록 웨이퍼의 상부 표면의 에칭 균일도를 결정하도록 테스트 웨이퍼가 측정된다. 이어서, 단계 802에서, 제어 소프트웨어, 또는 프로세스 엔지니어의 입력을 사용하여, 하나 이상의 가스 주입 존들로의 가스 조성 및/또는 플로우 레이트는 후속하는 테스트 웨이퍼의 존들에 걸친 에칭 균일도를 상승시키도록 조정된다. 단계 803에서, 다음 테스트 웨이퍼는 하나 이상의 가스 주입 존들로의 가스 조성 및/또는 플로우 레이트가 수정되는 수정된 에칭 레시피로 에칭될 수도 있다. 웨이퍼의 상부 표면의 균일도가 에칭된 테스트 웨이퍼의 표면에 걸친 각각의 에칭 레이트를 결정하도록 측정된다 (단계 804). 단계 804에서 측정될 때 테스트 웨이퍼의 상부 표면의 균일도가 목표된 오차 이내이면, 그러면 웨이퍼들의 배치 (batch) 가 수정된 레시피에 따라 프로세싱될 수도 있다. 단계 804에서 측정될 때 웨이퍼의 균일도가 목표된 오차 이내가 아니면, 그러면 테스트 웨이퍼가 목표된 오차 이내로 프로세싱될 때까지 단계들 802 내지 804가 반복될 수도 있다. 이러한 방식으로, 복수의 주입 존들을 통한 프로세스 가스의 가스 조성 및/또는 플로우 레이트는 균일한 웨이퍼 프로세싱을 위해 최적화될 수도 있다.

    도 5으 플로우 차트에 도시된 최적화 프로세스는 룩업 테이블을 생성하도록 사용될 수 있고, 룩업 테이블은 사용자가 룩업 테이블에 저장된 데이터에 따라 미리 결정된 에칭 프로세스를 조정하거나 수정할 수도 있도록, 제어기 (500) 의 일부로서 적합한 메모리에 저장될 수 있다. 대안적으로, 기존의 룩업 테이블이 시스템 소프트웨어에 포함될 수 있고, 제어기 (500) 소프트웨어는 룩업 테이블에 포함된 데이터에 기초하여 프로세스 레시피를 수정할 수 있다. 최적화 프로세스들 동안, 제어기 (500) 는 또한 미리 결정된 프로세싱 장치에서 변화하는 프로세싱 조건들을 고려하기 위해 새롭게 생성된 데이터로 저장된 룩업 테이블을 업데이트할 수도 있다. 제어기 (500) 내 소프트웨어는 미리 결정된 프로세스 레시피의 룩업 테이블에 기초하여 최적의 가스 비 및/또는 플로우 레이트를 결정하도록 구성될 수 있다.

    플라즈마 프로세싱 장치 (10) 및 관련된 가스 공급 전달 장치 (100) 는 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 예를 들어, 도 1에 예시된 바와 같이, 플라즈마 프로세싱 장치 (10) 및/또는 가스 공급 전달 장치 (100) 는 연관된 제어기 (500) 를 포함한다. 제어기 (500) 는, 플라즈마 프로세싱 장치 (10) 의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.

    일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.

    제어기 (500) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (500) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨 (즉 플라즈마 프로세싱 장치 (10)) 에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.

    비한정적으로, 예시적인 플라즈마 프로세싱 장치 (10) 는 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 장치들 또는 시스템들을 포함하는 프로세싱 챔버를 포함할 수도 있다.

    상술한 바와 같이, 플라즈마 프로세싱 장치 (10) 에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기 (500) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다. 바람직하게, 비일시적인 컴퓨터 머신-판독가능 매체는 플라즈마 프로세싱 장치 (10) 의 제어를 위한 프로그램 인스트럭션들을 포함한다.

    반도체 기판이 적어도 제 1 가스 주입 존, 제 2 가스 주입 존 및 제 3 가스 주입 존을 통해 도입된 가스들로 프로세싱되는, 플라즈마 프로세싱 시스템의 챔버로 프로세스 가스를 공급하기 위한 가스 공급 전달 장치를 사용하는 방법이 본 명세서에 개시된다. 가스 공급 전달 장치는 복수의 프로세스 가스 공급 유입부들 및 복수의 튜닝 가스 유입부들; 복수의 가스 공급 스틱들을 포함하는 혼합 매니폴드로서, 복수의 가스 공급 스틱들 각각은 각각의 프로세스 가스 공급부와의 유체 연통을 제공하도록 구성된, 혼합 매니폴드; 복수의 튜닝 가스 스틱들로서, 복수의 튜닝 가스 스틱들 각각은 각각의 튜닝 가스 공급부와의 유체 연통을 제공하도록 구성되는, 복수의 튜닝 가스 스틱들; 제 1 가스 주입 존으로 가스를 전달하도록 구성된 제 1 가스 유출부, 제 2 가스 주입 존으로 가스를 전달하도록 구성된 제 2 가스 유출부, 및 제 3 가스 주입 존으로 가스를 전달하도록 구성된 제 3 가스 유출부; 혼합 매니폴드와 유체로 연통하는 가스 스플리터로서, 가스 스플리터는, 제 1 가스 유출부로 공급될 수 있는 제 1 혼합된 가스 및 제 2 가스 유출부 및/또는 제 3 가스 유출부로 공급될 수 있는 제 2 혼합된 가스로 혼합 매니폴드를 나가는 혼합된 가스를 분할하는 제 1 밸브 장치를 포함하는, 가스 스플리터; 및 튜닝 가스 스틱들로부터 제 1 가스 유출부, 제 2 가스 유출부 및/또는 제 3 가스 유출부로 튜닝 가스를 선택적으로 전달하는 제 2 밸브 장치를 포함한다. 방법은, 제 1 혼합된 가스를 제 1 가스 유출부로 전달하고 제 2 혼합된 가스를 제 2 가스 유출부 및/또는 제 3 가스 유출부로 전달하도록 제 1 밸브 장치를 동작시키는 단계; 및 하나 이상의 튜닝 가스들을 제 1 가스 유출부, 제 2 가스 유출부 및/또는 제 3 가스 유출부로 전달하도록 제 2 밸브 장치를 동작시키는 단계를 포함한다.

    본 발명은 바람직한 실시예들을 참조하여 기술되었다. 그러나, 본 발명의 정신으로부터 벗어나지 않고 상기 기술된 바와 다른 구체적인 형태들로 본 발명을 구현하는 것이 가능하다는 것이 당업자에게 용이하게 자명할 것이다. 바람직한 실시예들은 예시적이고 어떤 식으로도 제한적인 것으로 간주되지 않아야 한다. 본 발명의 범위는 선행하는 기술이 아닌 첨부된 청구항들에 의해 주어지고, 청구항들의 범위 내에 속하는 모든 변형들 및 등가물들은 본 명세서에 포괄되는 것으로 의도된다.

    高效检索全球专利

    专利汇是专利免费检索,专利查询,专利分析-国家发明专利查询检索分析平台,是提供专利分析,专利查询,专利检索等数据服务功能的知识产权数据服务商。

    我们的产品包含105个国家的1.26亿组数据,免费查、免费专利分析。

    申请试用

    分析报告

    专利汇分析报告产品可以对行业情报数据进行梳理分析,涉及维度包括行业专利基本状况分析、地域分析、技术分析、发明人分析、申请人分析、专利权人分析、失效分析、核心专利分析、法律分析、研发重点分析、企业专利处境分析、技术处境分析、专利寿命分析、企业定位分析、引证分析等超过60个分析角度,系统通过AI智能系统对图表进行解读,只需1分钟,一键生成行业专利分析报告。

    申请试用

    QQ群二维码
    意见反馈