首页 / 专利库 / 化学反应,工艺和试剂 / 等离子体氧化 / 半导体器件制造中的氧化锡膜

半导体器件制造中的

阅读:14发布:2023-01-15

专利汇可以提供半导体器件制造中的专利检索,专利查询,专利分析的服务。并且在 半导体 器件制造中, 氧 化 锡 膜用作间隔物和硬掩模。在一种方法中,在衬底上的突出特征的 侧壁 和 水 平表面上共形地形成氧化锡层。然后在侧壁上的氧化锡上形成 钝化 层,氧化锡然后从突出特征的水平表面去除,而不在突出特征的侧壁处去除。然后去除突出特征的材料,同时留下驻留在突出特征的侧壁处的氧化锡,从而形成氧化锡间隔物。基于氢的干蚀刻化学物质和基于氯的干蚀刻化学物质用于在各种材料存在下选择性地蚀刻氧化锡。在另一种方法中,通过在未 图案化 的氧化锡上形成图案化的层并将图案转移到氧化锡上而在衬底上形成图案化的氧化锡硬掩模层。,下面是半导体器件制造中的专利的具体信息内容。

1.一种处理半导体衬底的方法,所述方法包括:
(a)提供具有多个突出特征的半导体衬底,所述突出特征具有平表面和侧壁
(b)在所述突出特征的所述水平表面和所述侧壁上形成层;
(c)在所述突出特征的所述侧壁处的所述氧化锡层上形成钝化层;以及
(d)在形成所述钝化层之后,从所述突出特征的所述水平表面蚀刻并完全去除氧化锡,从而暴露出所述突出特征的材料,而不完全去除驻留在所述突出特征的所述侧壁处的氧化锡。
2.根据权利要求1所述的方法,其中在(d)中暴露的所述突出特征的所述材料选自由含材料、含材料和金属氧化物组成的组。
3.根据权利要求1所述的方法,其中(d)包括使用基于氢的蚀刻化学物质蚀刻所述氧化锡,从而导致氢化锡的形成。
4.根据权利要求1所述的方法,其中(d)包括使用基于氢的蚀刻化学物质,通过使所述半导体衬底与选自由H2、HBr、NH3、H2O、及其组合组成的组的等离子体活化的含氢反应物接触来蚀刻所述氧化锡。
5.根据权利要求1所述的方法,其中(d)包括使用基于氯的蚀刻化学物质蚀刻氧化锡,所述蚀刻氧化锡包括将所述半导体衬底暴露于选自由Cl2、BCl3和其组合组成的组的等离子体活化的含氯反应物。
6.根据权利要求1所述的方法,其中在(d)中暴露的所述突出特征的所述材料选自由SiO2、SiN、SiOC、SiC、SiCN、SiCNO和SiOC组成的组,并且其中(d)包括使用基于氢的蚀刻化学物质蚀刻氧化锡,从而导致氢化锡的形成。
7.根据权利要求1所述的方法,其中所述突出特征是氧化硅覆盖的硅突出特征,并且其中(d)包括:使用基于氢的蚀刻化学物质蚀刻氧化锡,从而导致氢化锡的形成;并且暴露所述氧化硅材料。
8.根据权利要求1所述的方法,其中所述突出特征是碳突出特征或光致抗蚀剂突出特征。
9.根据权利要求1所述的方法,其中所述突出特征是选自由TaO、TiO、WO、ZrO和HfO组成的组的金属氧化物突出特征。
10.根据权利要求1所述的方法,其中在所述突出特征的所述侧壁处的所述氧化锡层上方形成所述钝化层包括在所述突出特征的所述水平表面和所述侧壁两者上沉积钝化材料,随后从所述突出特征的所述水平表面去除所述钝化材料。
11.根据权利要求1所述的方法,其中在所述突出特征的所述侧壁处的所述氧化锡层上方形成所述钝化层包括在所述突出特征的所述水平表面和所述侧壁两者上沉积含硅钝化材料,随后使用基于碳氟化合物的蚀刻化学物质从所述突出特征的所述水平表面去除所述含硅钝化材料。
12.根据权利要求1所述的方法,其中在所述突出特征的所述侧壁处的所述氧化锡层上方形成所述钝化层包括在所述突出特征的所述水平表面和所述侧壁两者上沉积含碳钝化材料,随后从所述水平表面去除所述含碳钝化材料。
13.根据权利要求1所述的方法,其中在所述突出特征的所述侧壁处的所述氧化锡层上方形成所述钝化层包括将所述氧化锡层的外部部分转换为选自由SnN、SnBr、SnF组成的组的含锡钝化材料。
14.根据权利要求1所述的方法,其中在所述突出特征的所述侧壁处的所述氧化锡层上方形成所述钝化层包括通过使所述衬底与等离子体中的含氮反应物接触而将所述氧化锡层的外部部分转换为氮化锡。
15.根据权利要求1所述的方法,其中(d)包括使用在包含Cl2和BCl3的气体中形成的等离子体蚀刻所述氧化锡层,然后用在含H2的气体中形成的等离子体蚀刻所述氧化锡层。
16.根据权利要求1所述的方法,其中在(a)中提供的所述半导体衬底还包括在所述突出特征之间的暴露的选自由氧化硅、氧化、氧化锆和氧化钨组成的组的材料。
17.根据权利要求1所述的方法,其还包括在(d)之后去除所述突出特征,而不完全去除驻留在所述突出特征的所述侧壁处的所述氧化锡层,从而形成氧化锡间隔物。
18.根据权利要求1所述的方法,其还包括在(d)之后去除所述突出特征,而不完全去除驻留在所述突出特征的所述侧壁处的所述氧化锡层,从而形成氧化锡间隔物。
19.根据权利要求1所述的方法,其中所述氧化锡层共形地沉积至介于约5nm-30nm之间的厚度。
20.根据权利要求1所述的方法,其中所述钝化层具有1nm-5nm的厚度。
21.根据权利要求1所述的方法,其还包括:
将光致抗蚀剂施加在所述衬底上;
将所述光致抗蚀剂暴露于光;
图案化所述光致抗蚀剂并将所述图案转移到所述衬底上;并且
选择性地从所述衬底上去除所述光致抗蚀剂。
22.一种部分制造的半导体衬底,其中所述半导体衬底包括多个间隔物,其中每个间隔物包括氧化锡层和钝化材料层。
23.一种用于处理半导体衬底的系统,所述系统包括:
(a)一个或多个沉积室;
(b)一个或多个蚀刻室;和
(c)系统控制器,其包括用于以下操作的程序指令:
(i)致使在所述半导体衬底上的多个突出特征的水平表面和侧壁上沉积氧化锡层;
(ii)致使在所述突出特征的所述侧壁处的所述氧化锡层上形成钝化层,以及(ii)致使从所述突出特征的水平表面完全去除所述氧化锡层,而不会致使在所述突出特征的所述侧壁上完全去除所述氧化锡层。
24.一种处理半导体衬底的方法,所述方法包括:
(a)通过在未图案化的氧化锡硬掩模层上形成图案化层并将所述图案转移到所述氧化锡硬掩模层上而在所述半导体衬底上形成图案化的氧化锡硬掩模层;以及(b)在所述氧化锡硬掩模层的存在下处理所述半导体衬底。
25.根据权利要求24所述的方法,其中处理所述半导体衬底包括蚀刻未被所述图案化的氧化锡硬掩模覆盖的暴露的材料。
26.根据权利要求25所述的方法,其中未被所述图案化的氧化锡硬掩模覆盖的所述暴露的材料是含硅材料,并且其中所述蚀刻包括将所述衬底暴露于基于碳氟化合物的蚀刻化学物质。
27.根据权利要求25所述的方法,其中未被所述图案化的氧化锡硬掩模覆盖的所述暴露的材料是含碳材料,并且其中所述蚀刻包括将所述衬底暴露于基于氧的蚀刻化学物质。
28.一种处理半导体衬底的方法,该方法包括:
(a)提供具有暴露的图案化可灰化层的半导体衬底,其中所述图案化可灰化层包括多个凹陷特征,其中暴露的目标材料位于每个凹陷特征的底部;
(b)用氧化锡填充所述凹陷特征并且在所述可灰化层上形成氧化锡覆盖层
(c)去除所述氧化锡覆盖层以暴露所述下伏的可灰化材料;以及
(d)去除所述可灰化材料以暴露下伏的目标层而不完全去除氧化锡。
29.根据权利要求28所述的方法,其中所述可灰化材料是含碳材料,并且其中(d)包括用基于氧的蚀刻化学物质去除所述可灰化材料。
30.一种用于处理半导体衬底的方法,该方法包括:
(a)提供具有图案化的氧化锡层的半导体衬底,其中所述图案化的氧化锡层包括多个凹陷特征;
(b)用含硅材料填充所述凹陷特征,其中所述含硅材料是硅或含硅化合物,并在所述氧化锡层上形成所述含硅材料的覆盖层;
(c)去除所述覆盖层以暴露所述下伏的氧化锡;以及
(d)去除所述氧化锡而不去除所述含硅材料。
31.根据权利要求30所述的方法,其中(d)包括用H2等离子体蚀刻化学物质蚀刻氧化锡。
32.一种处理半导体衬底的方法,所述方法包括:
(a)提供具有多个突出特征的半导体衬底;
(b)在所述突出特征的水平表面和侧壁上沉积氧化锡层;以及
(c)从所述突出特征的所述水平表面蚀刻并完全去除氧化锡,而不完全去除驻留在所述突出特征的所述侧壁处的氧化锡,其中所述蚀刻包括将所述半导体衬底暴露于基于氢的蚀刻化学物质以将氧化锡转换成氢化锡。
33.一种处理半导体衬底的方法,所述方法包括:
(a)提供具有多个突出特征的半导体衬底;
(b)在所述突出特征的水平表面和侧壁上沉积氧化锡层;以及
(c)从所述突出特征的所述水平表面蚀刻并去除氧化锡,而不完全去除驻留在所述突出特征的所述侧壁处的氧化锡,其中所述蚀刻包括将所述半导体衬底暴露于基于氯的蚀刻化学物质以将氧化锡转化为氯化锡。
34.一种处理半导体衬底的方法,该方法包括:
(a)提供衬底,所述衬底具有氧化锡层、在所述氧化锡层上方的暴露的图案化的光致抗蚀剂层以及在所述氧化锡层下方的下伏材料层,其中所述氧化锡在未被所述光致抗蚀剂覆盖的位置处暴露;
(b)在光致抗蚀剂存在下选择性地蚀刻所述氧化锡,并暴露所述下伏材料,其中所述蚀刻对光致抗蚀剂和所述下伏材料都是选择性的。
35.根据权利要求34所述的方法,其中选择性地蚀刻所述氧化锡包括将所述衬底暴露于具有含碳添加剂的基于氢的等离子体蚀刻化学物质,导致在所述蚀刻期间在所述半导体衬底上形成含碳聚合物
36.根据权利要求34所述的方法,其中选择性地蚀刻所述氧化锡包括将所述半导体衬底暴露于在包含H2和烃的工艺气体中形成的等离子体。
37.根据权利要求34所述的方法,其中(b)中使用的所述氧化锡蚀刻相对于光致抗蚀剂具有至少100:1的蚀刻选择比,并且相对于所述下伏材料具有至少10:1的蚀刻选择比。
38.根据权利要求34所述的方法,其中所述下伏材料选自由硅(Si)、含硅化合物和含碳材料组成的组。
39.根据权利要求34所述的方法,其中所述下伏材料是非晶硅,并且其中所述方法还包括在氧化锡存在下选择性地蚀刻在(b)中暴露的非晶硅。
40.根据权利要求34所述的方法,其中所述下伏材料包含钨(W)和碳(C),并且其中所述方法还包括在氧化锡存在下选择性地蚀刻在(b)中暴露的所述下伏材料。
41.根据权利要求34所述的方法,其还包括:
(c)在氧化锡存在下选择性地蚀刻暴露的所述下伏材料;以及
(d)通过将所述半导体衬底暴露于在基本上由H2组成的工艺气体中形成的等离子体,从所述半导体衬底去除所述氧化锡。
42.一种处理半导体衬底的方法,所述方法包括:
(a)提供具有暴露的氧化锡层的半导体衬底;
(b)在选自由光致抗蚀剂、硅(Si)、碳和含碳材料组成的组的材料存在下通过将所述半导体衬底暴露于在包含H2和烃的工艺气体中形成的等离子体,选择性地蚀刻所述氧化锡。
43.一种处理半导体衬底的方法,所述方法包括:
(a)提供具有暴露的氧化锡层的半导体衬底;
(b)使用基于氢的蚀刻,在选自由硅(Si)、碳、含碳材料、金属、金属氧化物和金属氮化物组成的组的材料存在下选择性地蚀刻所述氧化锡。
44.一种处理半导体衬底的方法,所述方法包括:
(a)提供具有暴露的氧化锡层的半导体衬底;
(b)在选自由硅(Si)、含硅化合物、光致抗蚀剂、碳(C)、含碳材料、金属、金属氧化物和金属氮化物组成的组的材料存在下,通过将所述半导体衬底暴露于在包含选自由HBr、烃、NH3和H2O组成的组的含氢反应物的工艺气体中形成的等离子体,选择性地蚀刻所述氧化锡,以在所述蚀刻期间形成氢化锡。
45.一种处理半导体衬底的方法,所述方法包括:
(a)提供具有暴露的选自由氧化钛和含钨材料组成的组的材料层的半导体衬底;
(b)在选自由氧化钛和含钨材料组成的组的所述材料存在下选择性地蚀刻所述氧化锡。

说明书全文

半导体器件制造中的

相关申请的交叉引用

[0001] 本申请要求2017年2月17日提交的名称为“Tin Oxide Films in Semiconductor Device Manufacturing”的Tan等人作为发明人的美国临时专利申请No.62/460,573,和2017年3月31日提交的名称为“Tin Oxide Films  in  Semiconductor  Device Manufacturing”的Tan等人作为发明人的美国临时专利申请No.62/479,709,以及2018年2月12日提交的名称为“Tin Oxide Films in Semiconductor Device Manufacturing”的Yu等人作为发明人的美国专利申请No.15/894,635的权益,其全部内容通过引用并入本文。

技术领域

[0002] 本发明涉及半导体器件制造的方法。具体地,本发明的实施方案涉及在半导体加工中使用氧化锡膜的方法。

背景技术

[0003] 在集成电路(IC)制造中,沉积和蚀刻技术用于形成材料图案,例如用于形成嵌入介电层中的金属线。一些图案化方案涉及使用能够精确图案化和形成小尺寸特征的间隔物。间隔物形成在衬底上,使得它们以限定(通常由先前的图案化确定)的距离分开,并且用作掩模以用于下伏层的图案化。选择间隔物和周围层的材料以具有适当的蚀刻选择性,这将使得能够形成间隔物和图案化下伏层。在图案化完成之后,间隔物通过蚀刻去除,并且间隔物不是最终制造的半导体器件的一部分。
[0004] 间隔物用于各种应用中的图案化,包括形成动态随机存取存储器(DRAM)、图案化鳍式场效应晶体管(finFET)中的鳍以及后端线(BEOL)处理。

发明内容

[0005] 在一方面,提供了一种处理半导体衬底的方法。在一些实施方案中,所述方法包括:(a)提供具有多个突出特征的半导体衬底,所述突出特征具有平表面和侧壁;(b)在所述突出特征的所述水平表面和所述侧壁上形成氧化锡层(例如,共形地沉积氧化锡至约5-30nm之间的厚度);(c)在所述突出特征的所述侧壁处在所述氧化锡层上形成钝化层;以及(d)在形成所述钝化层之后,从所述突出特征的所述水平表面蚀刻并去除氧化锡,从而暴露出所述突出特征的材料,而不去除驻留在所述突出特征的所述侧壁的氧化锡。在一些实施方案中,钝化层的厚度为1-5nm。在一些实施方案中,在(d)中暴露的所述突出特征的所述材料选自由含材料(例如,氧化硅或另一种含硅化合物)、含材料(例如,无定形碳或光致抗蚀剂)和金属氧化物(例如,氧化)组成的组。在一些实施方案中,(a)中提供的半导体衬底在所述突出特征之间包括选自由氧化硅、氧化钛、氧化锆和氧化钨组成的组的材料。
[0006] 在一些实施方案中,从所述突出特征的水平表面蚀刻和去除氧化锡的步骤包括使用基于氢的蚀刻化学物质蚀刻所述氧化锡,从而导致氢化锡的形成。例如,这样的蚀刻可以包括使所述半导体衬底与选自由H2、HBr、NH3、H2O、及其组合组成的组的等离子体活化的含氢反应物接触
[0007] 在一些实施方案中,从所述突出特征的水平表面蚀刻和去除氧化锡的步骤包括使用基于氯的蚀刻化学物质,其包括将所述半导体衬底暴露于选自由Cl2、BCl3和其组合组成的组的等离子体活化的含氯反应物。在一些实施方案中,从所述突出特征的水平表面蚀刻和去除氧化锡的步骤包括使用基于氯的蚀刻化学物质蚀刻氧化锡,然后使用基于氢的蚀刻化学物质蚀刻氧化锡。例如,该步骤可包括使用在包含Cl2和BCl3的气体中形成的等离子体蚀刻氧化锡层,然后用在含H2的气体中形成的等离子体蚀刻氧化锡层。
[0008] 在一实现方式中,在氧化锡蚀刻期间暴露的所述突出特征的所述材料选自由SiO2、SiN、SiOC、SiC、SiCN、SiCNO和SiOC组成的组,并且所述氧化锡蚀刻包括使用基于氢的蚀刻化学物质蚀刻氧化锡,从而导致氢化锡形成。在一实施方案中,突出特征是覆盖有氧化硅的硅突出特征并且从突出部的水平表面去除氧化锡层包括:使用基于氢的蚀刻化学物质蚀刻氧化锡,从而导致氢化锡形成;并且暴露所述下伏氧化硅材料。
[0009] 在另一实施方案中,突出特征是碳突出特征或光致抗蚀剂突出特征。在另一实施方案中,突出特征是选自由氧化钽(TaO)、氧化钛(TiO)、氧化钨(WO)、氧化锆(ZrO)和氧化铪(HfO)组成的组的金属氧化物突出特征。
[0010] 在一些实施方案中,在所述突出特征的所述侧壁处的所述氧化锡层上方形成所述钝化层包括在所述突出特征的所述水平表面和所述侧壁两者上沉积钝化材料,随后从所述突出特征的所述水平表面去除所述钝化材料。在一实现方案中,在所述突出特征的所述侧壁处的所述氧化锡层上方形成所述钝化层包括在所述突出特征的所述水平表面和所述侧壁两者上沉积含硅钝化材料,随后使用基于碳氟化合物的蚀刻化学物质从所述突出特征的所述水平表面去除所述含硅钝化材料。在另一实现方案中,在所述突出特征的所述侧壁处的所述氧化锡层上方形成所述钝化层包括在所述突出特征的所述水平表面和所述侧壁两者上沉积含碳钝化材料,随后从所述水平表面去除所述含碳钝化材料。
[0011] 在一些实施方案中,在所述突出特征的所述侧壁处的所述氧化锡层上方形成所述钝化层包括将所述氧化锡层的外部部分转换为选自由氮化锡(SnN)、溴化锡(SnBr)和氟化锡(SnF)组成的组的含锡钝化材料。在一示例中,在所述突出特征的所述侧壁处的所述氧化锡层上方形成所述钝化层包括通过使所述衬底与等离子体中的含氮反应物接触而将所述氧化锡层的外部部分转换为氮化锡。
[0012] 在从水平表面去除氧化锡层之后,该工艺可以继续,即去除所述突出特征,而不完全去除驻留在所述突出特征的所述侧壁处的所述氧化锡层,从而形成氧化锡间隔物。
[0013] 所述方法还可以包括:将光致抗蚀剂施加在所述衬底上;将所述光致抗蚀剂暴露于光;图案化所述光致抗蚀剂并将所述图案转移到所述衬底上;并且选择性地从所述衬底上去除所述光致抗蚀剂。
[0014] 在另一方面,提供了一种部分制造的半导体衬底,其中所述半导体衬底包括多个间隔物,其中每个间隔物包括氧化锡层和钝化材料层。
[0015] 在另一方面,提供了一种用于处理半导体衬底的系统。在一实施方案中,所述系统包括:一个或多个沉积室;一个或多个蚀刻室;和系统控制器,其包括用于以下操作的程序指令:(i)致使在所述半导体衬底上的多个突出特征的水平表面和侧壁上沉积氧化锡层;(ii)致使在所述突出特征的所述侧壁处的所述氧化锡层上形成钝化层,以及(iii)致使从所述突出特征的水平表面去除所述氧化锡层,而不会致使在所述突出特征的所述侧壁上去除所述氧化锡层。
[0016] 在一实施方案中,提供了用于使用氧化锡硬掩模处理半导体衬底的方法。在一实施方案中,一种处理半导体衬底的方法包括:通过在未图案化的氧化锡硬掩模层上形成图案化层并将所述图案转移到所述氧化锡硬掩模层上而在所述半导体衬底上形成图案化的氧化锡硬掩模层;以及在所述氧化锡硬掩模层的存在下处理所述半导体衬底。
[0017] 在一实现方案中,处理所述半导体衬底包括蚀刻未被所述图案化的氧化锡硬掩模覆盖的暴露的材料。在一实施方案中,未被所述图案化的氧化锡硬掩模覆盖的所述暴露的材料是含硅材料,并且所述蚀刻包括将所述衬底暴露于基于氟的蚀刻化学物质(例如基于碳氟化合物的蚀刻化学物质)。在另一实施方案中,未被所述图案化的氧化锡硬掩模覆盖的所述暴露的材料是含碳材料,并且所述蚀刻包括将所述衬底暴露于基于氧的蚀刻化学物质。
[0018] 在另一方面,提供了一种处理半导体衬底的方法,其中该方法包括:(a)提供具有暴露的图案化可灰化层的半导体衬底,其中所述图案化可灰化层包括多个凹陷特征,其中暴露的目标材料位于每个凹陷特征的底部;(b)用氧化锡填充所述凹陷特征并且在所述可灰化层上形成氧化锡覆盖层;(c)去除所述氧化锡覆盖层以暴露所述下伏的可灰化材料;以及(d)去除所述可灰化材料以暴露下伏的目标层而不完全去除氧化锡。在一实施方案中,所述可灰化材料是含碳材料(例如碳),并且(d)包括用基于氧的蚀刻化学物质去除所述可灰化材料。
[0019] 在另一方面,提供了一种用于处理半导体衬底的方法,其中,该方法包括:(a)提供具有图案化的氧化锡层的半导体衬底,其中所述图案化的氧化锡层包括多个凹陷特征;(b)用含硅材料填充所述凹陷特征,其中所述含硅材料是硅或含硅化合物,并在所述氧化锡层上形成所述含硅材料的覆盖层;(c)去除所述覆盖层以暴露所述下伏的氧化锡;以及(d)去除所述氧化锡而不完全去除所述含硅材料。在一种实现方式中,在不完全去除含硅材料的情况下去除氧化锡包括使用基于氢的蚀刻化学物质(例如,在H2中形成的等离子体)来蚀刻氧化锡。
[0020] 在另一方面,一种处理半导体衬底的方法包括:(a)提供具有多个突出特征的半导体衬底;(b)在所述突出特征的水平表面和侧壁上沉积氧化锡层;以及(c)从所述突出特征的所述水平表面蚀刻并去除氧化锡,而不完全去除驻留在所述突出特征的所述侧壁处的氧化锡,其中所述蚀刻包括将所述半导体衬底暴露于基于氢的蚀刻化学物质以将氧化锡转换成氢化锡。
[0021] 在另一方面,一种处理半导体衬底的方法包括:(a)提供具有多个突出特征的半导体衬底;(b)在所述突出特征的水平表面和侧壁上沉积氧化锡层;以及(c)从所述突出特征的所述水平表面蚀刻并完全去除氧化锡,而不完全去除驻留在所述突出特征的所述侧壁处的氧化锡,其中所述蚀刻包括将所述半导体衬底暴露于基于氯的蚀刻化学物质以将氧化锡转化为氯化锡。
[0022] 在另一方面,一种处理半导体衬底的方法包括:(a)提供衬底,所述衬底具有氧化锡层、在所述氧化锡层上方的暴露的图案化的光致抗蚀剂层以及在所述氧化锡层下方的下伏材料层,其中所述氧化锡在未被所述图案化的光致抗蚀剂覆盖的位置处暴露;以及(b)在光致抗蚀剂存在下选择性地蚀刻所述氧化锡,并暴露所述下伏材料,其中所述蚀刻对光致抗蚀剂和所述下伏材料都是选择性的。在一些实施方案中,选择性地蚀刻所述氧化锡包括将所述衬底暴露于具有含碳添加剂的基于氢的等离子体蚀刻化学物质,导致在所述蚀刻期间在所述半导体衬底上形成含碳聚合物。在一实现方案中,选择性地蚀刻所述氧化锡包括将所述半导体衬底暴露于在包含H2和烃的工艺气体中形成的等离子体。在一些实施方案中,该氧化锡蚀刻化学物质相对于光致抗蚀剂提供至少100的蚀刻选择比,并且相对于所述下伏材料提供至少10的蚀刻选择比。在一些实施方案中,所述下伏材料选自由硅(Si)、含硅化合物和含碳材料组成的组。在一实现方案中,所述下伏材料是非晶硅,并且所述方法还包括在氧化锡存在下选择性地蚀刻在(b)中暴露的非晶硅。在另一实现方案中,所述下伏材料包含钨(W)和碳(C),并且所述方法还包括在氧化锡存在下选择性地蚀刻在(b)中暴露的所述下伏材料。在一些实施方案中,在选择性蚀刻氧化锡之后,所述方法还包括:(c)在氧化锡存在下选择性地蚀刻暴露的所述下伏材料;以及(d)通过将所述半导体衬底暴露于在基本上由H2组成的工艺气体中形成的等离子体,从所述半导体衬底去除所述氧化锡。
[0023] 在其他方面,提供了选择性蚀刻方法。在一方面,一种处理半导体衬底的方法包括:(a)提供具有暴露的氧化锡层的半导体衬底;(b)在选自由光致抗蚀剂、硅(Si)、碳和含碳材料组成的组的材料存在下通过将所述半导体衬底暴露于在包含H2和烃的工艺气体中形成的等离子体,选择性地蚀刻所述氧化锡。
[0024] 在另一方面,一种处理半导体衬底的方法包括:(a)提供具有暴露的氧化锡层的半导体衬底;(b)使用基于氢的蚀刻,在选自由硅(Si)、碳、含碳材料、金属、金属氧化物和金属氮化物组成的组的材料存在下选择性地蚀刻所述氧化锡。
[0025] 在另一方面,一种处理半导体衬底的方法包括:(a)提供具有暴露的氧化锡层的半导体衬底;以及(b)在选自由硅(Si)、含硅化合物、光致抗蚀剂、碳(C)、含碳材料、金属、金属氧化物和金属氮化物组成的组的材料存在下,通过将所述半导体衬底暴露于在包含选自由HBr、烃、NH3和H2O组成的组的含氢反应物的工艺气体中形成的等离子体,选择性地蚀刻所述氧化锡,以在所述蚀刻期间形成氢化锡。
[0026] 在另一方面,一种处理半导体衬底的方法包括:(a)提供具有暴露的选自由氧化钛和含钨材料组成的组的材料层的半导体衬底;以及(b)在选自由氧化钛和含钨材料组成的组的所述材料存在下选择性地蚀刻所述氧化锡。
[0027] 在另一方面,提供了一种装置,其中该装置包括处理室和控制器,该控制器具有用于引起本文提供的任何方法的程序指令。
[0028] 在另一方面,提供了一种半导体器件,其中半导体器件包括氧化锡层和本文所述任何配置的多种其他材料。
[0029] 在附图和以下描述中阐述了本说明书中描述的主题的实现方案的这些和其他方面。

附图说明

[0030] 图1A-1F示出了正在进行涉及间隔物形成的处理的半导体衬底的示意性横截面图。
[0031] 图2是根据本文提供的一实施方案的方法的工艺流程图
[0032] 图3A-3E示出了根据本文提供的一实施方案的正在进行处理的半导体衬底的示意性截面图。
[0033] 图4是根据本文提供的一实施方案的形成氧化锡间隔物的方法的工艺流程图。
[0034] 图5A-5C示出了根据本文提供的一实施方案的正在进行处理的半导体衬底的示意性截面图。
[0035] 图5D-5G示出了根据本文提供的另一实施方案的正在进行处理的半导体衬底的示意性剖视图。
[0036] 图5H-5K示出了根据本文提供的另一实施方案的正在进行处理的半导体衬底的示意性剖视图。
[0037] 图5L-5O示出了根据本文提供的另一实施方案的正在进行处理的半导体衬底的示意性剖视图。
[0038] 图6是根据本文提供的一实施方案的半导体的方法的工艺流程图。
[0039] 图7A-7C示出了根据本文提供的一实施方案的正在进行处理的半导体衬底的示意性截面图。
[0040] 图8是根据本文提供的一实施方案的方法的工艺流程图。
[0041] 图9A-9C示出了根据本文提供的一实施方案的正在进行处理的半导体衬底的示意性截面图。
[0042] 图10是根据本文提供的一实施方案的方法的工艺流程图。
[0043] 图11是适用于使用本文提供的蚀刻化学物质蚀刻氧化锡的装置的示意图。
[0044] 图12示出了根据本文提供的一实施方案的多站式处理系统的示意图。具体实施方案
[0045] 提供了在半导体器件制造中使用氧化锡膜的方法。所述方法利用多种具有可调的蚀刻速率和选择性的蚀刻工艺,其使得能将氧化锡膜集成到采用多种材料的工艺方案中,所述多种材料例如含硅化合物(例如,氧化硅(SiO2)、碳化硅(SiC)、氮化硅(SiN)、碳氧化硅(SiOC)、氮氧化硅(SiNO)、碳氮氧化硅(SiCNO)和碳氮化硅(SiCN))、元素硅(Si),碳(包括无定形碳和类金刚石碳)、光致抗蚀剂、含碳化合物(例如,有机聚合物、金属碳化物、含钨碳)、金属(例如钨)、金属氧化物(例如,氧化钛、氧化铪、氧化锆、氧化钽)和金属氮化物(例如,氮化钽(TaN)和氮化钛(TiN))。在一些实施方案中,在这些材料中的任何材料存在下蚀刻氧化锡,其中蚀刻选择比为至少约10:1,例如至少约20:1。在一些实施方案中,在氧化锡存在下蚀刻这些材料中的任何材料,蚀刻选择比为至少约10:1,例如至少约20:1。
[0046] 在一些实施方案中,氧化锡用于图案化工艺,其中氧化锡膜是间隔物、或硬掩模(例如,位于光致抗蚀剂下方和目标层上方的中间层)。例如,氧化锡可以是自对准间隔物辅助图案化中的间隔物、自对准双图案化(SADP)或自对准四重图案化(SAQP)中的中间层、接触孔硬掩模、极端UV(EUV)硬掩模、反色调掩模或线后端(BEOL)硬掩模。结合选择性蚀刻工艺,氧化锡满足这些应用所施加的严格的关键尺寸(CD)/轮廓和选择性要求。蚀刻工艺可以在允许等离子体蚀刻的各种工具上实施,例如在Lam Research Corporation提供的和FlexTM蚀刻工具上实施。
[0047] 可以使用基于氢的蚀刻,针对各种材料选择性地蚀刻氧化锡,从而将氧化锡转化为挥发性氢化锡产物(例如,四氢化锡)。这里使用的术语“氢化锡”包括多种锡氢化物(具有锡-氢键合的化合物),并且不仅限于四氢化锡(SnH4)。类似“氯化锡”和“氟化硅”之类的术语类似地可包括多种氯化物和氟化物。与许多其它金属的氢化物不同,四氢化锡具有低沸点,因此可以通过清扫和/或抽排容易地从处理室中去除,从而使基于氢的蚀刻成为选择性氧化锡蚀刻的特别有吸引的工艺。
[0048] 如本文所使用的,氧化锡是指包含锡(Sn)和氧(O)的材料,并且可任选地包括氢。如本文所使用的,氧化锡还可包含少量其他元素,例如碳和氮,其中其他元素的总量为10原子%或更低(其中氢不包括在含量的计算中)。例如,ALD沉积的氧化锡可含有约0.5-5原子%的碳。例如,可以通过ALD、PECVD或PVD沉积氧化锡。氧化锡的化学计量通常可以变化。
在一些实施方案中,锡与氧的原子比为约1:2(SnO2)。应理解,偏离1:2的锡比氧的化学计量的小偏差在SnO2中可能存在,并且是在SnO2结构的范围内。例如,在一些SnO2的示例中,O比Sn的原子比在约2.0-2.3之间。如本文所使用的,O比Sn的比率为约1.5-2.5的氧化锡在SnO2材料的范围内。本文所述的氧化锡材料不同于氧化铟锡材料和其他混合氧化物。
[0049] 应理解,除非另有说明,否则在本文所使用的其他化学化合物中,化学计量可以变化。例如,诸如SiN和HfO之类的化学式指定存在但不是化学计量的元素。此外,应理解,本文所述的材料可包含氢(即使未在化学式中指明)和少量未在化学名称中明确列出的掺杂剂(例如小于10原子%的掺杂剂)。
[0050] 本文使用的术语“半导体衬底”是指在半导体器件制造的任何阶段的在结构内的任何位置包含半导体材料的衬底。应该理解,半导体衬底中的半导体材料不需要暴露。具有覆盖半导体材料的多层其他材料(例如,电介质)的半导体晶片是半导体衬底的示例。以下详细描述假设所公开的实现方式在晶片上实现。然而,所公开的实现方式不限于此。工件可以具有各种形状、尺寸和材料。除了半导体晶片之外,可以利用所公开的实现方式的其他工件包括各种制品,例如印刷电路板等。
[0051] 在一些实施方案中,提供的选择性蚀刻化学物质用于去除衬底上的某些材料或特征而不去除其他材料或特征。如本文所使用的,当去除至少90%(例如100%)(参考竖直方向上的厚度)的材料或特征时,蚀刻化学物质就“去除”了所述材料或特征。如本文所使用的,术语“不去除”是指在蚀刻之后保留至少50%的材料或特征(例如至少80%),其中%是指竖直方向上的厚度。
[0052] 在一些实施方案中,提供的方法用于从突出特征的水平表面去除材料而不去除驻留在突出特征的侧壁处的材料。应当理解,如本文所使用的水平表面包括相对于水平面具有局部偏差的表面,例如突出特征的顶部上的凸形帽。
[0053] 已经开发了多种蚀刻化学物质,其用于在其他材料存在下选择性蚀刻氧化锡,以及在氧化锡存在下选择性蚀刻其他材料。在另一种材料存在下选择性蚀刻氧化锡称为氧化锡蚀刻,其中氧化锡的蚀刻速率比另一种材料的蚀刻速率的比率大于1,并且其中另一种材料与氧化锡在蚀刻工艺的任何时候暴露于相同的蚀刻化学物质。例如,其他材料可以在蚀刻开始时暴露,或者可以在蚀刻过程中暴露。在另一种材料存在下选择性蚀刻氧化锡的蚀刻选择性是指对于给定的化学物质,氧化锡的蚀刻速率比另一种材料的蚀刻速率的比率。例如,可以在含硅化合物的存在下使用基于氢的蚀刻化学物质选择性地蚀刻氧化锡,其中蚀刻选择性大于50。
[0054] 类似地,在氧化锡存在下对材料的选择性蚀刻是指对该材料的这种蚀刻,其中该材料的蚀刻速率比氧化锡的蚀刻速率的比率大于1,并且其中氧化锡在蚀刻工艺的任何时候与被蚀刻的材料暴露于相同的蚀刻化学物质。例如,氧化锡在蚀刻开始时可以暴露,或者可以在蚀刻过程中暴露。在氧化锡存在下对材料的选择性蚀刻的蚀刻选择性是指对于给定的化学物质,该材料的蚀刻速率比氧化锡的蚀刻速率的比率。例如,可以在氧化锡存在下使用基于氧的蚀刻化学物质选择性地蚀刻碳,其中蚀刻选择性大于50。
[0055] 在一些实施方案中,提供半导体衬底,其中半导体衬底包括暴露的氧化锡层和第二材料层,其中第二材料可以暴露或不暴露。接下来,使用本文所述的选择性氧化锡蚀刻化学物质中的一种,在第二材料的存在下选择性地蚀刻氧化锡。第二材料可以在该蚀刻之前暴露,或者可以在氧化锡蚀刻的过程中暴露。
[0056] 在一些实施方案中,提供半导体衬底,其中半导体衬底包括第一材料暴露层和氧化锡层,其中氧化锡可以暴露或不暴露。接下来,使用本文所述选择性化学物质中的一种在氧化锡存在下选择性地蚀刻第一材料。氧化锡可以在该蚀刻之前暴露,或者可以在氧化锡蚀刻的过程中暴露。
[0057] 基于氢的蚀刻。在一些实施方案中,使用基于氢的蚀刻执行选择性氧化锡蚀刻。基于氢的蚀刻涉及将氧化锡暴露于含氢反应物(通常在该反应物的等离子体活化的情况下),使得其将氧化锡转化为挥发性氢化锡。SnH4的沸点为-52℃,可以很容易地从处理室中去除。含氢反应物的示例包括H2、HBr、NH3、H2O和烃(如CH4)。也可以使用含氢反应物的混合物。基于氢的蚀刻涉及在含有含氢反应物和任选的惰性气体的工艺气体中形成等离子体,并使衬底与形成的等离子体接触。惰性气体的示例包括氮气(N2)、氦气(He)、氩气(Ar)和氖气(Ne)、以及氙气(Xe)。在一些实施方案中,H2是优选的含氢反应物,并且在一些实施方案中,优选在按体积计含有至少50%,例如至少80%H2的气体中形成等离子体。在其他实施方案中,HBr被用作含氢反应物。例如,可以用在基本上由HBr和惰性气体组成的工艺气体中(例如在HBr、N2和氩的混合物中)形成的等离子体选择性地蚀刻氧化锡。基于氢的蚀刻通常使用不包含含氧和含氟物质的工艺气体进行。在一些实施方案中,工艺气体基本上由一种或多种含氢反应物和任选的惰性气体组成。
[0058] 基于氢的蚀刻可以在以下材料的存在下选择性地去除氧化锡:含硅化合物,例如SiO2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、旋涂玻璃;金属氧化物,如氧化钛、氧化钨和氧化锆;金属氮化物,如氮化钛和氮化钽;金属,如钨;光致抗蚀剂和有机聚合物。此外,基于氢的蚀刻可用于在氧化硅覆盖的硅存在下选择性地蚀刻氧化锡。当硅暴露于大气时,氧化硅通常在硅表面上形成。基于氢的蚀刻也可用于在元素硅(例如,非晶硅)和碳的存在下选择性地蚀刻氧化锡。此外,基于氢的蚀刻可用于在金属碳化物和含有金属和碳的材料存在下选择性地蚀刻氧化锡。例如,可以在钨碳材料(也称为钨掺杂的碳)的存在下用基于氢的蚀刻选择性地蚀刻氧化锡。在一些实施方案中,钨碳材料包括介于约20-60原子%之间的钨。
[0059] 在一些实施方案中,提供半导体衬底,其中半导体衬底包括暴露的氧化锡层和任何这些材料的层。接下来,在这些材料的存在下选择性地蚀刻氧化锡。这些材料可以在该蚀刻之前暴露,或者可以在氧化锡蚀刻的过程中暴露。
[0060] 在一些实施方案中,基于氢的蚀刻的蚀刻选择性大于10,例如大于30,例如,大于50,或大于80。蚀刻选择性是指对于选定的工艺条件,氧化锡的蚀刻速率比其他材料的蚀刻速率的比率。在一些示例中,使用H2等离子体,相对于SiO2,蚀刻氧化锡实现了100的蚀刻选择性。
[0061] 利用氢等离子体(指在含氢反应物中形成的等离子体)的氧化锡蚀刻方法可以在各种工艺条件下在各种装置中实现。在一实现方式中,所述方法包括:将具有暴露的氧化锡层的半导体衬底提供到蚀刻室,并使衬底与在包含H2(或另一种含氢气体)和任选地载气(如氦气或其他惰性气体)的工艺气体中形成的等离子体接触。术语“蚀刻室”或“蚀刻装置”是指被配置用于蚀刻的室和装置。在一些实施方案中,“蚀刻室”或“蚀刻装置”专被配置用于蚀刻操作。在其他实施方案中,“蚀刻室”或“蚀刻装置”可以被配置为除了用于蚀刻之外还执行其他操作,例如沉积。例如,在一些实施方案中,蚀刻室也可用于ALD沉积。
[0062] 在一些实施方案中,用于氢等离子体蚀刻的等离子体在容纳半导体衬底的同一个处理室中产生。在其他实施方案中,等离子体远程产生,并通过处理室中的一个或多个入口被引入容纳衬底的处理室。
[0063] 控制蚀刻以将氧化锡转化为挥发性氢化锡。在一实施方案中,工艺气体中的H2含量按体积为至少50%,例如至少80%(可以高达并且包括100%)。在一些实施方案中,工艺气体可进一步包括烃,例如CH4。在一些实施方案中,工艺气体还包括Cl2。例如,工艺气体可基本上由H2和惰性气体(例如He)组成,或者工艺气体可基本上由H2、惰性气体和烃(例如,CH4)组成。在衬底附近测量的小于约100℃的温度下进行蚀刻。有利地,蚀刻反应仅产生挥发性材料,例如SnH4,其可通过抽排和/或清扫容易地从蚀刻处理室去除。蚀刻工艺温度优选地选择为小于约100℃,因为较高的温度可导致形成的SnH4分解并形成会污染处理室和衬底的颗粒。选择工艺气体的组成和工艺条件,以减少或消除蚀刻期间颗粒的形成。值得注意的是,蚀刻反应不需要任何显著的溅射组分,并且可以在衬底处没有外部偏置的情况下并且在不存在重离子(例如,氩离子)的情况下进行。减少溅射组分可有利于提高相对于衬底上的第二材料的蚀刻选择性。因此,在一些实施方案中,蚀刻被执行而不向衬底提供外部偏置和/或涉及使用氦(轻气体)作为载气,以减少溅射。
[0064] 可以使用各种频率(低和高)产生用于氢等离子体蚀刻的等离子体。合适频率的示例包括400KHz、2MHz、13.56MHz、27MHz或2.45GHz。在一些实施方案中,用于等离子体产生的功率范围可以在约50W至1000W之间的范围内,对应于约0.0018W/cm2至0.36W/cm2之间的功率密度。衬底处的偏置是可选的,偏置功率可以在约0到500W之间的范围内。每个喷头(用于处理一个300mm晶片)的合适气体速率是:i.H2:25至750sccm;
ii.Cl2:0至500sccm(e.g.,5–200sccm);
iii.He:0至500sccm(e.g.,5–100sccm);以及
iv.CH4:0至500sccm(e.g.,5–100sccm)。
[0065] 在一些实施方案中,蚀刻工艺可以在约1至175毫托的压强下进行。
[0066] 在一些具体实施方案中,使用高频产生(例如,13.56MHz或27MHz)来产生等离子体,并且使用对应于0.07W/cm2和0.18W/cm2之间的功率密度的在约200至500W之间的等离子体功率来提供等离子体。衬底处的偏置功率在约0和200W之间。每个喷头(用于处理一个300mm晶片)的合适气体速率为:
i.H2:100至300sccm;
ii.Cl2:0至200sccm(e.g.,5–100sccm);
iii.He:0至100sccm(e.g.,5–50sccm);
iv.CH4:0至100sccm(e.g.,5–50sccm)。
[0067] 在这些实施方案中,在约1至30毫托的压强下执行蚀刻工艺。
[0068] 发现通过在工艺气体中使用含碳反应物可以显著提高基于氢的蚀刻的选择性,所述含碳反应物在蚀刻期间在衬底表面上形成含碳聚合物(例如CHx聚合物)。在一些实施方案中,该实施方案中使用的工艺气体包含H2和烃(例如甲烷(CH4))。工艺气体通常还包含惰性气体。在一些实施方案中,H2比烃的比率优选为至少5,例如至少10。在一些实施方案中,H2比烃的体积比为介于约5-500之间,例如介于约10-300之间。在一些实施方案中,在另一种材料(或多种材料)存在下对氧化锡的选择性蚀刻包括将衬底暴露于在包含H2和烃(例如CH4)的工艺气体中形成的等离子体。在一种实现方式中,H2以约100-500sccm之间的速率提供,并且烃以约1-20sccm之间(例如约5-10sccm之间)的速率提供。该工艺可以使用对应于介于约0.14-1.3W/cm2(例如0.28-0.71W/cm2)之间的功率密度的介于约100-1000W(例如约200-500W(对于单个300mm晶片))之间的等离子体功率进行。在一些实施方案中,使用介于约50-500Vb之间(例如介于约100-200Vb之间)的衬底偏压来进行蚀刻。该工艺优选在低于约100℃的温度下进行。在一个具体示例中,提供以下气体:100sccm的H2;5sccm的CH4,以及
100sccm的氦气。使用300W的功率在工艺气体中形成等离子体,并且使用在25%占空比下的
100Vb的衬底偏压。该工艺在30℃和5毫托的压强下进行。如本文所述在衬底上形成含碳聚合物可提高氧化锡相对于本文所列任何材料的蚀刻选择性。当在光致抗蚀剂、碳、含碳材料和硅(Si)存在下蚀刻氧化锡时,这种效果特别有用。例如,当在光致抗蚀剂存在下进行蚀刻时,蚀刻选择性可以大于100,并且在一些情况下几乎是无限的。使用这种高选择性蚀刻使得能使用较小厚度的光致抗蚀剂以降低光刻暴露剂量和/或防止由于在小细间距下的高深宽比导致的光致抗蚀剂线塌陷。在所述方法中,CHx聚合物保护光致抗蚀剂不被蚀刻。此外,该蚀刻可用于改善光致抗蚀剂层的几何结构。在一些实施方案中,在存在设置在氧化锡层上方的光致抗蚀剂的情况下,并且在存在位于氧化锡下面的材料的情况下,使用该蚀刻选择性地蚀刻半导体衬底上的氧化锡,其中,相对于光致抗蚀剂和氧化锡下面的材料,蚀刻选择性为至少10。在一些实施方案中,氧化锡下面的材料包括硅(例如,非晶硅)、含硅化合物(例如,SiO2、SiN、SiC、SiON、SiOC)、碳(例如,无定形碳)以及含碳化合物(例如碳钨)中的一种或多种。
[0069] 在一些实施方案中,HBr在基于氢的蚀刻中用作含氢反应物。在一种实现方式中,蚀刻方法包括使HBr以速率100-50sccm流动,以及使惰性气体(例如氦气)以速率100-500sccm流动,并使用对应于0.14-0.71W/cm2的功率密度的100-500W(每300mm晶片)的RF功率在该工艺气体中形成等离子体。可以在有或没有衬底偏置的情况下进行该蚀刻。例如,衬底偏压可以在0-200Vb之间,例如在50-200Vb之间。该工艺可在低于100℃的温度和5-50毫托的压强下进行。
[0070] 基于氯的蚀刻。在一些实施方案中,使用基于氯的蚀刻执行选择性氧化锡蚀刻。基于氯的蚀刻涉及将氧化锡暴露于含氯反应物(通常在反应物的等离子体活化的情况下),使得其将氧化锡转化为氯化锡。SnCl4具有114℃的沸点,可以从处理室中去除。合适的含氯反应物的示例包括Cl2和BCl3。在一实施方案中使用Cl2和BCl3的混合物。在一种实现方式中,基于氯的蚀刻包括在含有含氯反应物和任选地含有惰性气体的工艺气体中形成等离子体,并使衬底与形成的等离子体接触。基于氯的蚀刻可以在以下材料的存在下选择性地去除氧化锡:含硅化合物(例如SiO2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、旋涂玻璃)、碳和光致抗蚀剂,但是对于含硅材料的选择性通常低于使用基于氢的蚀刻。在一些实施方案中,提供半导体衬底,其中半导体衬底包括暴露的氧化锡层和这些材料中的任何材料层。接下来,使用基于氯的蚀刻在这些材料的存在下选择性地蚀刻氧化锡。这些材料可以在该蚀刻之前暴露,或者可以在氧化锡蚀刻过程中暴露。在一实现方案中,使用BCl3/Cl2蚀刻在这些材料中的任何材料的存在下选择性地蚀刻氧化锡。在一实现方式中,蚀刻方法包括使BCl3以速率5-100sccm流动,使Cl2以速率50-500sccm流动以及使惰性气体(例如氦气)以速率100-
500sccm流动,并且使用相当于0.14-0.71W/cm2的功率密度的100-500W(每300mm晶片)的RF功率在该工艺气体中形成等离子体。可以在有或没有衬底偏置的情况下进行该蚀刻。例如,衬底偏压可以在0-100Vb之间,例如在10-100Vb之间。该工艺可在低于100℃的温度和5-50毫托的压强下进行。
[0071] 在一些实施方案中,基于氯的蚀刻用于在氧化锡存在下选择性地蚀刻某些金属氧化物。例如,可以使用基于氯的蚀刻在氧化锡的存在下选择性地蚀刻氧化钛。在一些实施方案中,提供半导体衬底,其中半导体衬底包括氧化钛暴露层和氧化锡层。接下来,使用基于氯的蚀刻化学物质在氧化锡的存在下选择性地蚀刻氧化钛。氧化锡可以在该蚀刻之前暴露,或者可以在氧化锡蚀刻的过程中暴露。
[0072] 基于碳氟化合物的蚀刻。在一些实施方案中,使用基于碳氟化合物的蚀刻在氧化锡的存在下选择性地蚀刻含硅化合物,例如SiO2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、旋涂玻璃。基于碳氟化合物的蚀刻包括将含硅化合物暴露于等离子体活化的碳氟化合物(CxFy),使得它们被转化为含有Si-F键的挥发性化合物。合适的碳氟化合物反应物的示例包括CF4、C2F6等。在一种实现方式中,基于碳氟化合物的蚀刻涉及在含有碳氟化合物和任选的惰性气体的工艺气体中形成等离子体,并使衬底与形成的等离子体接触。碳氟化合物蚀刻可以在氧化锡存在下选择性地去除含硅化合物。在一些实施方案中,提供了半导体衬底,其中半导体衬底包括含硅化合物暴露层和氧化锡层。接下来,使衬底与碳氟化合物等离子体接触,并在氧化锡的存在下选择性地蚀刻含硅化合物。氧化锡可以在该蚀刻之前暴露,或者可以在蚀刻过程中暴露。基于碳氟化合物的蚀刻是一种基于氟的蚀刻。
[0073] 基于氟的蚀刻。在一些实施方案中,使用基于氟的蚀刻在氧化锡的存在下选择性地蚀刻元素硅和含硅化合物,诸如SiO2、SiN、SiC、SiOC、SiCN、SiON、SiCNO和旋涂玻璃。基于氟的蚀刻包括将含硅材料暴露在含氟试剂(例如,NF3、SF6或碳氟化合物),该含氟试剂在一些实施方案中是等离子体活化的,并且将含硅材料转化为挥发性氟化硅。然而,氧化锡不会形成挥发性氟化物,并且因此基本上不会被这种化学物质蚀刻。除了含硅材料之外,还可以使用基于氟的蚀刻在氧化锡的存在下选择性地蚀刻氧化钛、钨和钨碳。在一实现方式中,基于氟的蚀刻涉及在含有含氟反应物(例如,NF3)和任选的惰性气体的工艺气体中形成等离子体,并使衬底与形成的等离子体接触。基于氟的蚀刻可以在氧化锡存在下选择性地去除含硅化合物和元素硅。在一些实施方案中,提供半导体衬底,其中半导体衬底包括含硅化合物暴露层和/或元素硅(Si)层和氧化锡层。接下来,使衬底与等离子体中的含氟反应物接触,并在氧化锡的存在下选择性地蚀刻含硅化合物和/或Si。氧化锡可以在该蚀刻之前暴露,或者可以在蚀刻过程中暴露。
[0074] 在一实现方式中,使用基于氟的蚀刻在氧化锡的存在下选择性地蚀刻硅(Si)。在一实现方式中,该蚀刻方法包括使NF3以5-100sccm的速率流动,使Cl2以50-500sccm的速率流动,并使惰性气体(例如,氮气和/或氦气)以100-500sccm的速率流动,并且使用对应于0.14-1.4W/cm2的功率密度的100-1000W(每300mm晶片)的RF功率在该工艺气体中形成等离子体。可以在有或没有衬底偏置的情况下进行该蚀刻。例如,衬底偏置可以在0-100Vb之间,例如在10-100Vb之间。该工艺可在低于100℃的温度和10-300毫托的压强下进行。
[0075] 在一些实施方案中,提供半导体衬底,其中半导体衬底包括氧化钛、钨和/或钨碳暴露层;和氧化锡层。接下来,使衬底与等离子体中的含氟反应物接触,并在氧化锡的存在下选择性地蚀刻氧化钛、钨和/或钨碳。氧化锡可以在该蚀刻之前暴露,或者可以在蚀刻过程中暴露。
[0076] 在一实现方案中,使用基于氟的蚀刻在氧化锡的存在下选择性地蚀刻氧化钛。在一实现方式中,该蚀刻方法包括使CF4以5-500sccm的速率流动,使CHF3以0-500sccm(例如10-500sccm)的速率流动以及使惰性气体(例如氩气)以100-500sccm的速率流动,并且使用对应于0.71-1.4W/cm2的功率密度的500-1000W(每300mm晶片)的RF功率在该工艺气体中形成等离子体。可以在有或没有衬底偏置的情况下进行该蚀刻。例如,衬底偏置可以在0-
300Vb之间,例如在10-300Vb之间。该工艺可在低于100℃的温度和5-50毫托的压强下进行。
[0077] 在一实现方式中,使用基于氟的蚀刻在氧化锡的存在下选择性地蚀刻钨碳。在一实现方式中,该蚀刻方法包括使NF3以5-100sccm的速率流动,使Cl2以5-500sccm的速率流动,并使惰性气体(例如,氩气和/或氮气)以100-500sccm的速率流动,并且使用对应于0.14-1.4W/cm2的功率密度的100-1000W(每300mm晶片)的RF功率在该工艺气体中形成等离子体。可以在有或没有衬底偏置的情况下进行该蚀刻。例如,衬底偏置可以在0-100Vb之间,例如在10-100Vb之间。该工艺可在低于100℃的温度和10-100毫托的压强下进行。
[0078] 基于氧的蚀刻。在一些实施方案中,使用基于氧的蚀刻在氧化锡的存在下选择性地蚀刻选自由元素碳、含碳化合物、聚合物和光致抗蚀剂组成的组中的一种或多种材料。基于氧的蚀刻包括将上面列出的材料暴露于含氧试剂(例如,O2、O3、SO2或CO2),该含氧试剂在一些实施方案中是等离子体活化的,并且将该材料转化为含有碳-氧键的挥发性产物(例如,CO或CO2)。在一实现方式中,基于氧的蚀刻包括在含有含氧反应物(例如,O2)和任选的惰性气体的工艺气体中形成等离子体,并使衬底与形成的等离子体接触。在其他实施方案中,蚀刻可以在不存在等离子体的情况下进行。基于氧的蚀刻可以在氧化锡的存在下选择性地去除碳(例如,无定形碳或类金刚石碳)、含碳化合物和光致抗蚀剂。在一些实施方案中,提供半导体衬底,其中半导体衬底包括一种或多种材料的暴露层(所述材料选自由碳、含碳化合物和光致抗蚀剂组成的组)和氧化锡层。接下来,使衬底与含氧反应物(任选地在等离子体中活化)接触,以将含碳材料转化为挥发性CO或CO2,从而在氧化锡存在下选择性地蚀刻它们。氧化锡可以在该蚀刻之前暴露,或者可以在蚀刻过程中暴露。
[0079] 沉积材料。本文提及的材料可以使用各种沉积方法沉积,例如使用CVD(其包括PECVD)、ALD(其包括PEALD)、PVD(例如,用于沉积金属和金属氧化物)、旋涂方法(例如,用于沉积碳和一些电介质)沉积。当需要共形沉积时,通常优选ALD方法。
[0080] 可以使用各种方法(例如CVD、PECVD和ALD)沉积SiO2、SiC、SiN、SiOC、SiNO、SiCNO和SiCN材料。沉积可包括含硅前体和反应物(例如含氧反应物、含氮反应物或含碳反应物)之间的反应。各种含硅前体可用于沉积这些材料,这些材料包括硅烷、四烷基硅烷、三烷基硅烷、原硅酸四乙酯(TEOS)等。例如,可以使用TEOS或硅烷作为含硅前体来沉积SiO2。
[0081] 可以通过使用例如烃前体(例如CH4),通过CVD或PECVD方法沉积碳。在其他实现方案中,碳可以通过旋涂方法或通过PVD沉积。光致抗蚀剂和有机聚合物可以例如通过旋涂方法沉积。
[0082] 氧化锡层通过任何合适的方法沉积,例如通过CVD(包括PECVD)、ALD(包括PEALD)、溅射等沉积。在一些实施方案中,优选共形地沉积SnO2膜,使得其仿照(follow)衬底的表面,包括仿照衬底上任何突起和凹陷特征的表面。共形SnO2膜的合适沉积方法之一是ALD。可以使用热或等离子体增强的ALD。在典型的热ALD方法中,将衬底提供给ALD处理室并依次暴露于含锡前体和含氧反应物,其中使含锡前体和含氧反应物在衬底表面上反应,以形成SnO2。在衬底暴露于含锡前体之后,并且在使含氧反应物进入处理室之前,通常用惰性气体清扫ALD处理室,以防止在处理室的主体(bulk)中反应。此外,在用含氧反应物处理衬底之后,通常用惰性气体清扫ALD处理室。连续暴露重复几个循环,例如,可以进行介于约10-100个之间的循环,直到沉积具有所需厚度的氧化锡层。合适的含锡前体的示例包括含卤化锡的前体(如SnCl4和SnBr4),和非含卤化锡前体,如有机锡化合物,其包括烷基取代的锡酰胺等。适用于ALD的烷基取代的锡酰胺的具体示例是四(二甲基基)锡、四(乙基甲基氨基)锡、N2,N3-二叔丁基-丁烷-2,3-二氨基-锡(II)和(1,3-双(1,1-二甲基乙基)-4,5-二甲基-(4R,5R)-1,3,2-二氮杂环丁烷-2-亚基。含氧反应物包括但不限于氧、臭氧、水、氢过氧化物和NO。也可以使用含氧反应物的混合物。沉积条件将根据ALD反应物的选择而变化,其中反应性较高的前体通常相比于反应性较低的前体在较低温度下反应。工艺将通常在介于约
20-500℃之间的温度下,在低于大气压的压强下进行。选择温度和压强使得反应物在处理室中保持气态以避免冷凝。每种反应物都以气态形式单独或与载气(例如氩气、氦气或氮气)混合提供给处理室。这些混合物的速率将取决于处理室的尺寸,并且在一些实施方案中为介于约10-10000sccm之间。
[0083] 在一示例中,ALD工艺包括在200-400℃的温度下将ALD真空室中的衬底顺序地和交替地暴露于SnCl4(含锡前体)和去离子水(含氧反应物)。在ALD循环的具体示例中,将SnCl4蒸气与N2载气的混合物引入ALD处理室持续0.5秒,然后暴露于衬底3秒。接下来,用N2清扫ALD处理室持续10秒以从处理室的主体中去除SnCl4,并且使H2O蒸气与N2载气的混合物流入处理室持续1秒钟并暴露于衬底持续3秒。接下来,用N2清扫ALD处理室并重复该循环。ALD工艺在低于大气压(例如0.4托)和200-400℃的温度下进行。
[0084] 虽然在ALD中使用卤化锡前体在许多实施方案中是合适的,但在一些实施方案中,更优选使用非卤化有机锡前体以避免使用卤化前体如SnCl4时可能发生的腐蚀问题。合适的非卤代有机锡前体的示例包括烷基氨基(烷基化锡酰胺)前体,例如四(二甲基氨基)锡。在ALD工艺的一个示例中,衬底在ALD室中依次暴露于四(二甲基氨基)锡和H2O2,温度为约
50-300℃之间。有利地,使用该前体使得能在100℃或更低的低温下沉积SnO2膜。例如,可以在50℃下沉积SnO2膜而不使用等离子体来提高反应速率。
[0085] 在一些实施方案中,通过PEALD沉积SnO2膜。可以使用与上述用于热ALD的含锡前体和含氧反应物类型相同的含锡前体和含氧反应物类型。在PEALD中,ALD装置配备有用于在处理室中产生等离子体并用于用等离子体处理衬底的系统。在典型的PEALD工艺顺序中,将衬底提供给PEALD处理室并暴露于吸附在衬底表面上的含锡前体。用惰性气体(例如氩气或氦气)清扫处理室以从处理室中去除前体,并将衬底暴露于被引入处理室中的含氧反应物。在引入含氧反应物的同时或在延迟之后,在处理室中形成等离子体。等离子体促进含锡前体和衬底表面上的含氧反应物之间的反应,导致形成氧化锡。接下来,用惰性气体清扫处理室,并且将包括锡前体投配、清扫、含氧反应物投配、等离子体处理和第二次清扫的循环重复与所需要的次数一样多的次数以形成所需厚度的氧化锡膜。
[0086] 作为间隔物的氧化锡
[0087] 在一些实施方案中,氧化锡层用作间隔物。参考图1A-1F说明氧化锡间隔物的使用,图1A-1F提供了在处理的不同阶段的半导体衬底的示意性横截面图。图2提供了这些方法的实施方案的工艺流程图。
[0088] 参考图2,该工艺在201通过提供具有多个突出特征的衬底开始。图1A中示出了说明性衬底,其示出了驻留在蚀刻停止层(ESL)103上的两个心轴101。在一些实施方案中,相邻心轴之间的距离d1在约10-100nm之间。在一些实施方案中,使用约40-100nm的相对较大的距离。在其他应用中,最接近的心轴之间的距离介于约10-30nm之间。在一些实施方案中,最接近的心轴的中心之间的距离d2(也称为节距)介于约30-130nm之间。在一些实施方案中,节距介于约80-130nm之间。在其他实施方案中,节距介于约30-40nm之间。心轴的高度d3通常介于约20-200nm之间,例如在约50-100nm之间。
[0089] 选择心轴和ESL的材料,以使得能在暴露的氧化锡存在下随后选择性蚀刻心轴材料,以及在暴露的氧化锡存在下选择性蚀刻ESL材料。因此,对于第一蚀刻化学物质,ESL材料的蚀刻速率与氧化锡的蚀刻速率的比率大于1,更优选地大于约1.5,例如大于约2。类似地,对于第二蚀刻化学物质,心轴材料的蚀刻速率与氧化锡的蚀刻速率的比率大于1,更优选地大于约1.5,例如大于约2。
[0090] 在一些实施方案中,ESL材料是含硅化合物(例如SiO2),或金属氧化物(例如氧化钛、氧化锆、氧化钨)。心轴材料可包括含硅化合物(例如,SiO2、SiN或SiC)、含碳化合物(例如,无定形碳、类金刚石碳或光致抗蚀剂)、非晶硅(掺杂或未掺杂的)和金属氧化物(TaO、TiO、WO、ZrO、HfO)。在一些实施方案中,心轴的外部材料可以与心轴芯不同。例如,在一些实施方案中,心轴由覆盖有氧化硅(例如,具有自发形成的热氧化物层)的非晶硅制成。ESL层和心轴可以通过物理气相沉积(PVD)、化学气相沉积(CVD)、ALD(无等离子体或通过PEALD)或等离子体增强化学气相沉积(PECVD)中的一种或多种形成,并且可以使用光刻技术来定义心轴的图案。合适的ESL/心轴组合的示例包括:(i)氧化硅ESL和氧化硅覆盖的硅心轴;(ii)氧化硅ESL和含碳心轴;(iii)氧化硅ESL和金属氧化物心轴;(iv)金属氧化物ESL和氧化硅覆盖的硅心轴;(v)金属氧化物ESL和含碳心轴。
[0091] 再次参考图1A中所示的衬底,ESL层103位于目标层105上并与其接触。目标层105是需要被图案化的层。目标层105可以是半导体层、介电层或其他层,并且可以由例如硅(Si)、氧化硅(SiO2)、氮化硅(SiN)或氮化钛(TiN)制成。在一些实施方案中,目标层指的是硬掩模层并且包含金属氮化物,例如氮化钛。目标层105可以通过ALD(无等离子体或通过PEALD)、CVD或其他合适的沉积技术沉积。
[0092] 目标层105位于层107上并与层107接触,层107在一些实施方案中是BEOL层,其包括嵌入介电材料层中的多个金属线。
[0093] 再次参考图2,该工艺在203继续,在203中,在突出特征的水平表面和侧壁上沉积氧化锡层。参照图1B所示的结构,氧化锡层109沉积在ESL 103上,并且在心轴101上,包括在心轴101的侧壁上。通过任何合适的方法沉积氧化锡层,例如通过CVD(包括PECVD)、ALD(包括PEALD)、溅射等沉积氧化锡层。在一些实施方案中,优选共形地沉积氧化锡膜,使得其仿照表面层103和心轴101,如图1B所示。在一些实施方案中,氧化锡层共形地沉积至约5-30nm之间的厚度,例如约10-20nm之间的厚度。共形氧化锡膜的合适沉积方法之一是ALD。可以使用热ALD或等离子体增强的ALD。
[0094] 参考图2的工艺图,在沉积氧化锡层之后,该工艺在205继续,在205中,使用基于氢的蚀刻或基于氯的蚀刻从水平表面完全去除氧化锡,而不从突出特征的侧壁完全去除氧化锡层。如果心轴具有含硅化合物或金属氧化物作为外层,则可以使用基于氢的蚀刻。如果心轴的外层是碳层,则可以使用基于氯的蚀刻。在该步骤中使用的蚀刻化学物质应该优选地对ESL材料和心轴的外层材料两者都是选择性的,即,对于该蚀刻化学物质,氧化锡的蚀刻速率应该大于外部心轴材料的蚀刻速率,并且大于ESL材料的蚀刻速率。图1C示出了从水平表面去除了氧化锡。氧化锡层109被从ESL103上方和心轴101上方的水平表面蚀刻掉,而没有从粘附到心轴101的侧壁的位置完全蚀刻掉。该蚀刻使层103的除了靠近心轴101的侧壁的位置以外的任何地方暴露。此外,该蚀刻暴露了心轴的顶部。得到的结构如图1C所示。优选地,在该蚀刻之后,侧壁处的氧化锡层的初始高度的至少50%被保留,例如至少80%或至少90%被保留。在一示例中,通过基于氢的蚀刻(例如,H2等离子体蚀刻)从氧化硅覆盖的心轴选择性地蚀刻氧化锡,使得心轴的外部材料(SiO2)暴露。基于氢的蚀刻对SiO2具有选择性。在另一示例中,通过基于氯的蚀刻(例如,BCl3/Cl2等离子体蚀刻)从含碳(例如碳)心轴选择性地蚀刻氧化锡,使得心轴的含碳材料暴露。这种蚀刻对含碳材料具有选择性。在另一示例中,通过基于氢的蚀刻(例如,H2等离子体蚀刻)从金属氧化物(例如,氧化钛)心轴选择性地蚀刻氧化锡,使得心轴材料(金属氧化物)暴露。该蚀刻对不形成挥发性氢化物的金属氧化物(例如氧化钛)具有选择性。
[0095] 在一些实施方案中,从衬底的水平部分去除氧化锡层包括使用具有两种不同化学物质的两个步骤。在被称为主蚀刻的第一步骤中,通常在不完全暴露下伏的心轴和ESL材料层的情况下从水平表面去除氧化锡层的大部分。因此,在一些实施方案中,主蚀刻的蚀刻化学物质不需要是选择性的。在一些实施方案中,通过用基于氯的化学物质(例如,BCl3/Cl2等离子体蚀刻)处理衬底来执行主蚀刻。在主蚀刻蚀刻穿过SnO膜之后或之前不久,蚀刻化学物质转换为过蚀刻化学物质。可以通过使用光学探针来检测主蚀刻的终点,该光学探针将在心轴材料或ESL材料暴露时发出信号。选择性的过蚀刻化学物质被用于去除剩余的氧化锡膜而基本上不蚀刻心轴和ESL的材料,并且已在上面描述。
[0096] 接下来,从衬底去除心轴101,留下暴露的氧化锡间隔物101和暴露层ESL 103,如图1D所示。通过将衬底暴露于选择性蚀刻心轴材料的蚀刻化学物质来执行心轴的去除。因此,在该步骤中,心轴材料的蚀刻速率与氧化锡的蚀刻速率的比率大于1,并且更优选地大于1.5。此外,在一些实施方案中,该步骤中使用的蚀刻化学物质应相对于ESL材料选择性地蚀刻心轴材料。可以使用多种蚀刻方法,并且化学物质的具体选择取决于心轴的材料和ESL层的材料。当心轴由覆盖有氧化硅的非晶硅制成时,基于氟的化学物质(例如,NF3)或者可以用于去除硅心轴101以及覆盖它们的SiO2层。该化学物质对氧化锡具有选择性。
[0097] 去除硅芯轴的另一种选择是使用在HBr和O2的混合物中形成的等离子体。在一些实施方案中,在蚀刻开始之前,从硅心轴的表面去除薄的氧化硅保护层。这可以通过将衬底短暂暴露于在包含碳氟化合物的工艺气体中形成的等离子体来完成。在从心轴去除保护性氧化硅层之后,选择性地蚀刻硅。在一些实施方案中,优选在该步骤中对衬底使用相对小的RF偏置,或者根本不使用外部偏置。如果不使用外部偏置,则衬底的自偏置(10-20V)就足够了。在无偏置或低偏置条件下,HBr/O2等离子体将在氧化锡和氧化硅存在下选择性地蚀刻硅。该蚀刻可以在含有含硅化合物的ESL的存在下进行。
[0098] 当心轴是含碳材料(例如,碳或光致抗蚀剂)时,可以使用基于氧的蚀刻来选择性地去除心轴。该化学物质对氧化锡具有选择性,可以在由含硅化合物组成的ESL和金属氧化物ESL的存在下使用。
[0099] 当心轴是金属氧化物(例如,氧化钛、氧化钨、氧化锆、氧化铪、氧化钽)时,可以用基于氯的蚀刻化学物质(例如,等离子体中的BCl3/Cl2)处理衬底以相对于氧化锡选择性地去除心轴。该化学物质可在含有含硅化合物(例如SiO2、SiN、SiC)的ESL的存在下使用。
[0100] 接下来,蚀刻暴露的ESL膜103以在未受氧化锡间隔物109保护的所有位置处暴露下伏的目标层105。所得到的结构如图1E所示。在该步骤中使用的蚀刻化学物质在氧化锡存在下选择性地蚀刻ESL材料。换句话说,ESL材料的蚀刻速率与氧化锡的蚀刻速率的比率大于1,并且更优选地大于1.5。该步骤中使用的特定化学物质类型将取决于ESL材料的类型。当使用含硅化合物(例如,氧化硅和基于氧化硅的材料)时,可以通过将衬底暴露于在包含碳氟化合物的工艺气体中形成的等离子体来实现选择性蚀刻。例如,ESL膜可以通过在包含CF4、C2F6和C3F8中的一种或多种的工艺气体中形成的等离子体来蚀刻。当ESL是金属氧化物层(例如,氧化钛、氧化钨或氧化锆)时,可以使用基于氯的蚀刻化学物质(例如,等离子体中的BCl3/Cl2)在氧化锡的存在下选择性地蚀刻它。
[0101] 在下一步骤中,在未被ESL膜103保护的所有位置处蚀刻目标层105,以暴露下伏层107。在该蚀刻步骤中也去除氧化锡间隔物109,从而提供图6中所示的图案化结构。在一些实施方案中,选择在该步骤中使用的蚀刻化学物质以去除目标材料和氧化锡间隔物材料。
在其他实施方案中,可以使用具有不同化学物质的两个不同蚀刻步骤来相应地图案化目标层105并去除氧化锡间隔物109。取决于目标层的化学物质,可以使用许多蚀刻化学物质。在一实施方案中,目标层105是金属氮化物层(例如,TiN)层。在该实施方案中,可以蚀刻金属氮化物层,并且可以通过将衬底暴露于在包含Cl2和烃(例如,CH4)的工艺气体中形成的等离子体,使用单一蚀刻化学物质去除氧化锡间隔物。通常,可以使用上述任何氧化锡蚀刻方法去除氧化锡间隔物。
[0102] 在形成间隔物期间遇到的问题之一是间隔物的基脚(footing),其是间隔物底部的宽度与间隔物顶部的宽度之间的差。理想情况下,间隔物应该是直的。已经通过实验比较了氧化锡间隔物与氧化钛间隔物。结果表明,通过在氧化锡间隔物上使用H2等离子体蚀刻,基脚可以显著减小到小于1nm。然而,氧化钛根本不能被H2等离子体蚀刻。当用HBr/N2/氩等离子体蚀刻氧化钛间隔物时,其基脚仅减小到2.4nm,代价是更大的间隔物高度和CD损失。
[0103] 此外,对于HBr/N2/氩等离子体蚀刻,证明ALD沉积的氧化钛比热氧化硅(TOX)的蚀刻选择比低于ALD沉积的氧化锡比TOX的蚀刻选择比。具体地,利用该化学物质,在TOX存在下以约10:1的选择比的蚀刻氧化钛,而以大于100:1的选择比蚀刻氧化锡。对于H2等离子体,根本没有蚀刻氧化钛,而在TOX存在下蚀刻氧化锡,选择比大于100:1。在用BCl3/Cl2/He等离子体的情况下,以约5:1(氧化钛比TOX)的选择比蚀刻氧化钛,而以约4:1(氧化锡比TOX)的选择比蚀刻氧化锡。基于氢的蚀刻化学物质(基于H2和基于HBr两者)提供对氧化硅的非常高的蚀刻选择性,其大于50:1且大于80:1,这对于氧化钛不能实现。
[0104] 在一些实施方案中,本文中参考图1A-1F和2描述的方法被修改并包括在心轴的侧壁处的氧化锡层上使用钝化层。钝化层的用途是在从水平表面去除氧化锡的步骤期间最小化侧壁处的氧化锡的蚀刻。在没有钝化层的情况下,侧壁处的氧化锡可能在横向方向上不一致地被蚀刻,这可能导致间隔物关键尺寸(CD)的变化。钝化层的使用可以防止或最小化该横向蚀刻并且导致间隔物之间的更一致的距离。另外,使用钝化层可以防止间隔物的顶的腐蚀,从而导致具有更具矩形形状的间隔物。此外,在侧壁处使用钝化层使得能更容易地蚀刻底部斜面或氧化锡层的基脚,因为将存在更多被衬底偏置向下驱动的可用的蚀刻物质(例如,等离子体中的离子)。钝化层最终可以在随后的蚀刻步骤中部分或完全消耗,从而可以保持间隔物CD。
[0105] 选择钝化层的材料使得它更耐受用于从水平表面去除氧化锡的特定蚀刻化学物质。在一些实施方案中,钝化材料是诸如SiO2、SiN或SiC之类的含硅化合物,其可以例如通过PECVD沉积。在其他实施方案中,钝化材料是碳(例如,使用烃前体沉积的),如本文所述。在其他实施方案中,钝化材料是含锡化合物,例如氮化锡(SnN)、溴化锡(SnBr)或氟化锡(SnF)。在一些实施方案中,通过将氧化锡的外部部分转化为含锡的钝化材料来形成这些化合物。例如,通过将衬底暴露于等离子体(例如,N2等离子体)中的含氮化合物,可以将氧化锡转化为氮化锡。可以通过将衬底暴露于含溴化合物(例如HBr)来形成溴化锡。可以通过将衬底暴露于等离子体(例如,NF3等离子体或碳氟化合物等离子体)中的含氟化合物来形成氟化锡。调节SnBr和SnF沉积期间的条件以使蚀刻最小化。例如,可以在不偏置衬底或低偏置的情况下进行反应,以最小化SnBr和SnF的去除。钝化层通常形成为约1-5nm的厚度。
[0106] 图3A-3E提供了在使用钝化层的处理期间衬底的一部分的示意性横截面图。图4提供了这种工艺的工艺流程图。参照图4,该工艺在4401开始,在4401中,提供具有多个突出特征的衬底,并且在4403继续,在4403中,在突出特征的侧壁和水平表面上沉积氧化锡,其方式与参照图1A和1B描述的方式相同。图3A中还示出了图1B中所示的衬底,其中目标层是层301,ESL是303,心轴是305,并且氧化锡层是307。这些层的材料通常可以与在参考图1A-1F描述的实施方案中的层的材料相同。在图3A所示的实施方案中,心轴305是具有外部氧化硅(SiO2)302层的硅(Si)心轴,但是应该理解,所描述的工艺顺序可以用于各种心轴材料,包括用于含碳心轴和金属氧化物心轴。参考图4,在沉积氧化锡层之后,该工艺在4405中继续,在4405中,在突出特征的侧壁处的氧化锡层上方形成钝化层。所得到的结构如图3C所示,其示出了突出特征的侧壁上的钝化层309。在所描绘的实施方案中,钝化层不存在于水平表面上。
[0107] 在一些实施方案中,可以通过以下方式来形成这种钝化层:首先(a)在心轴的侧壁和水平表面上共形地沉积钝化材料(例如,氧化硅、氮化硅、碳化硅或碳),然后(b)在没有从侧壁完全去除钝化层的情况下从水平表面完全去除钝化层(例如,使得在蚀刻之后侧壁处的钝化层材料保留至少50%或至少80%)。
[0108] 当钝化材料是含硅化合物时,其可以使用对氧化锡具有选择性的基于碳氟化合物的蚀刻被从水平表面蚀刻掉。当钝化材料是含碳材料时,其可以使用对氧化锡具有选择性的基于氧的蚀刻或者使用短时间暴露于在含氢气体(例如H2)中形成的等离子体被从水平表面去除。在一些实施方案中,使用与用于氧化锡蚀刻的化学物质相同的化学物质从水平表面去除含锡钝化材料,其中在衬底处使用有助于从水平表面去除材料的足够偏置。例如,该工艺可以开始于利用第一偏置的等离子体蚀刻,以从水平表面去除含锡钝化材料,然后当工艺转移到主要氧化锡蚀刻时,可以减小或关闭偏置。在一些实施方案中,在从水平表面蚀刻钝化材料和氧化锡期间使用基于氯的化学物质(例如,BCl3/Cl2等离子体)。
[0109] 接下来,参考操作4407,该工艺接着从心轴的水平表面完全去除氧化锡而不完全去除心轴侧壁处的氧化锡。可以使用本文所述的任何合适的氧化锡蚀刻化学物质来执行该蚀刻,例如使用基于氢(例如,H2等离子体)的蚀刻、基于氯(例如,等离子体中的Cl2和/或BCl3)的蚀刻、HBr等离子体蚀刻或这些蚀刻的任何组合。在图3D和3E所示的实施方案中,该蚀刻分两步进行。在第一步骤中,使用基于氯(例如,等离子体中的BCl3和Cl2)的蚀刻从水平表面蚀刻大部分氧化锡,以提供图3C中所示的结构,其中该结构具有在心轴顶部的暴露的氧化硅外部心轴材料和在心轴底角处的过量氧化锡。接下来,用基于氢的过蚀刻化学物质(例如,等离子体中的H2)蚀刻过量的氧化锡,从而提供图3D中所示的结构。接下来,选择性地蚀刻和去除心轴材料,如先前参考图1A-1F所述,留下间隔物307。在所描绘的实施方案中,心轴蚀刻化学物质也去除钝化层309,导致图3E中所示的结构。ESL的后续处理可以如先前参考图1D-1F所描述的那样进行。
[0110] 在一更具体的示例中,层301是TiN,ESL303是氧化硅层;心轴305是用氧化硅外层302覆盖的硅(Si),而层307是氧化锡。参考该示例,处理方法包括:提供具有氧化硅层和多个硅突起的衬底,其中硅突起覆盖有天然氧化硅,然后在衬底上共形地沉积氧化锡层(例如,通过ALD)并且仅在突出特征的侧壁上的氧化锡上形成氧化硅钝化层(例如,1-2nm厚)。
在形成钝化层之后,该方法继续,即从水平表面蚀刻掉氧化锡而不完全去除驻留在突出特征的侧壁处的氧化锡。在该示例中,蚀刻使用主要(主体)蚀刻(例如,Cl2/BCl3等离子体蚀刻),然后使用过蚀刻来进行,其中过蚀刻可以是例如用于减少基脚的氢等离子体蚀刻。在蚀刻之后,该方法继续,即去除硅心轴而不去除氧化锡间隔物。应注意,侧壁上的氧化硅钝化层可以通过以下方式形成:首先在氧化锡上(例如,通过PECVD或ALD)共形地沉积氧化硅,然后从水平表面(例如,通过碳氟化合物等离子体)选择性地蚀刻氧化硅。使用BCl3/Cl2等离子体主要蚀刻,然后通过H2等离子体过蚀刻从水平表面去除氧化锡来实验测试该序列。在这个经过实验测试的示例中,在主要蚀刻之后,关键尺寸损失为0nm;基脚为约6nm,并且蚀刻到氧化硅ESL中的量为0nm。在过蚀刻之后,关键尺寸损失、基脚和蚀刻到氧化硅ESL中的量均为0nm。
[0111] 在另一具体示例中,由氧化锡的外部形成的氮化锡用作钝化材料。在一些实施方案中,优选在形成氧化锡间隔物期间使用氮化锡钝化层钝化氧化锡的侧壁,从而减小间隔物的变化。在一些实施方案中,该方法包括:(a)提供具有氧化硅层和多个硅突起的衬底,其中硅突起被天然氧化硅覆盖;(b)在衬底上(例如,通过ALD)共形地沉积氧化锡层;(c)仅在突出特征的侧壁上的氧化锡上形成薄的氮化锡钝化层;(d)从水平表面蚀刻氧化锡而不完全去除驻留在突出特征的侧壁处的氧化锡(例如,使用主要(主体)蚀刻(例如,Cl2/BCl3蚀刻)和过蚀刻的组合进行,其中过蚀刻可以例如,用于减少基脚的氢等离子体蚀刻);以及(d)去除硅心轴而不去除氧化锡间隔物。通过首先在整个氧化锡层上共形地形成钝化层,然后从水平表面选择性地去除氮化锡,可以形成侧壁上的氮化锡钝化层。在一些实施方案中,通过用含氮等离子体处理暴露的氧化锡层来形成氮化锡层。例如,等离子体可以在含氮气体(例如N2或NH3)中形成。等离子体可以是直接的(在容纳衬底的相同室中形成)或远程的(在不同的室或室隔室中形成并且被供给到容纳衬底的隔室)。在一些实施方案中,该氮化处理在用于氧化锡层沉积的相同处理室中进行。在其他实施方案中,氮化在不同的室中进行。在一些实施方案中,氮化等离子体处理进行不到约5秒。形成氮化锡共形层的另一种方法是在共形氧化锡层上沉积氮化锡。例如,可以通过ALD或CVD沉积氮化锡。在一些实施方案中,ALD沉积包括使衬底与含锡前体接触并在衬底表面上形成含锡层,然后用含氮等离子体处理,其中该工艺根据需要重复多次,以构建所需厚度的钝化层。在形成共形氮化锡层之后,从水平表面蚀刻氮化锡,仅在侧壁上留下氮化锡。在一些实施方案中,该蚀刻的化学物质与主要蚀刻的化学物质相同,但是使用衬底偏置来执行,使得其比主要蚀刻更竖直地各向异性。例如,可以在衬底偏置下使用Cl2/BCl3等离子体蚀刻从水平表面去除氮化锡。接下来,接着进行Cl2/BCl3主要蚀刻(例如,没有衬底偏置或具有比从水平表面去除氮化锡期间使用的偏置更低的偏置),然后进行H2过蚀刻。在主要蚀刻工艺期间,氮化锡为侧壁处的氧化锡提供了极好的保护。已经通过实验测试了使用氮化锡作为钝化层,并且证实了与在没有氧化锡氮化的情况下处理的相同结构相比,在使用氮化锡钝化层的情况下,心轴侧壁上的氧化锡的厚度较大。
[0112] 硬掩模应用
[0113] 在一些实施方案中,氧化锡膜用作硬掩模。可以图案化氧化锡硬掩模,以便形成具有凹陷特征的衬底,其中在凹陷特征的底部存在暴露的材料。然后可以在氧化锡硬掩模的存在下处理衬底。在一些实施方案中,处理包括蚀刻在凹陷特征的底部处暴露的材料。在其他实施方案中,处理可以包括将材料沉积到凹陷特征中。在其他实施方案中,处理可以包括化学改变在凹陷特征的底部处暴露的材料。
[0114] 可以使用多种方法形成图案化的氧化锡层。在一实施方案中,使用光刻图案化形成图案化的氧化锡膜。在一实施方案中,该方法包括提供衬底,使覆盖氧化锡层在衬底上形成,并且在覆盖氧化锡层上形成图案化光致抗蚀剂层。在一些实施方案中,在氧化锡层上方的图案化的光致抗蚀剂层直接形成在氧化锡层的顶部上并与之接触。在其他实施方案中,在氧化锡层和光致抗蚀剂层之间可以存在一个或多个中间硬掩模层。在使用标准光刻技术沉积并图案化光致抗蚀剂层之后,将来自光致抗蚀剂的图案转移到氧化锡层上,即蚀刻暴露的氧化锡层。在一些实施方案中,使用选择性蚀刻(例如基于氢(例如,等离子体中的H2)的蚀刻)在暴露的光致抗蚀剂存在下蚀刻氧化锡层。当在氧化锡层和光致抗蚀剂层之间存在中间硬掩模时,首先将来自光致抗蚀剂的图案转移到这些中间硬掩模(例如,含有含硅化合物的掩模,例如旋涂玻璃或碳掩模),然后转移到氧化锡。在一些实施方案中,使用合适的选择性化学物质(例如基于氢的蚀刻和/或基于氯的蚀刻)在另一种中间硬掩模材料(例如,含硅化合物或碳)的存在下蚀刻氧化锡层。
[0115] 图5A-5C示出了经历用氧化锡掩模处理的衬底的示意性横截面视图。图6提供了用氧化锡硬掩模处理衬底的工艺流程图。在操作601中,提供具有图案化的氧化锡层的衬底。可以使用如上所述的光刻技术或使用用于形成氧化锡间隔物的工艺序列来图案化氧化锡层,其参考图1A-1D进行了描述。图5A中示出了这种衬底的一个示例,其中衬底包括子层51和层53,层53位于子层51和图案化的氧化锡层55之间。衬底的暴露部分包括在氧化硅层55中形成的凹陷特征。层53的材料在凹陷特征的底部暴露。虽然在所描绘的实施方案中,在图案化的氧化锡层55的顶部上没有额外的材料,但是在其他实施方案中,可以具有存在于氧化锡55顶部的中间硬掩模的光致抗蚀剂或材料。
[0116] 接下来,在操作603中,在存在氧化锡层55的情况下处理衬底。处理可以包括例如蚀刻暴露的材料53,将材料沉积到凹陷的特征中,或者对暴露的材料53进行化学改性。对材料53的蚀刻在图5B中示出,其中由氧化锡层55的图案限定的凹陷特征形成在层53中。各种选择性蚀刻化学物质可用于在氧化锡55存在下蚀刻层53的材料。例如,当层53是含硅材料时,其可以使用基于氟的化学物质在氧化锡存在下选择性地蚀刻。例如,可以使用碳氟化合物等离子体化学蚀刻含硅化合物,例如氧化硅、氮化硅和碳化硅。当层53是含碳层(例如,无定形碳)时,其可以使用基于氧的化学物质选择性地蚀刻,如本文所述。当层53是金属氧化物层(例如,氧化钛、氧化锆、氧化钽、氧化铪)时,其可以使用基于氯的化学物质,如本文所述(例如,等离子体中的BCl3/Cl2),在氧化锡的存在下选择性地蚀刻。子层51的材料不同于层51的材料,并且在层53的蚀刻期间基本上不蚀刻层51。如图5B中所示的所得结构具有在层55和53中形成的凹陷特征以及在凹陷特征的底部处的子层51的暴露的材料。
[0117] 接下来,在操作603中,去除氧化锡材料55,提供图5C中所示的结构,其中图案化层53位于子层51上。在一些实施方案中,该序列进一步用于图案化子层51,在一些实施方案中,子层51是SiN层、金属氮化物(例如,TiN或TaN)或金属层。优选使用对层53和层51的材料都具有选择性的化学物质进行氧化锡的去除。例如,当这些材料是含硅材料时、含碳材料、金属氧化物、金属氮化物或金属时,可以使用选择性的基于氢的蚀刻(例如,H2等离子体蚀刻)。此外,在一些实施方案中,当层53和51的材料是含硅材料或含碳材料时,可以使用基于氯(例如,等离子体中的BCl3/Cl2)的蚀刻。
[0118] 在使用氧化锡硬掩模的工艺流程的一个具体示例中,子层51是SiN层或金属层,并且层53是氧化硅。该工艺开始于在SiN或金属层51上提供具有暴露的氧化硅层的平面衬底。接下来,在氧化硅上沉积覆盖氧化锡层,然后(例如,使用光刻图案化)图案化氧化锡,导致图5A中所示的结构。接下来,例如使用碳氟化合物等离子体相对于氧化锡选择性地蚀刻暴露的氧化硅,得到图5B所示的结构。接下来,例如使用氢等离子体蚀刻剥离(去除)氧化锡,得到图5C中所示的结构。
[0119] 氧化锡中间层。在另一种硬掩模实施方案中,氧化锡用作中间硬掩模(中间层),以用于图案化碳层或另一种材料。在一实施方案中,提供衬底,其中衬底包括在含碳层上(例如,在无定形碳层上)形成的图案化氧化锡层,其中衬底包括在凹陷特征的底部具有暴露的含碳材料的多个凹陷特征。接下来,在氧化锡的存在下选择性地蚀刻暴露的含碳材料,以在含碳层中形成凹陷特征。合适的选择性蚀刻化学物质包括基于氢的蚀刻化学物质(例如,等离子体中的H2和等离子体中的HBr)和基于氯的蚀刻化学物质(例如,等离子体中的BCl3和/或Cl2)。图5D-5G中所示的横截面衬底视图示出了一种合适的工艺顺序。图5D中所示的衬底包括子层51(例如,非晶硅或本文所述的任何目标层),位于子层51上的含碳材料(例如,无定形碳)覆盖层53和位于含碳层53上的覆盖氧化锡层55。衬底还包括在氧化锡层55上方的图案化光致抗蚀剂层57,以及在光致抗蚀剂57和氧化锡层55之间的底层56,其中底层可以是例如旋涂玻璃。底层56在衬底表面上的图案化光致抗蚀剂层57中形成的凹陷特征的底部暴露。通过在光致抗蚀剂存在下选择性地蚀刻底层,例如通过基于碳氟化合物的等离子体蚀刻,将光致抗蚀剂的图案转移到底层56。蚀刻使氧化锡层55在凹陷特征的底部暴露,如图5E所示。接下来,使用优选对底层材料具有选择性的蚀刻来图案化氧化锡。例如,可以使用基于氢的蚀刻或基于氯的蚀刻来蚀刻氧化锡。在图5F所示的所得结构中,图案已从光致抗蚀剂转移到氧化锡层,并且含碳层53在凹陷特征的底部暴露。接下来,通过蚀刻暴露的含碳层53来继续该工艺。优选地,使用对氧化锡具有选择性的化学物质。例如,可以通过基于氧的蚀刻来蚀刻暴露的含碳层53(例如,可以通过在含氧气体中形成的等离子体来蚀刻碳)。
在该步骤中也可以去除剩余的光致抗蚀剂。在该蚀刻之后获得的结构如图5G所示。该工艺可以进一步继续,去除氧化锡55且随后处理暴露的子层51。
[0120] 中间层实施方案的另一种实现方式在图5H-5K中示出。该序列类似于参考图5D-5G描述的序列,但是在没有底层56的情况下执行。在该序列中,图案化的光致抗蚀剂57直接形成在氧化锡层55上,然后在存在光致抗蚀剂的情况下进行氧化锡蚀刻(例如,使用基于氢的蚀刻(H2或HBr))或基于氯的蚀刻。然后继续该工艺,以将图案从氧化锡中间层55转移到含碳层53,其中层53本身可以用作用于图案化下层51的硬掩模。接下来,选择性地蚀刻氧化锡55并且在含碳层53存在下去除,例如,使用基于氢的蚀刻化学物质(例如,等离子体中的H2)去除。这些中间层序列适用于EUV硬掩模处理应用。
[0121] 在另一实施方案中,使用高选择性蚀刻将光致抗蚀剂图案转移到氧化锡层。例如,可以使用基于氢的蚀刻化学物质,同时添加含碳反应物,在上覆光致抗蚀剂和下伏材料的存在下选择性地蚀刻氧化锡,其中含碳反应物用于在衬底表面上形成含碳聚合物并增加蚀刻选择性。例如,如本文所述,等离子体可以在H2、烃(例如CH4)和任选的惰性气体的混合物中形成。这将参考图5H-5K进行说明。在该示例中,如图5H所示,在氧化锡层55上形成图案化的光致抗蚀剂层57(例如,9-12nm厚)。在该图示中,直接位于氧化锡层下面的材料层是钨碳层53(35-55nm厚)。该图示中的层51是氧化硅(例如,TEOS帽),其可以位于在BEOL工艺序列中使用的极低k电介质层上。首先,使用高选择性H2/CH4等离子体蚀刻在光致抗蚀剂和钨碳的存在下选择性地蚀刻氧化锡。形成凹陷特征并暴露钨碳层,如图5I所示。接下来,在氧化锡存在下选择性地蚀刻钨碳,暴露下伏TEOS层。例如,可以使用本文所述的NF3/Cl2等离子体蚀刻相对于氧化锡选择性地蚀刻钨碳。在该步骤中也可以基本上去除光致抗蚀剂。得到的结构如图5J所示。接下来,使用对碳钨具有选择性的蚀刻从衬底去除氧化锡。在一些实施方案中,优选使用H2等离子体去除氧化锡。在一些实施方案中,在该步骤中不使用烃添加剂。例如,在下面的工艺条件下使用H2蚀刻蚀刻下伏层之后,可以去除氧化锡。在该示例中,蚀刻包括使H2以100-500sccm的速率流动,并使用100-500W(每300mm晶片)的RF功率在该工艺气体中形成等离子体。可以在有或没有衬底偏压的情况下进行该蚀刻。例如,衬底偏置可以在0-100Vb之间,例如10-100Vb之间。该工艺可在低于100℃的温度和5-50毫托之间的压强下进行。
[0122] 具有氧化锡中间层硬掩模的另一工艺流程由图5L-5O中的横截面图示出。在该示例中,如图5L所示,在氧化锡层55上形成图案化的光致抗蚀剂层57(例如,9-12nm厚)。在该图示中,直接位于氧化锡层下面的材料层是非晶硅(Si)层53(35-55nm厚)。该图示中的层51是氧化硅蚀刻停止层。在该图示中,沉积光致抗蚀剂使得其在底部比在顶部宽。结果表明,通过使用H2/烃等离子体蚀刻,可以减小光致抗蚀剂宽度的差异并使其横截面基本上为矩形。首先,使用高选择性H2/CH4等离子体蚀刻在光致抗蚀剂和非晶硅的存在下选择性地蚀刻氧化锡。形成凹陷特征并暴露非晶硅层,同时改善光致抗蚀剂的几何形状,如图5M所示。接下来,在氧化锡的存在下选择性地蚀刻硅,暴露下伏的蚀刻停止层。例如,可以使用本文所述的NF3/Cl2等离子体蚀刻相对于氧化锡选择性地蚀刻硅。使用该蚀刻可以实现大于40(硅比氧化锡)的蚀刻选择性。在该步骤中也可以部分地去除光致抗蚀剂。得到的结构如图5N所示。接下来,使用对硅有选择性的蚀刻从衬底去除氧化锡。在一些实施方案中,优选使用H2等离子体去除氧化锡。在H2等离子体处理期间可以去除剩余的光致抗蚀剂57。得到的结构如图5O所示。
[0123] 使用氧化锡作为硬掩模是非常有利的,因为它可以相对于氧化硅以非常高的选择性进行蚀刻,氧化硅是待图案化的常见层。它还可以用干等离子体蚀刻化学物质(例如,基于氢或基于氯的化学物质)相对于各种其他材料(包括碳、光致抗蚀剂、金属、金属氮化物和金属氧化物)选择性地蚀刻。氧化锡硬掩模与氮化钛硬掩模相比也是有利的,因为氧化锡不需要湿蚀刻并且可以用氢等离子体蚀刻,而氮化钛通常通过湿蚀刻方法去除。
[0124] 反向色调(tone)硬掩模。在一些实施方案中,氧化锡用于各种反向色调硬掩模应用中。一个示例性工艺流程在图8中示出,并且通过图7A-7C中的衬底的示意性横截面图示出。该工艺在801开始,在801中,提供具有暴露的图案化可灰化层和多个凹陷特征的衬底。可灰化材料的示例包括含碳材料,例如无定形碳、类金刚石碳、光致抗蚀剂和有机聚合物,其中聚合物可以是未掺杂的或掺杂有金属或金属氧化物。图案化的可灰化材料层可以例如通过(例如,经由PECVD或旋涂法)沉积可灰化材料覆盖层,然后进行光刻图案化形成。图7A示出了具有图案化的可灰化材料层703的衬底,其位于子层701(例如,本文所述的Si、SiN、金属氮化物或任何靶或子层材料)上。在衬底上存在多个凹陷特征,并且层701在凹陷特征的底部处暴露。接下来,在操作803中,衬底上的凹陷特征用氧化锡(例如,使用CVD)填充。在该步骤中,通常还在可灰化材料703层上形成覆盖层。所获得的结构如图7B所示,其中沉积的氧化锡705填充可灰化材料703之间的间隙并形成覆盖层。然后可以例如通过化学机械抛光(CMP)操作或主体等离子体蚀刻(例如,使用基于氢和/或基于氯的等离子体蚀刻)去除覆盖层以暴露可灰化材料703。在平坦化之后,在操作805中,去除可灰化材料而基本上不去除氧化锡材料(例如,保留至少90%的氧化锡),从而形成氧化锡705的互补图案,如图7C所示。
[0125] 在一个具体示例中,提供了包含驻留在目标层(例如,氧化硅、氮化硅或金属)上的图案化碳层的衬底。图案化的碳层具有多个凹槽(例如,宽度在约5-50nm之间)。接下来,用氧化锡填充凹槽并形成氧化锡覆盖层(例如,CVD)。接下来,去除氧化锡覆盖层(例如,通过对碳有选择性的CMP或干等离子体蚀刻)以暴露碳,然后例如使用O2等离子体去除(剥离)碳,而不完全去除氧化锡。
[0126] 在图10的工艺流程图中示出了反向色调掩模实现方式的另一实施方案,并且通过图9A-9C中的处理过的衬底的示意性剖视图示出了该实施方案。该工艺在1001开始,在1001中,提供了具有图案化的氧化锡层和多个凹陷特征的衬底。这在图9A中示出,其中图案化的氧化锡层903位于子层901(例如,金属氮化物或金属层)上。子层901在氧化锡层903中形成的凹陷特征的底部暴露。接下来,在操作1003中,通过例如CVD经由含硅材料填充凹陷特征。合适的含硅材料的示例包括硅(例如,非晶硅或多晶硅)和含硅化合物,例如氧化硅、氮化硅和碳化硅。在该沉积期间可以形成氧化锡层上的覆盖层。所得到的结构如图9B所示,其中含硅材料905填充图案化的氧化锡层中的间隙并形成覆盖层。接下来,通过CMP或通过等离子体蚀刻(例如,基于氟的蚀刻,例如碳氟化合物等离子体蚀刻)去除覆盖层,并且使氧化锡
903暴露。在下一操作1005中,在不去除含硅材料的情况下去除氧化锡,从而形成图案化的含硅材料层(与氧化锡图案相反的色调或互补图案)。相对于含硅材料,选择性地蚀刻氧化锡,例如,使用基于氢的蚀刻(例如,H2等离子体蚀刻、或HBr等离子体蚀刻)或基于氯的蚀刻(例如,BCl3/Cl2蚀刻)。蚀刻后获得的结构如图9C所示,其中示出了图案化的含硅层905。子层901在形成于含硅材料905中的凹陷特征的底部暴露。
[0127] 在一实现方式中,提供了具有目标层的衬底,在目标层上具有图案化的氧化锡层。接下来,图案化的氧化锡中的凹陷特征填充有氧化硅,并且(例如,通过PECVD)形成氧化硅覆盖层。接下来,蚀刻氧化硅覆盖层(凹陷蚀刻)以暴露氧化锡,然后例如使用氢等离子体去除(剥离)氧化锡,从而留下图案化的氧化硅,其具有与初始氧化锡图案互补的图案。
装置
[0128] 这里描述的蚀刻方法可以在多种装置中进行。合适的装置包括:蚀刻处理室;该蚀刻处理室中的衬底保持器,其配置成在蚀刻期间将衬底保持在适当位置;以及等离子体产生机构,其配置用于在工艺气体中产生等离子体。
[0129] 合适装置的示例包括电感耦合等离子体(ICP)反应器,在某些实施方案中,其也可适用于循环沉积和活化工艺,包括适用于原子层蚀刻(ALE)操作和原子层沉积(ALD)操作。尽管ICP反应器在本文详细描述了,但是应该理解的是,在一些实施方案中也可以使用电容耦合等离子体反应器。
[0130] 图11示意性地示出了适于实施本文描述的等离子体蚀刻的电感耦合等离子体集成蚀刻和沉积装置400的横截面图,其示例是 反应器,由加利福尼亚州弗里蒙特的Lam Research Corp.生产。所述电感耦合等离子体装置400包括由室壁401和窗411结构上限定的总处理室424。室壁401可以由不锈制成。窗411可以由石英或其它介电材料制成。任选的内部等离子体栅格450将总处理室分为上副室402和下副室403。在大多数实施方案中,等离子体栅格450可以被移除,从而利用由副室402和403构成的室空间。卡盘417定位在下副室403中在底部内表面附近。卡盘417被配置成接收和保持在其上执行蚀刻和沉积工艺的半导体晶片419。卡盘417可以是当晶片419存在时用于支撑晶片419的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘417,并具有大致与晶片419(当晶片存在于卡盘417上方时)的顶面在同一平面的上表面。卡盘417还包括能夹紧和放松晶片419的静电电极。可设置过滤器和DC钳位功率源(未示出)用于此目的。也可以提供其它的控制系统用于提升晶片419使其离开卡盘417。卡盘417可以用RF功率源423充电。RF功率源423通过连接件
427被连接到匹配电路421。匹配电路421通过连接件425连接到卡盘417。以这种方式,RF功率源423被连接到卡盘417上。在多种实施方案中,静电卡盘的偏置可以设置在约50Vb,或者可以设置在不同的偏置,具体取决于根据所公开的实施方案执行的工艺。例如,所述偏置可以设置在约20Vb和约100Vb之间,或在约30Vb和约150Vb之间。
[0131] 用于等离子体产生的元件包括位于窗411上方的线圈433。在一些实施方案中,所公开的实施方案中未使用线圈。线圈433由导电材料制成,并包括至少一整。在图4中所示的线圈433的例子包括三匝。线圈433的横截面用符号示出,且具有符号“X”的线圈433表示线圈433旋转地延伸到页面内,而具有符号“●”的线圈433表示旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈433的RF功率源441。一般地,RF功率源441通过连接件445被连接到匹配电路439。匹配电路439通过连接件443连接到线圈433。以这种方式,RF功率源441被连接到线圈433。可选的法拉第屏蔽件449a被定位在线圈433和窗411之间。法拉第屏蔽件449a可以以相对于线圈433成隔开的关系被保持。在一些实施方案中,法拉第屏蔽件449a被设置在窗411的正上方。在一些实施方案中,法拉第屏蔽件449b被设置在窗411和卡盘417之间。在一些实施方案中,法拉第屏蔽件449b没有以相对于线圈
433成隔开的关系被保持。例如法拉第屏蔽件449b可以没有间隙地位于窗411正下方。线圈
433、法拉第屏蔽件449a和窗411各自被配置为基本上彼此平行。法拉第屏蔽件449a可以防止金属或其它物质沉积在处理室424的窗411上。
[0132] 工艺气体(例如H2和He等)可以通过位于上副室402的一个或更多个主气体流入口460和/或通过一个或更多个侧气体流入口470流入处理室。同样,虽然未明确示出,但是类似的气体流入口可用于向电容耦合等离子体处理室供应工艺气体。真空,例如,一级或两级干式机械泵和/或涡轮分子泵440,可用于将工艺气体从处理室424抽出并维持处理室424内的压强。例如,真空泵可用于在清扫操作过程中排空下副室403。控制的导管可用于使真空泵流体连接在处理室424上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
[0133] 在装置400的操作过程中,一种或多种工艺气体(如含H2气体)可通过气体流入口460和/或470供给。在某些实施方案中,工艺气体可以仅通过主气体流入口460供给,或者仅通过侧气体流入口470供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如,由一个或多个喷头替代。法拉第屏蔽件449a和/或任选的栅格450可以包括能使工艺气体输送至处理室424的内部通道和孔。法拉第屏蔽件449a和任选的栅格450中的一者或两者可以作为用于输送工艺气体的喷头。在一些实施方案中,液体蒸发和输送系统可位于处理室424的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体通过气体流入口460和/或470引入到处理室424中。
[0134] 射频功率从RF功率源441供给到线圈433以使RF电流流过线圈433。流过线圈433的RF电流产生围绕线圈433的电磁场电磁场产生在上副室402内的感应电流。所生成的各离子和自由基与晶片419的物理和化学相互作用蚀刻晶片419上的特征和在晶片419上选择性地沉积层。
[0135] 如果使用等离子体栅格450使得存在上副室402和下副室403二者,则感应电流作用于存在于上副室402中的气体上以在上副室402中产生电子-离子等离子体。任选的内部等离子体栅格450限制下副室403中的热电子的量。在一些实施方案中,设计和操作所述装置400使得存在于下副室403中的等离子体是离子-离子等离子体。
[0136] 上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,尽管离子-离子等离子体将具有更大的阴离子:阳离子的比率。挥发性的蚀刻和/或沉积的副产物可通过端口422从下副室403去除。例如,在使用H2等离子体蚀刻氧化锡期间产生的氢化锡可以在清扫和/或抽排期间通过端口422去除。本文所公开的卡盘417可在约10℃与约250℃之间的升高的温度范围内操作。该温度将取决于工艺操作和具体配方。在一些实施方案中,控制该装置以在低于约100℃的温度下进行蚀刻。
[0137] 装置400当安装在干净的房间或制造厂中时可耦合在设施(未示出)上。设施包括管道,管道提供工艺气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时连接在装置400上。此外,装置400可耦合在传送室上,该传送室允许使用典型的自动化由机器手传送半导体晶片进出装置400。
[0138] 在一些实施方案中,系统控制器430(其可包括一个或更多个物理或逻辑控制器)控制处理室424的一些或所有操作。系统控制器430可包括一个或更多个存储器设备和一个或更多个处理器。在一些实施方案中,装置400包括用于控制工艺气体的流率的切换系统。在一些实施方案中,控制器包括用于引起本文提供的任何方法的步骤的程序指令。
[0139] 在一些实现方式中,系统控制器430是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以整合到系统控制器430中,该系统控制器430可以控制一个或多个系统的各种元件或子部件。根据处理参数和/或系统的类型,系统控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它传输工具和/或与具体系统连接或通过接口连接的装载
[0140] 宽泛地讲,系统控制器430可以被定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式通信到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备或者去除晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
[0141] 在一些实现方式中,系统控制器430可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“”中或者是晶片厂(fab)主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些示例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些示例中,系统控制器430接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,系统控制器430可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的示例可以是与结合以控制室上的工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
[0142] 示例的系统可以包括但不限于等离子体蚀刻室或模、沉积室或模块、旋转清洗室或模块、金属电室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
[0143] 如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、群集工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
[0144] 图12描述了半导体工艺集群结构,其中各个模块与真空传送模块538(VTM)接口。在多个存储设备和处理模块之间“传送”晶片的各种模块的配置可以被称为“集群工具架构”系统。气密室530(也被称为装载锁或传送模块)与VTM 538连接,VTM 538进而与四个处理模块520a-520d连接,四个处理模块520a-520d可以被单独优化以执行各种制造工艺。例如,处理模块520a-520d可以被实现以执行衬底蚀刻、沉积、离子注入、晶片清洁、溅射和/或其它半导体工艺。在一些实施方案中,氧化锡沉积和氧化锡蚀刻在相同的模块中进行。在一些实施方案中,氧化锡沉积和氧化锡蚀刻在相同工具中的不同模块中进行。衬底蚀刻处理模块中的一个或多个(520a-520d中的任意一个)可以如本文所公开的被实施,例如,用于沉积共形膜,选择性地蚀刻氧化锡,形成气隙,以及根据所公开的实施方案所述的其它合适的功能。气密室530和处理模块520a-520d可以被称为“站”。每个站具有将站与VTM 538连接的小面536(facet 536)。在每个小面内部,传感器1-18被用于在晶片526在各站之间移动时检测晶片526的通过。
[0145] 机械手522将晶片526在站之间传输。在一个实施方案中,机械手522具有一个臂,而在另一实施方案中,机械手522具有两个臂,其中每个臂具有端部执行器524以拾取晶片(例如晶片526)以供运输。在大气传送模块(ATM)540中,前端机械手532用于从在负载端口模块(LPM)542中的晶片盒或前开式晶片盒(FOUP)534传送晶片526到气密室530。处理模块520a-520d内的模块中心528是用于放置晶片526的一个位置。在ATM 540中的对准器544用于对齐晶片。
[0146] 在一示例性的处理方法中,晶片被放置在LPM 542中的多个FOUP 534中的一个中。前端机械手532将晶片从FOUP 534传送到对准器544,其允许晶片526在被蚀刻或处理之前适当地居中。对准后,晶片526由前端机械手532移动到气密室530中。由于气密室530具有匹配ATM540和VTM538之间的环境的能力,因此晶片526能够在两种压强环境之间移动而不被破坏。晶片通过机械手522从气密室530移动通过VTM 538并进入处理模块520a-520d中的一个。为了实现这种晶片移动,机械手522在其每一个臂上使用端部执行器524。一旦晶片526已被处理,则通过机械手522将其从处理模块520a-520d移动到气密室530中。晶片526可以从这里通过前端机械手532移动到多个FOUP 534中的一个中或移动到对准器544。
[0147] 应当注意的是,控制晶片运动的计算机对于集群架构可以是本地的,或者它可以位于在制造工厂中的集群架构的外部,或在远程位置并通过网络连接到集群架构。如上参照图11所述的控制器可以用图12中的工具实现。包含用于控制根据本发明的工艺操作的指令的机器可读介质可以耦合到系统控制器。
[0148] 在一些实施方案中,提供了一种装置,其中该装置包括:具有衬底保持器的处理室,该衬底保持器被配置用于在蚀刻期间保持半导体衬底;等离子体发生器,其被配置用于在工艺气体中产生等离子体;和控制器。控制器包括用于实现本文描述的任何方法的程序指令。
[0149] 在另一方面,提供了一种非暂时性计算机机器可读介质,其中它包括用于使得能执行本文描述的任何方法的代码。
[0150] 在另一方面,提供了一种用于在半导体衬底上形成间隔物或硬掩模的系统。该系统包括一个或多个沉积室;一个或多个蚀刻室;和控制器。控制器包括用于实现本文描述的任何方法的程序指令。在另一方面,该系统包括本文描述的任何装置和系统以及步进器。在另一方面,提供了一种用于处理半导体衬底的系统。在一实施方案中,该系统包括:一个或多个沉积室;一个或多个蚀刻室;和系统控制器,该系统控制器包括用于以下操作的程序指令:(i)致使在半导体衬底上的多个突出特征的水平表面和侧壁上沉积氧化锡层;(ii)致使在突出特征的侧壁处的氧化锡层上形成钝化层,以及(iii)从突出特征的水平表面去除氧化锡层,而不会导致去除突出特征的侧壁上方的氧化锡层。
[0151] 进一步的实现方式
[0152] 本文描述的装置和工艺可以与光刻图案化工具或工艺结合使用,例如用于制造或制备半导体器件、显示器、LED、光伏板等。典型地,但不必需地,这样的装置和工艺将被用于共同的制造设施中或者在共同的制造设施中一起进行。光刻图案化膜通常包括以下步骤中的一些或全部,每个步骤可用多个可能的工具实现:(1)使用旋涂或喷涂工具在工件(即衬底)上涂覆光致抗蚀剂;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)用诸如晶片步进机之类的工具将光致抗蚀剂暴露于可见或UV或X射线光;(4)使抗蚀剂显影以选择性地去除抗蚀剂,从而使用诸如湿台之类的工具对其进行图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转移到底层膜或工件中;和(6)使用诸如RF或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
高效检索全球专利

专利汇是专利免费检索,专利查询,专利分析-国家发明专利查询检索分析平台,是提供专利分析,专利查询,专利检索等数据服务功能的知识产权数据服务商。

我们的产品包含105个国家的1.26亿组数据,免费查、免费专利分析。

申请试用

分析报告

专利汇分析报告产品可以对行业情报数据进行梳理分析,涉及维度包括行业专利基本状况分析、地域分析、技术分析、发明人分析、申请人分析、专利权人分析、失效分析、核心专利分析、法律分析、研发重点分析、企业专利处境分析、技术处境分析、专利寿命分析、企业定位分析、引证分析等超过60个分析角度,系统通过AI智能系统对图表进行解读,只需1分钟,一键生成行业专利分析报告。

申请试用

QQ群二维码
意见反馈