序号 专利名 申请号 申请日 公开(公告)号 公开(公告)日 发明人
161 Removal of metallic stains from porcelain surfaces US3754941D 1971-01-04 US3754941A 1973-08-28 BURKE R
This disclosure relates to cleansing compositions having particular utility as a metallic stain remover from hard surfaces such as porcelain, comprising an oxidant capable of oxidizing the metal in a practical time such as the metallic ions ferric, stannous, cupric and mercuric and non-metallic oxidants such as hydrogen peroxide, a flouride solubilizer and a halide promotor, said composition having a pH of 0.5 - 5. Additives such as detergents, perfumes, fillers, colorants, etc. may be included provided they do not adversely affect the stain removing properties of the composition. Although aluminum pot marks are most often encountered in normal household cleaning, said cleansers are effective against other metallic stains such as iron, tin, magnesium, etc.
162 Alkaline detergent composition US3640878D 1969-05-29 US3640878A 1972-02-08 CHIRASH WILLIAM; BURKE RICHARD LERDA
A DISHWASHING DETERGENT COMPOSITION CONTAINING SODIUM SILICATE, PENTASODIUM TRIPOLYPHOSPHATE AND SODIUM FLUOSILICATE. THE LATTER ACTS TO PROTECT THE OVERGLAZE PATTERNS OF FINE CHINA. OTHER ALKALI METAL SILICATES, PHOSPHATES, CARBONATES, FLUOSILICATES AND CHELATES MAY BE USED. AN ANTISPOTTING AGENT, ACTIVE OXYGEN, OR CHLORINE BLEACHING AGENT (YIELDING HYPOCHLORITE CHLORINE) AND AN ORGANIC DETERGENT MAY ALSO BE PRESENT.
163 Processes of cleaning and passivating reactor equipment US3522093D 1967-02-27 US3522093A 1970-07-28 WOOLMAN WILFRID A
164 Noncorrosive rust remover US3510432D 1966-02-03 US3510432A 1970-05-05 SQUIRE ALBERT T
165 Metal cleaning and treating compositions US17334762 1962-02-15 US3239467A 1966-03-08 LIPINSKI RICHARD J
166 Synthetic acid and associated methods US16915204 2020-06-29 US11034598B2 2021-06-15 John T. MacDonald, II; John Thomas MacDonald, III
Glycine is an organic compound that can be used in the making of a synthetic acid that obviates all the drawbacks of strong acids such as hydrochloric acid. The new compound is made by dissolving glycine in water, in a weight ratio of approximately 1:1 to 1:1.5. The solution is mixed until the glycine is essentially fully dissolved in the water. Once dissolution is complete, hydrogen chloride gas is dissolved in the solution to produce the new compound, which can be referred to as hydrogen glycine. Also disclosed is a method for adjusting the pH of a fluid, the method comprising adding an effective amount of a solution to the fluid for adjusting the pH thereof to a desired level, wherein the solution is prepared by mixing glycine in water to form a glycine solution; and adding hydrogen chloride to the glycine solution.
167 Cleaning formulations US15264078 2016-09-13 US10233413B2 2019-03-19 Seiji Inaoka
A composition useful for removing residue from a semiconductor substrate comprising in effective cleaning amounts: from about 55 to 80% by weight of water; from about 0.3 to about 5.0% by weight of EDTA; from about 10.0 to about 30.0% by weight of an amine compound wherein the amine compound is selected from the group consisting of a secondary amine, a tertiary amine, and mixtures thereof; from about 0.1 to about 5.0% by weight of a polyfunctional organic acid; from about 0.01 to about 8.0% by weight of a fluoride ion source; from about 0 to about 60% by weight of a water-miscible organic solvent; and from about 0 to about 15% by weight of a corrosion inhibitor.
168 Biological corrosion inhibitor for metals US15512292 2015-09-14 US10087404B2 2018-10-02 Takuo Tsuruta; Ryosuke Shimizu; Takahiro Hosono; Junichi Fuji; Satoshi Wakai
An object of the present invention is to provide a biological corrosion inhibitor for a metal, which exhibits the effect at a low concentration and is superior in biodegradability. A biological corrosion inhibitor for a metal including 3-methylglutaraldehyde as an effective ingredient is provided.
169 Precursor polyelectrolyte complexes compositions US15433775 2017-02-15 US09976109B2 2018-05-22 David R. Scheuing; Thomas F. Fahlen; Jared Heymann; Mike Kinsinger; William Ouellette; William L. Smith
The invention relates to compositions and methods of treatment employing compositions comprising polyelectrolyte complexes. The compositions include a water-soluble first polyelectrolyte bearing a net cationic charge or capable of developing a net cationic charge and a water-soluble second polyelectrolyte bearing a net anionic charge or capable of developing a net anionic charge. The total polyelectrolyte concentration of the first solution is at least 110 millimolar. The composition is free of coacervates, precipitates, latex particles, synthetic block copolymers, silicone copolymers, cross-linked poly(acrylic) and cross-linked water-soluble polyelectrolyte. The composition may be a concentrate, to be diluted prior to use to treat a surface.
170 Fabric treatment composition US14573418 2014-12-17 US09347022B1 2016-05-24 Lisa Grace Frentzel; Robert Richard Dykstra; Jaden S. Zerhusen
A composition of a plurality of homogeneously structured particles. The particles include polyethylene glycol, perfume, and starch granules and each has a mass between about 0.95 mg and about 5 grams.
171 Azeotropic compositions of 1,1,1,3,3-pentachloropropane and hydrogen fluoride US13402983 2012-02-23 US08999909B2 2015-04-07 Daniel C. Merkel; Hsueh Sung Tung; Konstantin A. Pokrovski; Hang T. Pham; Ryan Hulse
Provided are azeotropic or azeotrope-like mixtures of 1,1,1,3,3-pentachloro-propane (240fa) and hydrogen fluoride. Such compositions are useful as an intermediate in the production of HFC-245fa and HCFO-1233zd.
172 Cleaning composition/solutions and use thereof US13416893 2012-03-09 US08859478B2 2014-10-14 Michael Rochon; Michael Mikoluk; Asquith Williams
A a non-disinfectant formulated solution and a process for removal of soil and disease causing microorganisms from a surface/substrate. The process including contacting the surface/substrate with the formulated solution and applying a dry cloth to the surface/substrate thereafter. In one formulated cleaning solution there is at least two organic acids and sodium chloride mixed thereinto.
173 Liquid acidic hard surface cleaning composition US12201038 2008-08-29 US08420587B2 2013-04-16 Laura Cermenati; William Mario Laurent Verstraeten; Christopher Andrew Morrison
Liquid compositions for cleaning hard-surfaces having pH comprised between 3 and 4, obtained upon the mixing of an acid agent having a pKa comprised between 4 and 6, a source of alkalinity and a surfactant system. Process for treating hard surfaces, preferably delicate, hard surfaces, by applying said composition onto said hard surface and the use of said composition for cleaning hard surface while maintaining surface safety.
174 REMOVAL OF METAL SALT-COMPRISING IONIC LIQUIDS FROM WORKPIECES AND RECYCLING OF SUCH LIQUIDS US13264455 2010-04-13 US20120028868A1 2012-02-02 Aurelie Alemany; Itamar Michael Malkowsky
The present invention relates to a method of removing residues of a metal salt comprising ionic liquid—from a workpiece, which comprises the step (a) treatment of at least part of the workpiece surface with a treatment agent comprising a metal salt ionic liquid-free and/or an organic solvent which is an optionally halogenated hydrocarbon or a mixture of two or more of such hydrocarbons.
175 Particle removal method and composition US12069205 2008-02-07 US08075697B2 2011-12-13 Mark Jonathan Beck
A method and cleaning solution for cleaning electronic substrates, such as a semiconductor wafers, hard disks, photomasks or imprint molds. The method comprises the steps of contacting a surface of the substrate with a cleaning solution comprised of a polyphosphate, and then removing the cleaning solution from the surface. Additional optional steps include applying acoustic energy to the cleaning solution while the cleaning solution is in contact with the surface, and removing the cleaning solution from the surface by rinsing the surface with a rinsing solution with or without the application of acoustic energy. The cleaning solution comprises a polyphosphate, such as any of the water soluble polyphosphates. Depending on the application, the cleaning solution may also comprise a base and/or a quantity of suspended particles. Complexing agents, amines, biocides, surfactants and/or other substances, may also be added to the cleaning solution.
176 Solvent US12380249 2009-02-25 US08007687B2 2011-08-30 Lawrence A. Boville, Sr.
An organic solvent which is very useful in paints is made up primarily of n-butyl acetate, n-heptane, methyl ethyl ketone, methyl isobutyl ketone, acetone and a light hydrotreated petroleum distillate. The solvent typically has a closed cup flash point of about 50 to 75° F. The petroleum distillate typically has a boiling range from 230 to 320° F., a closed cup flash point from 35 to 75° F. and a kauri-butanol value not less than 25. A mixture of 8-carbon and 9-carbon hydrocarbons which are typically primarily paraffins or cycloparaffins typically makes up the vast majority of the petroleum distillate.
177 Reduction of Attraction Forces Between Silicon Wafers US11988132 2006-06-26 US20090117713A1 2009-05-07 Erik Sauar; Per Arne Wang
The present invention is related to a method for reducing attraction forces between wafers (4). This method is characterized in that it comprises the step of, after sawing and before dissolution of the adhesive (5), introducing spacers (6) between wafers (4). The invention comprises also a wafer singulation method and an agent for use in said methods.
178 Method for Removing Etch Residue and Chemistry Therefor US12091032 2005-10-21 US20080287332A1 2008-11-20 Balgovind Sharma
A method for cleaning, especially by removing etch residue (e.g., polymers or particles) from a semiconductor structure, and a cleaning chemistry is described. The method of cleaning includes placing the semiconductor structure with an etch residue particle on it in a chemistry to remove the particle, wherein the active component of the chemistry consists of a carboxylic acid having equal numbers of COOH and OH groups. In one embodiment, the carboxylic acid is tartaric acid. In one embodiment, the chemistry further comprises water.
179 Surfactant-free detergent composition comprising an anti-soil redeposition agent US10433667 2001-12-05 US07407924B2 2008-08-05 Kazuyoshi Arai; Tomoki Seo
Washing is carried out using a detergent composition having main detergency obtained through an alkaline inorganic salt and further including at least an anti-soil redeposition agent. There is provided a clothes washing method, and a detergent composition for the same, that uses a detergent having detergency equivalent to or greater than that of synthetic detergents containing a surface active agent as the main detergency ingredient and also has excellent anti-soil redeposition efficiency wherein main detergency is obtained by an alkaline inorganic salt.
180 CLEANING WAFER INCLUDING DETERGENT LAYER FOR EXPOSURE APPARATUS OF IMMERSION LITHOGRAPHY SYSTEM, COMPOSITION OF DETERGENT LAYER, METHOD OF USING CLEANING WAFER AND APPLICATION SYSTEM US11621002 2007-01-08 US20080163892A1 2008-07-10 I-Hsiung Huang; Ling-Chieh Lin
A method of an in situ cleaning of an objective lens of a semiconductor apparatus includes placing a cleaning wafer having a detergent layer on a scanning stage of the semiconductor apparatus. A cleaning composition in the detergent layer is dissolved by using an immersion liquid (water), so that the cleaning composition reacts with the contaminants on the objective lens. Thereafter, the objective lens is rinsed with another solvent.
QQ群二维码
意见反馈