首页 / 国际专利分类库 / 作业;运输 / 清洁 / B08B 一般清洁;一般污垢的防除 / 利用空气流或气体流的清洁方法(B08B 6/00优先)
序号 专利名 申请号 申请日 公开(公告)号 公开(公告)日 发明人
141 CLEANING COMPOSITIONS AND METHODS US14618085 2015-02-10 US20150152362A1 2015-06-04 Ryan Hulse; Kane D Cook
The present invention relates, in part, to cleaning methods and solvent cleaning compositions including at least one hydrofluoro-olefin or hydrochlorofluoro-olefin solvent for use in connection with cleaning of metal parts, and in certain preferred embodiments cleaning metal parts to be used in an aircraft.
142 METHOD AND A SYSTEM FOR CLEANING PRINTING PARTS US14396996 2013-03-13 US20150068558A1 2015-03-12 Daniel Poissant; Anton Chernyshov; Martin Gingras; Eric Thibault
A system and a method for cleaning a printing cylinder or other printing equipment such as printing plates, ink pans or floors of printing units, the method comprising applying a detergent to the surface to be cleaned, after a period to allow action of the detergent, removing the detergent by rinsing, using a vapor and high velocity air stream, i.e. atomized water fog; or steam; or a combination of steam and air, which allows dislodging particles encrusted within cells of the surface of the piece of equipment to be cleaned.
143 In situ cleaning device for lithographic apparatus US13462013 2012-05-02 US08921807B2 2014-12-30 Hiromitsu Takase; Ichiro Tanaka; Akira Miyake
A lithographic apparatus which performs drawing on a substrate with a charged-particle beam, includes an optical system having an aperture plate in which a first number of apertures are formed to pass a first number of charged-particle beams to perform the drawing, a substrate holder, a cleaning unit configured to clean the aperture plate, and a chamber containing the optical system and the substrate holder. The cleaning unit includes a case having an emitting hole plate in which a second number of emitting holes are formed, the second number being smaller than the first number, an active species source configured to generate active species in the case, and a driving mechanism configured to move the case.
144 Method For Cleaning Reaction Chamber Using Pre-cleaning Process US13901372 2013-05-23 US20140345644A1 2014-11-27 Tatsuhiro Okabe; Atsuki Fukazawa
A method for cleaning a reaction chamber is conducted after depositing an oxide, nitride, or oxynitride film on a substrate in a reaction chamber having interior surfaces on which oxide, nitride, or oxynitride is accumulated as a result of the deposition, said oxide, nitride, or oxynitride being selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, metal oxide, metal nitride, and metal oxynitride. The method includes: oxidizing or nitriding the oxide, nitride, or oxynitride is accumulated on the interior surfaces of the reaction chamber, by RF-excited plasma of an oxygen- or nitrogen-containing gas in the absence of halide gas as a pre-cleaning step; and cleaning the interior surfaces of the reaction chamber, by RF-excited plasma of a halide cleaning gas.
145 Method of removing contaminates from particulate material US13676029 2012-11-13 US08857622B2 2014-10-14 Heinz Schneider; Paul Wagner
A cylindrical dedusting apparatus has an upper material infeed opening to introduce material into a frusto-conical infeed hopper centered over the tip of a conical wash deck supported over an air infeed conduit. Air is blown through slots and openings in the surface of the wash deck to separate dust and debris from the particulate material. The dust-laden air is discharged by passing between the infeed hopper and a cylindrical sleeve to enter into a circular collector for discharge from the apparatus. Flow rate of material over the wash deck is adjusted by vertically moving the infeed hopper within the sleeve relative to the wash deck, the tip serving as a stopper to define the dimension of the gap through which material flows onto the wash deck. Cleaned material passes through a lower discharge opening while dirty air is removed through a radially oriented discharge conduit from the circular collector.
146 Method extending the service interval of a gas distribution plate US13408709 2012-02-29 US08845816B2 2014-09-30 Adauto Diaz; Andrew Nguyen; Benjamin Schwarz; Eu Jin Lim; Jared Ahmad Lee; James P. Cruse; Li Zhang; Scott M. Williams; Xiaoliang Zhuang; Zhuang Li
Methods for reducing the contamination of a gas distribution plate are provided. In one embodiment, a method for processing a substrate includes transferring the substrate into a chamber, performing a treating process on the substrate, and providing a purge gas into the chamber before or after the treating process to pump out a residue gas relative to the treating process from the chamber. The treating process includes distributing a reactant gas into the chamber through a gas distribution plate.
147 System and method of cooling turbines US13890707 2013-05-09 US08844289B2 2014-09-30 Steven J. Barber
A method of cooling a turbine having internal moving components to a predetermined temperature is disclosed. The method comprises taking the turbine offline. While the turbine is offline, nitrogen is flowed through the turbine until the turbine reaches the predetermined temperature while controlling the flow of nitrogen from at least one injection point to prevent damage to the moving components of the turbine by achieving uniform cooling of the internal moving components. Then the flow of nitrogen is stopped. A method and assembly for cleaning a turbine having a deposit formed on an internal surface of the turbine is also disclosed.
148 CLEANING METHOD, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM US14223132 2014-03-24 US20140287594A1 2014-09-25 Masato TERASAKI; Naonori AKAE; Hideki HORITA
A cleaning method includes (a) providing a process chamber after forming an oxide film on a substrate in the process chamber formed by a reaction tube and a manifold supporting the reaction tube by performing a cycle a predetermined number of times, the cycle including supplying a source gas to the substrate through a first nozzle in the manifold extending upward to an inside of the reaction tube, and supplying an oxidizing gas to the substrate through a second nozzle in the manifold extending upward to the inside of the reaction tube; and (b) cleaning an inside of the process chamber. The step (b) includes a first cleaning process of supplying a hydrogen fluoride gas into the reaction tube through the second nozzle; and a second cleaning process of supplying a hydrogen fluoride gas onto an inner wall surface of the manifold through a third nozzle disposed in the manifold.
149 METHOD AND APPARATUS FOR SUBSTRATE RINSING AND DRYING US14211303 2014-03-14 US20140261569A1 2014-09-18 Carlos A. FONSECA; Michael A. CARCASI
A method and apparatus are disclosed for optimizing a rinsing and drying process in semiconductor manufacturing. The optimization seeks to maximize processing throughput while maintaining low defect counts and high device yields, and utilizes simulation and experimental data to set the optimal process parameters for the rinsing and drying process. Improved methods of rinse liquid and purge gas nozzle movement are also disclosed.
150 METHODS AND APPARATUS FOR SUBSTRATE EDGE CLEANING US13785903 2013-03-05 US20140251375A1 2014-09-11 JAMES MATTHEW HOLDEN; SONG-MOON SUH; TODD EGAN; Kalyanjit Ghosh; Leon Volfovski; Michael R. Rice; Richard Giljum
A substrate cleaning apparatus may include a substrate support having a support surface to support a substrate to be cleaned, wherein the substrate support is rotatable about a central axis normal to the support surface; a first nozzle to provide a first cleaning gas to a region of the inner volume corresponding to the position of an edge of the substrate when the substrate is supported by the support surface of the substrate support; a first annular body disposed opposite and spaced apart from the support surface of the substrate support by a gap, the first annular body having a central opening defined by an inner wall shaped to provide a reducing size of the gap between the first annular body and the support surface in a radially outward direction; and a first gas inlet to provide a first gas to the central opening of the first annular body.
151 CARBON BUILDUP REMOVAL DEVICE WITH PROTECTION FUNCTION OF VIBRATION DETECTION US13789589 2013-03-07 US20140250868A1 2014-09-11 Wen Chang Lin
A carbon buildup removal device with protection function of vibration detection is provided for electrically connecting to an engine from which carbon buildup is to be removed. The carbon buildup removal device includes a gas output unit and a vibration detection unit. The gas output unit outputs a gas that is supplied to the engine. The vibration detection unit includes a vibration detector that detects vibration of the automobile and a controller that is electrically connected to the vibration detector and the gas output unit. By using the vibration detector in combination with the controller, when the engine stops operating or is operating abnormally, power supplied to the gas output unit is cut off so as to prevent excessive accumulation of gas and thus back fire or excessive pressure to thereby improve stability and safety of carbon buildup removal operation of the engine.
152 Method and system for supplying a cleaning gas into a process chamber US13676820 2012-11-14 US08591699B2 2013-11-26 Ramprakash Sankarakrishnan; Dale R. Du Bois; Ganesh Balasubramanian; Karthik Janakiraman; Juan Carlos Rocha-Alvarez; Thomas Nowak; Visweswaren Sivaramakrishnan; Hichem M'Saad
A method and apparatus for cleaning a process chamber are provided. In one embodiment, a process chamber is provided that includes a remote plasma source and a process chamber having at least two processing regions. Each processing region includes a substrate support assembly disposed in the processing region, a gas distribution system configured to provide gas into the processing region above the substrate support assembly, and a gas passage configured to provide gas into the processing region below the substrate support assembly. A first gas conduit is configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region while a second gas conduit is configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region.
153 System and method for preventing scaling in a flue gas desulphurization system US12046613 2008-03-12 US08540219B2 2013-09-24 Dennis J. Laslo
A system & Method for Preventing Scaling in a Flue Gas Desulphurization System is provided. The system includes an injector configured to direct a barrier fluid toward a surface that is otherwise susceptible to scaling when it comes in contact with super-saturated alkaline solutions such as slurry used to capture CO2 from a flue gas stream.
154 Deposition chamber cleaning system and method US13689345 2012-11-29 US08501527B2 2013-08-06 Markus E. Beck
An in-situ method of cleaning a vacuum deposition chamber can include flowing at least one reactive gas into the chamber.
155 Method And Device for The Depollution Of A Pelliculated Reticle US13819408 2011-09-06 US20130152977A1 2013-06-20 Arnaud Favre; Smail Hadj Rabah; Julien Bounouar
The object of the present invention is a device for depolluting a non-sealed, confined environment (1) having a natural leakage (6) and including an interior space (9) bounded by a wall (7), comprising a depollution enclosure (11, 30) means (32, 42) for pumping gas and means (33, 43) for introducing gas. The depollution enclosure (11, 30) has at least two chambers (12, 13; 31, 41) separated by a sealing wall (14, 49). A first chamber (12, 31) is constituted by the part of the enclosure that is situated is contact with the wall (7) of the non-sealed, confined environment (1) and cooperates with first means for pumping (42) and first means for introducing gas (43), and a second chamber (13, 41) is constituted by the part of the enclosure which is situated in contact wife the natural leakage (6) from the non-sealed, confined environment (1) and cooperates with second means for pumping (42) and second means for introducing gas (43). The first and second means for pumping gas (32) and (42) have a pumping capacity which can vary independently, and the first and second means for introducing gas (33) and (43) having a gas injection flow rate which can vary independently. The device for depollating also has means to control the difference in pressure between the interior space (9) and the first chamber (12, 31).
156 Method of Removing Contaminates from Particulate Material US13676029 2012-11-13 US20130068263A1 2013-03-21 Heinz Schneider; Paul Wagner
A cylindrical dedusting apparatus has an upper material infeed opening to introduce material into a frusto-conical infeed hopper centered over the tip of a conical wash deck supported over an air infeed conduit. Air is blown through slots and openings in the surface of the wash deck to separate dust and debris from the particulate material. The dust-laden air is discharged by passing between the infeed hopper and a cylindrical sleeve to enter into a circular collector for discharge from the apparatus. Flow rate of material over the wash deck is adjusted by vertically moving the infeed hopper within the sleeve relative to the wash deck, the tip serving as a stopper to define the dimension of the gap through which material flows onto the wash deck. Cleaned material passes through a lower discharge opening while dirty air is removed through a radially oriented discharge conduit from the circular collector.
157 Debris removal system for power tool US12633582 2009-12-08 US08397342B2 2013-03-19 Timothy Baker; Josh Barhitte; Barry Jacobs; Harald Krondorfer
A debris removal attachment for use with a hand-held power tool. The attachment includes an extension shaft, a first impeller coupled to the extension shaft, and a housing provided adjacent the first impeller. The rotation of the first impeller is configured to generate a pressure differential sufficient to draw debris into the housing.
158 HDA vacuum cleaning machine for manufacturing of HDD US12332029 2008-12-10 US08387631B1 2013-03-05 Pranee Thonghara; Lie Dhani Hastama; Pattira Mokawan
A machine for cleaning a head-disk assembly (HDA) of a hard disk drive (HDD) includes a nest that seals the HDA between an upper and lower portion during cleaning. An inlet port receives a gas and an exhaust port exhausts the gas and entrained particles. A shock drive delivers mechanical shocks to the nest and the HDA while the gas is flowing through the HDA. A blower may circulate the gas from the exhaust port to the inlet port. A filter may be coupled to the inlet port. The HDA nest may be movable along an axis of the mechanical shocks delivered by the shock drive. A blow tube may deliver gas to a screw hole and a coaxial vacuum tube may rest against a surface around the screw hole to encapsulate the blow tube during cleaning and remove the gas and particles from the screw hole.
159 Cylindrical dedusting apparatus for particulate material US12718494 2010-03-05 US08312994B2 2012-11-20 Heinz Schneider; Paul Wagner
A cylindrical dedusting apparatus has an upper material infeed opening to introduce material into a frusto-conical infeed hopper centered over the tip of a conical wash deck supported over an air infeed conduit. Air is blown through slots and openings in the surface of the wash deck to separate dust and debris from the particulate material. The dust-laden air is discharged by passing between the infeed hopper and a cylindrical sleeve to enter into a circular collector for discharge from the apparatus. Flow rate of material over the wash deck is adjusted by vertically moving the infeed hopper within the sleeve relative to the wash deck, the tip serving as a stopper to define the dimension of the gap through which material flows onto the wash deck. Cleaned material passes through a lower discharge opening while dirty air is removed through a radially oriented discharge conduit from the circular collector.
160 CHARGED-PARTICLE BEAM LITHOGRAPHIC APPARATUS AND METHOD OF MANUFACTURING DEVICE US13462013 2012-05-02 US20120288799A1 2012-11-15 Hiromitsu Takase; Ichiro Tanaka; Akira Miyake
A lithographic apparatus which performs drawing on a substrate with a charged-particle beam, includes an optical system having an aperture plate in which a first number of apertures are formed to pass a first number of charged-particle beams to perform the drawing, a substrate holder, a cleaning unit configured to clean the aperture plate, and a chamber containing the optical system and the substrate holder. The cleaning unit includes a case having an emitting hole plate in which a second number of emitting holes are formed, the second number being smaller than the first number, an active species source configured to generate active species in the case, and a driving mechanism configured to move the case.
QQ群二维码
意见反馈