首页 / 专利库 / 电子零件及设备 / 机电一体化 / 固定式车辆动态称重装置

固定式车辆动态称重装置

阅读:911发布:2022-09-12

专利汇可以提供固定式车辆动态称重装置专利检索,专利查询,专利分析的服务。并且本实用新型公开了一种固定式车辆动态称重装置。包括包括摄像系统、高速动态称重预检子系统、低速高 精度 称重执法子系统、情报板报警系统。高速动态称重预检系统由高速弯板称重 传感器 、 数据处理 单元、车型自动分类装置、以及泛光照明和第一计算机组成;低速高精度称重执法系统由称重平台、数据处理单元、车型自动分类装置、通行 信号 灯和第二计算机组成。本实用新型对往来车辆的轴重及总重进行预检,筛选出超限违章嫌疑车辆,超限违章嫌疑车辆导入检测区,经低速高精度称重系统进行二次检测,在营运车辆正常行驶条件下,自动筛选超限违章嫌疑车辆,提出 小波变换 结合遗传 算法 ,消除高低频干扰的 信号处理 方法,并对处理后的信号进行ARX模型辩识,获取车辆真实重量的解决方案。,下面是固定式车辆动态称重装置专利的具体信息内容。

1.一种固定式车辆动态称重装置,其特征在于它包括摄像系统、高速动态 称重预检子系统、低速高精度称重执法子系统、情报板报警系统;摄像系统内 部模的连接关系为:摄像机与视频分配器、摄像系统本地处理器、硬盘录像 机、视频矩阵相连;低速高精度称重执法子系统内部模块的连接关系为:第二 数据处理单元分别与多通道接口板、接口板、车型分类器接口板相连,多通道 接口板分别通过RS422、I/O与低速报警器和低速入口信号灯相连,接口板与称 重平台相连,车型分类器接口板与第二车道压电传感器相连;高速动态称重预 检子系统内部模块的连接关系为:第一数据处理单元分别与车型分类器接口板、 称重接口板相连,车型分类器接口板与第一车道压电传感器相连,称重接口板 与高速弯板称重传感器相连;情报板报警系统内部模块包括:超载报警器中心处理 器与报警显示装置;视频矩阵分别与第一计算机、第二计算机相连,第一计算 机分别经RS422转换器与超载报警器中心处理器、数据处理单元相连,第二计 算机经RS232/RS422转换器与数据处理单元相连。
2.根据权利要求1所述的一种固定式车辆动态称重装置,其特征在于所述 的数据处理单元内部模块的连接关系为:微处理器分别与RS232串行通信单元、 DSP输入输出队列单元、AD输入输出队列单元、AD采样控制器相连,AD转 换器分别与AD采样器、AD输入输出队列单元相连,RS232串行通信单元经 RS232转RS422模块与RS422接口相连,DSP输入输出队列单元与数字处理器 相连。
3.根据权利要求2所述的一种固定式车辆动态称重装置,其特征在于所述的 微处理器、AD采样控制器、DSP输入输出队列单元的接口电路为:FPGA的第 14、23、62、71引脚分别接第1、2、3、4电阻的一端与第1JTAG接口的第4、 3、2、1引脚,第1、2、3、4电阻的另一端接地,FPGA的第1、2、7、19、32、 42、47、59、72、82、83、84引脚接地,FPGA的第3、13、26、38、43、53、 66、78引脚接电源,FPGA的第75、77、79引脚分别接第5、6、7电阻的一端 与第2JTAG接口的第2、4、5引脚,第5、6电阻的另一端接电源,第7电阻的 另一端接地,第2JTAG接口的第1、5引脚分别接电源和地。FPGA的第81引 脚分别接第1电容的一端和第8电阻的一端,第1电容的另一端接电源,第8 电阻的另一端接地,FPGA的第54引脚为数据输出I/O口。
4.根据权利要求1所述的一种固定式车辆动态称重系统,其特征在于所述 的高速称重传感器为WPM175型机电一体化弯板传感器。
5.根据权利要求1所述的一种固定式车辆动态称重装置,其特征在于所述 的第一车型自动分类装置、第二车型自动分类装置采用共聚物压电传感器。
6.根据权利要求1所述的一种固定式车辆动态称重装置,其特征在于所述 的第一数据处理单元、第二数据处理单元采用基于FPGA的硬逻辑结合DSP 的多机协同体系结构。

说明书全文

技术领域

本实用新型涉及车辆动态称重技术领域,尤其涉及一种固定式车辆动态称重 装置。

背景技术

车辆超限超载运输造成了一系列的负面影响:损坏公路基础设施,扰乱运输 市场,国家规费和税收大量流失,交通事故频发,阻碍汽车制造业的结构调整, 污染公路沿线环境等。超限超载需综合治理,除加快立法,加大执法度,开 展深入广泛的教育外,配备先进适用的车辆动态称重系统是必不可少的技术手 段。公路车辆动态称重(Weight in Motion,简称WIN),是指车辆在正常行驶条 件下(50~200km/h),测出车辆的轴重和整车重量。与停车(低速)状态下的静 态(准静态)称重相比,动态称重的优点是高效、自动化程度高,称重不会影 响正常的交通运输。其缺点是,称重信号的可靠获取不易,而且获取的信号除 车辆真实重量外尚叠加多种干扰,严重影响测量精度,得到希望的车辆真实重 量存在大量的技术难题;相应的称重系统结构复杂,价格不菲,此外动态称重 精度与静态相比尚存在一定差距。因此,发达国家均采用对运输车辆实行在线 实时高速动态称重预检,仅对超限超载嫌疑车辆再进行低速称重复检的解决方 案。
车辆动态称重时除车辆的真实重量外还叠加多种干扰,干扰的特征取决于车 辆结构及载荷状况、车辆行驶状态、路面情况以及称重系统结构等。因此,行 驶中车辆的重量不是一个常量。现有研究成果表明,车辆动态称重的特点是: 行驶中的车辆处于一种低频振动状态,其频率与车辆结构、载荷分布和路面情 况有关,约5Hz;称重系统产生的振动,其频率与称重系统的结构有关,约为 10Hz;车辆驶入、驶离弯板称重传感器(亦称上板、下板)时的冲击载荷,其 频率与称重传感器的刚度有关,约为50Hz。现以0.5m宽称重传感器,车速 100km/h的典型高速称重工况为例,车辆与传感器的接触时间仅为0.018s;扣除 上、下板的冲击载荷时间,传感器响应时间,称重的有效时间≤0.015s。因此, 称重信号远未达到平稳状态时车辆便离开了称重传感器,即动态称重信号是一 种典型的周期干扰信息不全的短历程非平稳信号。虽然时域中基于对消思想的 平均值法,位移积分法,基于滤波技术的频域傅立叶变换,在汽车衡(静态、 准静态)称重中行之有效,但上述方法有效的前提是称重信号具有平稳性,而 高速动态称重信号恰恰是非平稳信号。必须指出,有效的信号检测,不仅与信 号处理算法有关,更依赖于待测信号形式和干扰特性。
车型分类多年来一直沿用感应线圈检测器。随着车型分类要求的提高,线圈 检测器在车辆轮胎数、轮胎距等参数判别上的不足,给公正执法造成某些消极 影响。现有动态称重系统的数据处理单元大多由单片机等通用微机处理器实现, 通过软件控制整个数据处理和车型分类工作流程。工程实践表明,在震动和电 磁干扰环境下,软件存在程序跑飞的现象,影响整个称重系统工作的可靠性。 另一方面,称重传感器输出的高速动态信号是典型的短历程非平稳信号,从短 历程非平稳信号中获取车辆的真实重量离不开一套有针对性的复杂算法。目前 常用的单机结构,无论从计算能力、实时性、可靠性以及流程的合理性均不能 令人满意。高速动态称重传感器国内外存在较大差距,尤其在传感器材料的基 础研究和大规模长期工程考核方面差距更大,鉴于国内企业尚无实力提供产品 级高速动态传感器的事实,所以采用德国PAT公司的WPM175型弯板传感器(中 华人民共和国计量器具型式批准证书2000F190)。
发明内容
本实用新型的目的是提供一种固定式车辆动态称重装置。克服现有车辆动 态称重系统的不足。
固定式车辆动态称重装置包括摄像系统、高速动态称重预检子系统、低速 高精度称重执法子系统、情报板报警系统;摄像系统内部模的连接关系为: 摄像机与视频分配器、摄像系统本地处理器、硬盘录像机、视频矩阵相连;低 速高精度称重执法子系统内部模块的连接关系为:第二数据处理单元分别与多 通道接口板、接口板、车型分类器接口板相连,多通道接口板分别通过RS422、 I/O与低速报警器和低速入口信号灯相连,接口板与称重平台相连,车型分类器 接口板与第二车道压电传感器相连;高速动态称重预检子系统内部模块的连接 关系为:第一数据处理单元分别与车型分类器接口板、称重接口板相连,车型分 类器接口板与第一车道压电传感器相连,称重接口板与高速弯板称重传感器相连; 情报板报警系统内部模块包括:超载报警器中心处理器与报警显示装置;视频 矩阵分别与第一计算机、第二计算机相连,第一计算机分别经RS422转换器与 超载报警器中心处理器、数据处理单元相连,第二计算机经RS232/RS422转换 器与数据处理单元相连。
所述的数据处理单元内部模块的连接关系为:微处理器分别与RS232串行 通信单元、DSP输入输出队列单元、AD输入输出队列单元、AD采样控制器相 连,AD转换器分别与AD采样器、AD输入输出队列单元相连,RS232串行通 信单元经RS232转RS422模块与RS422接口相连,DSP输入输出队列单元与数 字处理器相连。
微处理器、AD采样控制器、DSP输入输出队列单元的接口电路为:FPGA 的第14、23、62、71引脚分别接第1、2、3、4电阻的一端与第1JTAG接口的 第4、3、2、1引脚,第1、2、3、4电阻的另一端接地,FPGA的第1、2、7、 19、32、42、47、59、72、82、83、84引脚接地,FPGA的第3、13、26、38、 43、53、66、78引脚接电源,FPGA的第75、77、79引脚分别接第5、6、7电 阻的一端与第2JTAG接口的第2、4、5引脚,第5、6电阻的另一端接电源,第 7电阻的另一端接地,第2JTAG接口的第1、5引脚分别接电源和地。FPGA的 第81引脚分别接第1电容的一端和第8电阻的一端,第1电容的另一端接电源, 第8电阻的另一端接地,FPGA的第54引脚为数据输出I/O口。
高速称重传感器为WPM175型机电一体化弯板传感器。第一车型自动分类 装置、第二车型自动分类装置采用共聚物压电传感器。第一数据处理单元、第 二数据处理单元采用基于FPGA的硬逻辑结合DSP的多机协同体系结构。
本实用新型鉴于高速动态称重传感器国内外存在较大差距的事实,引进在 动态称重领域处于领先地位,全球市场份额第一的WPM175传感器(德国PAT 公司),确保系统长期、稳定、可靠的运行。
针对车型分类时传统线圈检测器的不足,提出采用共聚物压电传感器:由 两根长3.5m垂直行车方向、相距3.5m平行设置的共聚物压电传感器,以及一 根倾斜45。的共聚物压电传感器组成,完成车辆轴数、轴距、轮胎数、车辆速度 等参数的识别。针对单机结构的动态称重数据处理单元存在软件跑飞,计算能 力不足,实时性无法保证的缺陷,提出采用基于FPGA硬逻辑门结合DSP的多 机协同硬件体系结构,提高了动态称重系统的可靠性和实时性。
基于称重传感器输出的高速动态信号是典型的周期干扰信息不全短历程非 平稳信号的认识,提出获取车辆真实重量的完整解决方案。第一步采用小波变 换算法进行多层小波变换,对其中的高频干扰强制清零消噪,然后进行小波重 构,得到祛除高频干扰的车辆称重信号。第二步采用遗传算法,拟合出动态载 荷的振幅、相位频率等参数,在重构信号中消除低频干扰。第三步对消除 了高低频干扰的动态重构信号进行ARX模型的系统辨识,最终计算得出车辆的 真实重量。小波变换作为上世纪80年代末信号处理领域的重大理论成果,是一 种信号的时间--尺度(时间——频率)分析方法,它具有多分辨率分析的特 点,在时域频域中都具有表征局部信号的能力,是一种时频局部化分析方法。 利用小波变换在高频部分具有较高的时间分辨率和较低的频率分辨率,在低频 部分具有较高的频率分辨率和较低的时间分辨率的优异特性,特别适合周期干 扰信息不全的短历程非平稳动态称重信号处理。
附图说明
图1是固定式动态称重装置结构框图
图2是本实用新型的数据处理单元电路框图;
图3是本实用新型的微处理器、AD采样控制器、DSP输入输出队列单元接 口电路图;
图4是本实用新型的高速动态称重预检系统布置图;
图5是本实用新型的低速高精度动态称重执法系统布置图;
图6是本实用新型的低速高精度动态称重执法系统工作流程图
图7是本实用新型的共聚物压电传感器布置图;
图8是本实用新型的单/双轮通过共聚物压电传感器的信号图;
图9是本实用新型的高速动态称重预检系统工作流程图;
图10是本实用新型的小波分解示意图;
图11是本实用新型的单阶段遗传算法拟合效果图;
图12是本实用新型的多阶段遗传算法拟合效果图。

具体实施方式

下面结合附图对本实用新型作进一步的说明。
如图1所示固定式车辆动态称重装置包括摄像系统、高速动态称重预检子 系统、低速高精度称重执法子系统、情报板报警系统;摄像系统内部模块的连 接关系为:摄像机与视频分配器、摄像系统本地处理器、硬盘录像机、视频矩 阵相连;低速高精度称重执法子系统内部模块的连接关系为:第二数据处理单 元分别与多通道接口板、接口板、车型分类器接口板相连,多通道接口板分别 通过RS422、I/O与低速报警器和低速入口信号灯相连,接口板与称重平台相连, 车型分类器接口板与第二车道压电传感器相连;高速动态称重预检子系统内部 模块的连接关系为:第一数据处理单元分别与车型分类器接口板、称重接口板相 连,车型分类器接口板与第一车道压电传感器相连,称重接口板与高速弯板称重 传感器相连;情报板报警系统内部模块包括:超载报警器中心处理器与报警显示 装置;视频矩阵分别与第一计算机、第二计算机相连,第一计算机分别经RS422 转换器与超载报警器中心处理器、数据处理单元相连,第二计算机经 RS232/RS422转换器与数据处理单元相连。
如图2所示,数据处理单元内部模块的连接关系为:微处理器分别与RS232 串行通信单元、DSP输入输出队列单元、AD输入输出队列单元、AD采样控制 器相连,AD转换器分别与AD采样器、AD输入输出队列单元相连,RS232串 行通信单元经RS232转RS422模块与RS422接口相连,DSP输入输出队列单元 与数字处理器相连。
第一数据处理单元、第二数据处理单元采用基于FPGA的硬逻辑门结合DSP 的多机协同体系结构。硬逻辑门控制协调整个称重数据处理和车型分类流程, 消除了通用微处理器软件存在的程序跑飞现象,提高了称重系统的可靠性;多 机协同体系合理指派任务、分配资源,提升了数据处理单元的计算能力,具备 实时处理高速动态称重信号复杂算法的能力。共聚物压电传感器,高速弯板称 重传感器(称重平台)产生的模拟信号经AD785芯片转换为数字信号,其采样 频率为300KSPS。基于FPGA的硬逻辑门采用verilogHDL硬件描述语言设计, 选用XILINX公司的XCV100FPGA芯片,并将其配置成MCU,AD采样控制器、 AD输入输出队列单元、DSP输入输出队列单元以及RS232模块。RS232接口 经RS232转RS422模块通过RS422接口与第一计算机通信,AD采样控制器控 制AD785的A/D采样,采样信号存储在AD输入输出队列单元模块。共聚物压 电传感器信号由MCU模块完成车型参数识别,再由第一计算机根据各地的交 通规范进行车型分类;高速弯板称重传感器(称重平台)信号则输出至DSP, 定点16位DSP芯片TMS320VC5509A运行动态称重信号处理软件,处理结果 回送至MCU模块;MCU模块通过RS232接口,经RS232转RS422模块通过 RS422接口将车型参数和称重数据输出至计算机。
FPGA模块配置的部分verilog HDL程序如下:
AD采样控制器:
module ADC_top(clock,out,read_clk,data,ad_clk,A,OE,EOC,ALE);
input[7:0]data;
input clock;
output ad_clk;
output[2:0]A;
output[7:0]out;
output OE;
input EOC;
output ALE;//START is connected to ALE
input read_clk;
    assign A=3′b000;
reg[7:0]out_buf;
reg[7:0]out;
always@(posedge read_clk)
begin
    out<=out_buf;
end
reg read1,read2;
always@(posedge ad_clk)
begin
    read1<=read_clk;
    read2<=read1;
end
    reg ALE;
always@(posedge ad_clk)
begin
    if(read1 &&!read2)//上升沿,start
    begin
      ALE<=1′b1;
    end
    else
       ALE<=1′b0;
End    //finish
reg EOC1,EOC2;
always@(posedge ad_clk)
begin
   EOC1<=EOC;
   EOC2<=EOC1;
end
      reg OE;
      always@(posedge ad_clk)
      begin
         if(EOC1&&!EOC2)
              OE<=1′b1;
         else
              OE<=1′b0;
      end
      always@(posedge ad_clk)
      begin
         if(OE)
          out_buf<=data;
      end
      wire[25:0]dADC;
      wire[7:0]din;
      assign dADC=26′d50;
      fre_div myfredADC(
      .clk_in(clock),
      .d(dADC),
      .clk_out(ad_clk)
      );
    endmodule
RS232模块:
    Module
uart(senddata,sendenable,dout,dataready,framingerror,parityerror,rxd,txd,stdclk,rdn,rs
t,rst2);
    Always@(posedge clk1x or posedge rst2)//发送数据部分
      begin
         if(rst2)
               begin
                bitposition<=4’b0000;
                txd<=1’b1;
    end
    else
       begin
        if(sendenable)
            begin
              case(bitposition)
               4’b0000:
                      begin
                      parity<=1’b0;
                      txd<=1’b1;
                      bitposition<=bitposition+1;
                     end
                4’b0001:
                     begin
                     txd<=1’b1;
                     bitposition<=bitposition+1;
                     end
                4’b0010:
    begin
                     txd<=1’b1;
                     bitposition<=bitposition+1;//先发送三个高电平信
号,等待数据发送;
                   end
                4’b0011:
    begin
                   txd<=1’b0;
                   bitposition<=bitposition+1;//低电平表示发送数据
的起始位;
                   end
                4’b1100:
                   begin
                  txd<=parity;
bitposition<=bitposition+1;//奇偶校验位
                             end
                           4’b1101:
                             begin
                             txd<=1’b1;//发送数据完毕,停止位置1;
                             bitposition<=4’b0000;
                             end
                           default
                           bitposition<=bitposition+1;//发送8位的数据
                           txd<=senddata[bitposition-4];
                           parity<=parity^txd;
                             end
                         endcase
                      end
                     else
                      begin
                        txd<=1’b1;
                      end
                     end
                   end
如图3所示,微处理器、AD采样控制器、DSP输入输出队列单元接口电路 为:FPGA的第14、23、62、71引脚分别接第1、2、3、4电阻的一端与第1JTAG 接口的第4、3、2、1引脚,第1、2、3、4电阻的另一端接地,FPGA的第1、 2、7、19、32、42、47、59、72、82、83、84引脚接地,FPGA的第3、13、26、 38、43、53、66、78引脚接电源,FPGA的第75、77、79引脚分别接第5、6、 7电阻的一端与第2JTAG接口的第2、4、5引脚,第5、6电阻的另一端接电源, 第7电阻的另一端接地,第2JTAG接口的第1、5引脚分别接电源和地。FPGA 的第81引脚分别接第1电容的一端和第8电阻的一端,第1电容的另一端接电 源,第8电阻的另一端接地,FPGA的第54引脚为数据输出I/O口。
如图4所示,高速动态称重预检系统由高速弯板称重传感器、数据处理单 元、车型自动分类装置、摄像和报警显示装置,以及泛光照明和第一计算机组 成。
高速称重传感器为WPM175型机电一体化弯板传感器,每个车道同一断面 处并排安装两块WPM175,WPM175无机械传动部件,MTBF≥25000h,通行车 辆的检测速度范围为5-200km/h,传感器外形尺寸1750mm×508mm×23mm; 所述的低速称重平台的检测速度范围为0-15km/h,国内多家企业已拥有自主知 识产权产品,属公知技术范畴。
第一车型自动分类装置、第二车型自动分类装置采用共聚物压电传感器, 通行车辆的轴数、轴距、轮胎数、轮胎距、车辆速度等参数,由垂直行车方向 相距3.5m平行设置的、两根长3.5m的共聚物压电传感器,以及一根倾斜45 °的共聚物压电传感器组合完成识别
如图5所示是低速高精度动态称重执法系统布置图。低速高精度动态称重 执法系统由称重平台、数据处理单元、车型自动分类装置、摄像和报警显示装 置,以及通行信号灯和第二计算机组成。
如图6所示是低速高精度动态称重执法系统工作流程图。超限嫌疑车辆驶 入检测区,压电传感器对车型进行再次确认,称重平台对低速(≤15km/h)车 辆进行高精度复称。车辆未超限超载,立即放行;超限超载车辆,则进入执法 程序。检测区的车流由信号灯指挥,信号灯受第二计算机控制。
如图7所示,由两根长3.5m垂直行车方向、相距3.5m平行设置的共聚物 压电传感器,以及一根倾斜45°的共聚物压电传感器组成,完成车辆轴数、轴距、 轮胎数、车辆速度等参数的识别。
如图8所示,图8(1)、8(2)分别是单/双轮胎经过压电传感器输出的信 号波形,数据处理单元据此不难识别单/双轮。由于压电传感器L1和L2的距离 是固定的3.5m,假设车辆在3.5范围内作匀速运动符合实际情况,因此测出图8 中的t1就可以得到车辆的行驶速度;而轴数只需计算波形数即可(单轮胎);或 波形数除以2(双轮胎)。参照图7,平行的L1、L2压电传感器结合倾斜45° 的压电传感器L3可测出轮胎距离,其表达式为:
N = ctg ( α ) × L × Δt T - - - ( 1 )
= 1 × 3.5 × Δt T
式中,α--L3与L1(L2)的夹角,α取45°;
L--L1与L2的距离,L取3.5m;
Δt--前(后)轴两轮胎通过L3的时间差;
T--前/后轴通过L1、L2的时间差;
如图9所示,车辆驶入称重区域,压电传感器检测经过传感器的车辆,获 取车型相关信号的同时触发称重传感器工作,称重传感器采集轮胎经过的动态 称重信号;数据处理单元将车型参数和动态称重数据(轴重、整车重等)由RS232 接口经RS232转RS422模块通过RS422接口传输至第一计算机。第一计算机根 据各地的交通规范进行车型分类,判别是否超限超载,抓拍车辆图片。未超载 超限,则放行;反之控制报警显示屏显示超重信息,引导超限违章嫌疑车辆进 入检测区进行确认。
如图10所示,针对高速动态称重信号中叠加高低频干扰的情况,提出获取 车辆真实重量的完整解决方案。采用小波变换算法进行多层小波变换,对其中 的高频干扰强制清零消噪,然后进行小波重构,得到祛除高频干扰的车辆称重 信号。图中原始信号f(x),经过第一次小波分解,分解成高频分量dj-1,k和低频分 量cj,k-1,两个频段的跨度相等,假设f(x)的采样频率为fHz,则高频分量dj-1,k所在 频段f~f/2Hz,低频段的分量cj,k-1所在频段为0~f/2Hz。第二次小波分解只对第 一次分解得到的低频分量cj,k-1再进行分解,高频分量dj-1,k保留不变。cj,k-1经过第 二次小波分解后,频段进一步被细分成两段cj-2,k和dj-2,k,其中cj-2,k所在频段为 0~f/4Hz,dj-2,k所在频段为f/4~f/2Hz。依次类推,在经过j次的小波分解, 共得到j+1个频段的小波系数,依次为c0,k,d0,k,d1,k......dj-2,k,dj-1,k。每一次的小波分 析采用如下多分辨率分析的正交小波变换快速算法,即Mallat算法。
对任意信号f(t),根据尺度方程和小波方程产生的母小波或者基本小波(t) 和ψ(t),按照式(2)和(3)得到尺度系数和小波变换系数cj,k,dj,k:
c j , k = < f ( t ) , φ j , k ( t ) > = - f ( t ) φ j , k ( t ) d t · - - - ( 2 )
d j , k = < f ( t ) , ψ j , k ( t ) > = - f ( t ) ψ j , k ( t ) d t · - - - ( 3 )
其中尺度函数φj,k(t)和小波函数ψj,k(t)由选定的母小波ψ(t)按照方程(4)和 (5)得到:
φj,k(t)=2j/2φ(2jt-k)(4)
ψj,k(t)=2j/2ψ(2jt-k)(5)
如图11、12是遗传算法拟合效果图。采用遗传算法,拟合出动态载荷的振 幅、相位、角频率等参数,在重构信号中消除低频干扰。然后对消除了高低频 干扰的动态重构信号进行ARX模型的系统辨识,最终计算得出车辆的真实重量。
遗传算法的基本步骤如下:
(1)对待选择问题进行二进制编码,给出一个有N个染色体的初始种群 POP(1),t:=1;
(2)对群体POP(t)中的每个染色体popi(t)计算它的适应函数
fi=fitness(popi(t))
适应度表示了该个体的性能好坏。
(3)若停止规则满足,则算法停止;否则,计算概率
p i = f i Σ j = 1 N f j , i = 1,2 , . . . , N
并以概率分布从POP(t)中随机选一些染色体构成种群
NewPOP(t+1)={popj(t)|j=1,2,...,N}
(4)通过交配,得到一个有N个染色体的CrossPOP(t)
(5)以一个较小的概率p,使得染色体的一个基因发生变异,形成 MutPOP(T+1);t:=T+1,一个新的群体POP(t)=MutPOP(T+1);返回(2)。
在高速称重情况下,直接采用遗传算法进行动态载荷的祛除,如图11所示; 在低速称重情况下,为了提高拟合精度,在采用遗传算法进行动态载荷祛除时, 采用分段拟合的思想,即根据长历程信号的突变情况,在突变点左右两端分开 两段,进行分段的拟合,如图12所示。
高效检索全球专利

专利汇是专利免费检索,专利查询,专利分析-国家发明专利查询检索分析平台,是提供专利分析,专利查询,专利检索等数据服务功能的知识产权数据服务商。

我们的产品包含105个国家的1.26亿组数据,免费查、免费专利分析。

申请试用

分析报告

专利汇分析报告产品可以对行业情报数据进行梳理分析,涉及维度包括行业专利基本状况分析、地域分析、技术分析、发明人分析、申请人分析、专利权人分析、失效分析、核心专利分析、法律分析、研发重点分析、企业专利处境分析、技术处境分析、专利寿命分析、企业定位分析、引证分析等超过60个分析角度,系统通过AI智能系统对图表进行解读,只需1分钟,一键生成行业专利分析报告。

申请试用

QQ群二维码
意见反馈