首页 / 专利库 / 工业自动化和数控机床 / 伺服电机 / 基于Verilog实现的伺服电机控制系统

基于Verilog实现的伺服电机控制系统

阅读:1036发布:2020-06-10

专利汇可以提供基于Verilog实现的伺服电机控制系统专利检索,专利查询,专利分析的服务。并且本 发明 提供了一种基于Verilog实现的伺服 电机 控制系统,包括:FPGA:通过Verilog语言实现全部控制 算法 ;驱动板:连接 伺服电机 与所述FPGA,用以根据FPGA的控制 信号 驱动伺服电机以及向所述FPGA传递电机反馈信号;ADC:三相 电流 的 模数转换 。本发明采用多种算法使得系统能够通过Verilog实现,包括Cordic算法、PI差分控制算法、多种量化方案等;本发明采用算法使得系统更优化实现,包括M\T法测速、SVPWM算法等。本发明减少资源消耗,提升系统性能,使得伺服系统在国产FPGA中实现成为可能。完全不需要 数字信号 处理芯片的参与,在保证控制 精度 的同时,使得系统性能最大化。,下面是基于Verilog实现的伺服电机控制系统专利的具体信息内容。

1.一种基于Verilog实现的伺服电机控制系统,其特征在于,包括:
FPGA:通过Verilog语言实现全部控制算法
驱动板:连接伺服电机与所述FPGA,用以根据FPGA的控制信号驱动伺服电机以及向所述FPGA传递电机反馈信号。
模数转换器:将电机反馈信号中的三相电流模拟值转换为数字值,传输至所述FPGA中进行运算。
2.根据权利要求1基于Verilog实现的伺服电机控制系统,其特征在于,所述电机反馈信号包括:三相电流信号以及正交编码脉冲信号。
3.根据权利要求2基于Verilog实现的伺服电机控制系统,其特征在于,所述FPGA包括:
解码模:根据所述正交编码脉冲信号进行电机参数计算,得到伺服电机的位置以及速度信息;
FOC模块:根据所述伺服电机位置以及速度信息,计算得对应占空比的PWM波形,传输至所述驱动板;
接口模块:与PC端通信连接,将所述伺服电机位置及速度信息传输至PC端,PC端评估伺服系统的优劣。
4.根据权利要求3基于Verilog实现的伺服电机控制系统,其特征在于,所述解码模块包括:
速度计算:采用M\T法,同时记录码盘输出脉冲与高频时钟脉冲,在M法与T法之间切换以得到精确测量结果;
位置计算:通过计数所述正交编码脉冲信号计算当前位置。
5.根据权利要求3基于Verilog实现的伺服电机控制系统,其特征在于,所述FOC模块包括:
Clarke变换模块、Park变换模块和iPark变换:均为坐标变换,其中三函数计算采用Cordic算法实现;
PI控制器:调节算法,使得电机更快稳定,采用差分形式实现。
SVPWM模块:计算PWM波形占空比,输出PWM波形。
6.根据权利要求3基于Verilog实现的伺服电机控制系统,其特征在于,所述接口模块使用串行接口。
7.根据权利要求2基于Verilog实现的伺服电机控制系统,其特征在于,所述驱动板输出的所述三相电流信号为模拟信号,通过外置模数转换器转换为数字信号后输入所述FPGA。
8.根据权利要求1基于Verilog实现的伺服电机控制系统,其特征在于,所述伺服电机的数量为一台或多台。
9.根据权利要求1基于Verilog实现的伺服电机控制系统,其特征在于,所述驱动板主控芯片选型IR2136,驱动板中内置功率驱动及电流采集电路
10.根据权利要求3基于Verilog实现的伺服电机控制系统,其特征在于,所述FPGA中的各模块进行了量化处理。

说明书全文

基于Verilog实现的伺服电机控制系统

技术领域

[0001] 本发明涉及伺服电机控制领域,具体地,涉及一种基于Verilog实现的伺服电机控制系统。

背景技术

[0002] 伺服电机可以精确控制当前速度及位置,在目前自动化控制系统中有着非常重要的应用。磁场导向控制(FOC)算法以其极高的控制精度以及高速的动态响应,成为当前伺服电机控制算法中的首选。
[0003] 由于磁场导向控制(FOC)算法的实现较为复杂,目前绝大多数的硬件实现都是基于数字信号处理芯片(DSP)完成,即使存在一些基于可编程阵列(FPGA)的方案,或是与DSP协同完成,或是利用FPGA内嵌软核执行。例如公开号为CN104808582A的专利公开了一种基于FPGA的嵌入式数字控制器及其控制方法,包括嵌入式多核控制系统、信号检测模、视觉跟踪模块、脉冲输出光电隔离模块、模拟控制模块,信号检测模块的输出端及视觉跟踪模块的输出端分别与嵌入式多核控制系统的输入端连接,嵌入式多核控制系统的输出端分别与脉冲输出光电隔离模块的输入端及模拟控制模块的输入端连接,脉冲输出光电隔离模块的输出端与伺服驱动器连接,模拟控制模块的输出端与气连接。
[0004] 纯Verilog的实现方案,由于技术难度较大,仍处于缺失状态。近期国产FPGA逐渐兴起,但其中没有集成软核资源,因此产生了纯Verilog的伺服系统实现的需求。

发明内容

[0005] 针对现有技术中的缺陷,本发明的目的是提供一种基于Verilog实现的伺服电机控制系统。
[0006] 根据本发明提供的一种基于Verilog实现的伺服电机控制系统,包括:
[0007] FPGA:通过Verilog语言实现全部控制算法;
[0008] 驱动板:连接伺服电机与所述FPGA,用以根据FPGA的控制信号驱动伺服电机以及向所述FPGA传递电机反馈信号。
[0009] 模数转换器:将电机反馈信号中的三相电流模拟值转换为数字值,传输至所述FPGA中进行运算。
[0010] 优选地,所述电机反馈信号包括:三相电流信号以及正交编码脉冲信号。
[0011] 优选地,所述FPGA包括:
[0012] 解码模块:根据所述正交编码脉冲信号进行电机参数计算,得到伺服电机的位置以及速度信息;
[0013] FOC模块:根据所述伺服电机位置以及速度信息,计算得对应占空比的PWM波形,传输至所述驱动板;
[0014] 接口模块:与PC端通信连接,将所述伺服电机位置及速度信息传输至PC端,PC端评估伺服系统的优劣。
[0015] 优选地,所述解码模块包括:
[0016] 速度计算:采用M\T法,同时记录码盘输出脉冲与高频时钟脉冲,在M法与T法之间切换以得到精确测量结果;
[0017] 位置计算:通过计数所述正交编码脉冲信号计算当前位置。
[0018] 优选地,所述FOC模块包括:
[0019] Clarke变换模块、Park变换模块和iPark变换:均为坐标变换,其中三函数计算采用Cordic算法实现;
[0020] PI控制器:调节算法,使得电机更快稳定,采用差分形式实现。
[0021] SVPWM模块:计算PWM波形占空比,输出PWM波形。
[0022] 优选地,所述接口模块使用串行接口,波特率为115200。
[0023] 优选地,所述驱动板输出的所述三相电流信号为模拟信号,通过外置模数转换器转换为数字信号后输入所述FPGA。
[0024] 优选地,所述伺服电机的数量为一台或多台。
[0025] 优选地,所述驱动板主控芯片选型IR2136,驱动板中内置功率驱动及电流采集电路
[0026] 优选地,所述FPGA中的各模块进行了量化处理。
[0027] 与现有技术相比,本发明具有如下的有益效果:
[0028] 1、本发明实现了伺服系统的纯Veri log实现,减少资源消耗,提升系统性能,使得伺服系统在国产FPGA中实现成为可能。
[0029] 2、完全不需要数字信号处理芯片(DSP)的参与,在保证控制精度的同时,使得系统性能最大化。
[0030] 3.为使得系统能够通过Verilog实现,本发明综合使用多种优化算法,包括:Cordic算法、差分PI算法等在损失精度有限前提下,极大降低了硬件资源消耗。
[0031] 4.本发明采用多种算法,优化系统的实现,包括:M\T法有效扩大测速范围、SVPWM法降低器件开关损耗,提升电压利用效率等。附图说明
[0032] 通过阅读参照以下附图对非限制性实施例所作的详细描述,本发明的其它特征、目的和优点将会变得更明显:
[0033] 图1为本发明的整体结构示意图;
[0034] 图2为FOC算法框图

具体实施方式

[0035] 下面结合具体实施例对本发明进行详细说明。以下实施例将有助于本领域的技术人员进一步理解本发明,但不以任何形式限制本发明。应当指出的是,对本领域的普通技术人员来说,在不脱离本发明构思的前提下,还可以做出若干变化和改进。这些都属于本发明的保护范围。
[0036] 如图1所示,本发明提供的一种基于Verilog实现的伺服电机控制系统,包括:
[0037] FPGA:通过Verilog语言实现全部控制算法;
[0038] 驱动板:连接伺服电机与FPGA,用以根据FPGA的控制信号驱动伺服电机以及向FPGA传递电机反馈信号。电机反馈信号包括:三相电流信号以及正交编码脉冲信号。
[0039] 模数转换器:将三相电流模拟值转换为数字值,传输至所述FPGA中进行运算。
[0040] 在Verilog实现过程中对FPGA每个模块都进行了量化处理,使其能够在精度损失极小的前提下,大幅降低硬件资源消耗。
[0041] FPGA包括:
[0042] 解码模块:根据正交编码脉冲信号进行电机参数计算,得到伺服电机的位置以及速度信息。
[0043] FOC模块:根据所述伺服电机位置以及速度信息,计算得恰当占空比的PWM波形,传输至所述驱动板。
[0044] 接口模块:与PC端通信连接,将所述伺服电机位置及速度信息传输至PC端,PC端将信息显示并评估伺服系统的优劣。接口模块采用通用串行接口(UART)。
[0045] FOC模块包括:
[0046] Clarke变换模块、Park变换模块和iPark变换:均为坐标变换,采用Cordic算法实现;在保证精度的前提下,极大的减少了硬件资源消耗。
[0047] PI控制器:采用差分形式实现,在精度损失可控的前提下,成功地用Verilog在硬件上实现相同功能。
[0048] SVPWM模块:产生恰当占空比的脉冲波,在减小器件开关损耗的同时,比传统的SPWM电压利用效率高出15%。
[0049] 解码模块包括:
[0050] 速度计算:采用M\T法,同时记录码盘输出脉冲与高频时钟脉冲,在M法与T法之间切换以得到精确测量结果;
[0051] 位置计算:通过计数电机反馈正交编码脉冲计算当前位置。
[0052] 本发明对电机参数计算模块进行了Verilog的实现,电机参数计算模块的输入为电机反馈正交编码脉冲,输出为计算得到的位置以及速度信息。速度测量采用上述M\T法,该方法结合了传统的M法与T法的优势,使得电机在高速或是低速情况下,都能精确测量出电机当前速度。位置测量则通过计数脉冲来实现,需要通过判断反馈波形的上升沿与下降沿来实现。
[0053] 本发明采用外接ADC进行三相电流数据的采集,通过UART接口将转换后的数字信号传输至FPGA中,在FPGA中进行电流的还原。
[0054] 本发明采用UART接口将计算得到的电机参数反馈至上位机中进行显示,拟开发一套PC端的图形界面,针对电机反馈数据进行显示,同时计算出电机的控制精度,动态响应速度等参数以评估伺服系统的优劣。
[0055] 本发明构想出一套基于FPGA的多电机协同控制方案,充分利用FPGA高并行度的特点。对于传统的DSP实现方案,控制多台电机需要多块DSP的参与,而FPGA以其高并行性使得同时执行多套算法成为可能,仅需一块FPGA即可完成多台电机的控制,不需要进行板间通信,能够更方便的进行多电机的协同控制。其实现方案也相对简单,仅需将电机控制模块复制多份,并添加对应的控制模块,即可实现多电机的协同控制。该技术在AGV小车、机器人控制等需要多电机协同控制的领域都能得到很好的应用。
[0056] 以上对本发明的具体实施例进行了描述。需要理解的是,本发明并不局限于上述特定实施方式,本领域技术人员可以在权利要求的范围内做出各种变化或修改,这并不影响本发明的实质内容。在不冲突的情况下,本申请的实施例和实施例中的特征可以任意相互组合。
相关专利内容
标题 发布/更新时间 阅读量
一种伺服电机 2020-05-12 357
永磁伺服电机 2020-05-12 377
伺服电机电缆 2020-05-12 800
一种伺服电机 2020-05-11 541
直流伺服电机 2020-05-11 323
伺服电机 2020-05-11 810
一种伺服电机的转子及该伺服电机 2020-05-13 874
伺服电机壳和伺服电机 2020-05-11 831
一种伺服电机 2020-05-12 838
一种伺服电机 2020-05-12 347
高效检索全球专利

专利汇是专利免费检索,专利查询,专利分析-国家发明专利查询检索分析平台,是提供专利分析,专利查询,专利检索等数据服务功能的知识产权数据服务商。

我们的产品包含105个国家的1.26亿组数据,免费查、免费专利分析。

申请试用

分析报告

专利汇分析报告产品可以对行业情报数据进行梳理分析,涉及维度包括行业专利基本状况分析、地域分析、技术分析、发明人分析、申请人分析、专利权人分析、失效分析、核心专利分析、法律分析、研发重点分析、企业专利处境分析、技术处境分析、专利寿命分析、企业定位分析、引证分析等超过60个分析角度,系统通过AI智能系统对图表进行解读,只需1分钟,一键生成行业专利分析报告。

申请试用

QQ群二维码
意见反馈