Document Document Title
US08872247B2 Memory cells having a folded digit line architecture
Memory arrays having folded architectures and methods of making the same. Specifically, memory arrays having a portion of the transistors in a row that are reciprocated and shifted with respect to other transistors in the same row. Trenches formed between the rows may form a weave pattern throughout the array, in a direction of the row. Trenches formed between legs of the transistors may also form a weave pattern throughout the array in a direction of the row.
US08872234B2 Semiconductor device and method of manufacturing the semiconductor device
A first nitride semiconductor layer contains Ga. The first nitride semiconductor layer is, for example, a GaN layer, an AlGaN layer, or an AlInGaN layer. Then, an aluminum oxide layer has tetra-coordinated Al atoms each surrounded by four O atoms and hexa-coordinated Al atoms each surrounded by six O atoms as Al atoms in the interface region with respect to the first nitride semiconductor layer. The interface region is a region apart, for example, by 1.5 nm or less from the interface with respect to the first nitride semiconductor layer. Then, in the interface region, the tetra-coordinated Al atoms are present by 30 at % or more and less than 50 at % based on the total number of Al atoms.
US08872233B2 Semiconductor structure
A semiconductor structure includes a barrier layer, a spacer structure, and a channel layer. The barrier layer includes a group III nitride. The spacer structure includes first and second aluminum nitride layers and an intermediate layer. The intermediate layer includes a group III nitride and is between the first and second aluminum nitride layers. The intermediate layer has a first free charge carrier density at an interface with the second aluminum nitride layer. The spacer structure is between the barrier layer and the channel layer. The channel layer includes a group III nitride and has a second free charge carrier density at an interface with the first aluminum nitride layer of the spacer structure. The first aluminum nitride layer, the intermediate layer, and the second aluminum nitride layer have layer thicknesses so the first free charge carrier density is less than 10% of the second free charge carrier density.
US08872231B2 Semiconductor wafer, method of producing semiconductor wafer, and electronic device
A semiconductor wafer includes a first semiconductor, and a second semiconductor formed directly or indirectly on the first semiconductor. The second semiconductor contains a first impurity atom exhibiting p-type or n-type conductivity, and a second impurity atom selected such that the Fermi level of the second semiconductor containing both the first and second impurity atoms is closer to the Fermi level of the second semiconductor containing neither the first impurity atom nor the second impurity atom, than the Fermi level of the second semiconductor containing the first impurity atom is. For example, the majority carrier of the second semiconductor is an electron, and the Fermi level of the second semiconductor containing the first and second impurity atoms is lower than the Fermi level of the second semiconductor containing the first impurity atom.
US08872227B2 Nitride semiconductor device
A nitride semiconductor device includes a semiconductor substrate, and a nitride semiconductor layer formed on the semiconductor substrate. The semiconductor substrate includes a normal region and an interface current block region surrounding the normal region. The nitride semiconductor layer includes an element region and an isolation region surrounding the element region. The element region is formed over the normal region. The interface current block region contains impurities, and forms a potential barrier against carriers generated at an interface between the nitride semiconductor layer and the semiconductor substrate.
US08872226B2 Group III nitride epitaxial substrate for semiconductor device, semiconductor device, and process for producing group III nitride epitaxial substrate for semiconductor device
Provided is an epitaxial substrate having excellent two-dimensional electron gas characteristics and reduced internal stress due to strains. A channel layer is formed of a first group III nitride represented by Inx1Aly1Gaz1N (x1+y1+z1=1) so as to have a composition in a range determined by x1=0 and 0≦y1≦0.3. A barrier layer is formed of a second group III nitride represented by Inx2Aly2Gaz2N (x2+y2+z2=1) so as to have a composition, in a ternary phase diagram with InN, AlN and GaN being vertices, in a range surrounded by five straight lines determined in accordance with the composition (AlN molar fraction) of the first group III nitride.
US08872225B2 Defect transferred and lattice mismatched epitaxial film
An embodiment uses a very thin layer nanostructure (e.g., a Si or SiGe fin) as a template to grow a crystalline, non-lattice matched, epitaxial (EPI) layer. In one embodiment the volume ratio between the nanostructure and EPI layer is such that the EPI layer is thicker than the nanostructure. In some embodiments a very thin bridge layer is included between the nanostructure and EPI. An embodiment includes a CMOS device where EPI layers covering fins (or that once covered fins) are oppositely polarized from one another. An embodiment includes a CMOS device where an EPI layer covering a fin (or that once covered a fin) is oppositely polarized from a bridge layer covering a fin (or that once covered a fin). Thus, various embodiments are disclosed from transferring defects from an EPI layer to a nanostructure (that is left present or removed). Other embodiments are described herein.
US08872223B2 Programmable SCR for ESD protection
A programmable semiconductor controlled rectifier (SCR) circuit is disclosed. The SCR includes a first terminal (310) and a second terminal (308). A first lightly doped region (304) having a first conductivity type (N−) is formed on a second lightly doped region (314) having a second conductivity type (P−). A first heavily doped region having the second conductivity type (P+) is formed within the first lightly doped region at a face of the substrate and coupled to the first terminal. A second heavily doped region having the first conductivity type (N+) is formed within the second lightly doped region at the face of the substrate and coupled to the second terminal. A third heavily doped region (400) having the second conductivity type (P+) is formed at least partially within the first lightly doped region at the face of the substrate between the first and second heavily doped regions and electrically connected to the second lightly doped region.
US08872222B2 Semiconductor structure and method for forming the same
A semiconductor structure and a method for forming the same are provided. The semiconductor structure comprises a first doped region, a second doped region, a doped strip and a top doped region. The first doped region has a first type conductivity. The second doped region is formed in the first doped region and has a second type conductivity opposite to the first type conductivity. The doped strip is formed in the first doped region and has the second type conductivity. The top doped region is formed in the doped strip and has the first type conductivity. The top doped region has a first sidewall and a second sidewall opposite to the first sidewall. The doped strip is extended beyond the first sidewall or the second sidewall.
US08872216B2 Organic light emitting device and method for manufacturing the same
An organic light emitting device and a method for manufacturing the same are provided. The organic light emitting device comprises: a substrate; a first electrode disposed on the substrate; a hole function layer disposed on the first electrode; a first emission layer disposed on the hole function layer; a second emission layer disposed on the first emission layer; an electron function layer disposed on the second emission layer; and a second electrode disposed on the electron function layer, wherein the hole function layer and the first emission layer are melted.
US08872211B2 Element-connecting board, producing method thereof, and light-emitting diode device
An element-connecting board is a lead frame for allowing a light emitting diode element to be connected to one side thereof in a thickness direction. The element-connecting board includes the lead frame which is provided with a plurality of leads disposed with spaces from each other and a first insulating resin portion which is light reflective and fills the spaces.
US08872210B2 Semiconductor light emitting device
According to one embodiment, a semiconductor light emitting device includes a light emitting element, a phosphor layer, and a fluorescent reflection film. The phosphor layer has a transparent medium, a phosphor dispersed in the transparent medium, and a particle dispersed in the transparent medium. The phosphor is excited by the excitation light so as to emit a fluorescence. The particle is a magnitude of not more than 1/10 a wavelength of the excitation light. The particle has a different refractive index from a refractive index of the transparent medium. The fluorescent reflection film is provided between the light emitting element and the phosphor layer. The fluorescent reflection film has a higher reflectance with respect to a fluorescent wavelength of the phosphor, than a reflectance with respect to the wavelength of the excitation light.
US08872209B2 Light emitting diode chip
A light emitting diode chip includes a semiconductor layer sequence, the semiconductor layer sequence having an active layer that generates electromagnetic radiation, wherein the light emitting diode chip has a radiation exit area at a front side. At a rear side lying opposite the radiation exit area, the light emitting diode chip has, at least in regions, a mirror layer containing silver. A functional layer that reduces corrosion and/or improves adhesion of the mirror layer is arranged on the mirror layer, wherein a material from which the functional layer is formed is also distributed in the entire mirror layer. The material of the functional layer has a concentration gradient in the mirror layer, wherein the concentration of the material of the functional layer in the mirror layer decreases proceeding from the functional layer in the direction toward the semiconductor layer sequence.
US08872208B2 Light source device and lighting device
A light source can include: a light source that emits light of a predetermined wavelength within a wavelength region covering the wavelength of ultraviolet light and that of visible light; and a wavelength conversion layer containing a fluorescent material of at least one type that is excited by excitation light from the fixed light source to emit fluorescent light of a wavelength longer than that of light emitted from the fixed light source. The fixed light source and the wavelength conversion layer can be spaced from each other. The light source device can employ a reflection system of extracting at least fluorescent light from an incident surface of the wavelength conversion layer through which excitation light from the fixed light source enters the wavelength conversion layer. The wavelength conversion layer can have a surface structure with depressions or projections.
US08872207B2 Organic light-emitting display apparatus and method of manufacturing the same
An organic light-emitting display apparatus includes: a substrate; a pixel electrode disposed on the substrate; a counter electrode disposed on the pixel electrode and capable of transmitting light; an organic emission layer disposed between the pixel electrode and the counter electrode so as to emit light toward at least the counter electrode; and a light-transmitting layer disposed on the counter electrode along a path of light emitted from the organic emission layer and including at least one inorganic film and organic films separated by the inorganic film. At least two of the organic films each include a first material having a first refractive index and a second material having a second refractive index. The first refractive index is greater than the second refractive index, and the first material is dispersed in the second material in the form of plurality of particles.
US08872200B2 Display device and electronic apparatus
Disclosed herein is a display device including a plurality of pixels configured to have a first electrode, a light emitting layer, and a second electrode in that order over a substrate, wherein: the plurality of pixels include a first pixel having a first light emitting layer common to the pixels and a second pixel having the first light emitting layer and a second light emitting layer provided on each second pixel basis; and a surface of the first electrode in the first pixel is closer to the substrate than a surface of the first electrode in the second pixel.
US08872196B2 Chip package
An embodiment of the invention provides a chip package which includes: a semiconductor substrate having a first surface and a second surface; a sensor region formed in the semiconductor substrate; a light emitting device disposed on the second surface of the semiconductor substrate; at least one first conducting bump disposed on the first surface of the semiconductor substrate and electrically connected to the sensor region; at least one second conducting bump disposed on the first surface of the semiconductor substrate and electrically connected to the light emitting device; and an insulating layer located on the semiconductor substrate to electrically insulate the semiconductor substrate from the at least one first conducting bump and the at least one second conducting bump.
US08872192B2 Rectifier circuit
A rectifier circuit has a rectifier element and a unipolar field-effect transistor connected in series between a first terminal and a second terminal. The rectifier element comprises a first electrode and a second electrode disposed in a direction of a forward current flowing from the first terminal to the second terminal. The field-effect transistor has a gate electrode having a potential identical to a potential at the first electrode, and a source electrode and a drain electrode connected in series to the rectifier element and passing a current depending on the potential at the gate electrode. A breakdown voltage between the gate electrode and drain electrode of the field-effect transistor in a reverse bias mode, where a potential at the second terminal is higher than a potential at the first terminal, being set higher than a breakdown voltage of the rectifier element.
US08872190B1 Multi-finger HEMT layout providing improved third order intercept point and saturated output power performance
A semiconductor device including a plurality of source pads, a plurality of drain fingers, a plurality of gate fingers, a drain combiner connected to the plurality of drain fingers, and a gate combiner connected to the plurality of gate fingers. The plurality of source pads generally comprises a pair of end source pads and one or more inner source pads. Each end source pad is configured to have added inductance. Each of the drain fingers is generally disposed between two of the plurality of source pads. Each of the gate fingers is generally disposed between a respective source pad and a respective drain finger.
US08872189B2 Substrate, semiconductor device, and method of manufacturing the same
A substrate capable of achieving a lowered probability of defects produced in a step of forming an epitaxial film or a semiconductor element, a semiconductor device including the substrate, and a method of manufacturing a semiconductor device are provided. A substrate is a substrate having a front surface and a back surface, in which at least a part of the front surface is composed of single crystal silicon carbide, the substrate having an average value of surface roughness Ra at the front surface not greater than 0.5 nm, a standard deviation σ of that surface roughness Ra not greater than 0.2 nm, an average value of surface roughness Ra at the back surface not smaller than 0.3 nm and not greater than 10 nm, standard deviation σ of that surface roughness Ra not greater than 3 nm, and a diameter D of the front surface not smaller than 110 mm.
US08872180B2 Liquid crystal display device and method for manufacturing liquid crystal display device
A production method for a liquid crystal display device having a plurality of thin film transistors (TFTs) including reflection sections disposed to correspond to a plurality of pixels includes: a step of forming on a substrate a metal layer having apertures; a step of forming a semiconductor layer on the metal layer; a step of forming a protection layer on the semiconductor layer; a step of forming a resist layer on the protection layer; a photolithography step of irradiating the resist layer with light through the metal layer to pattern the protection layer by photolithography technique; and a step of stacking a reflective layer on the patterned protection layer. A plurality of bumps are formed from the protection layer in the photolithography step, and a plurality of bumps corresponding to the plurality of bumps of the protection layer are formed on the reflective layer.
US08872178B2 IC with comparator receiving expected and mask data from pads
Test circuits located on semiconductor die enable a tester to test a plurality of die/ICs in parallel by inputting both stimulus and response patterns to the plurality of die/ICs. The response patterns from the tester are input to the test circuits along with the output response of the die/IC to be compared. Also disclosed is the use of a response signal encoding scheme whereby the tester transmits response test commands to the test circuits, using a single signal per test circuit, to perform: (1) a compare die/IC output against an expected logic high, (2) a compare die/IC output against an expected logic low, and (3) a mask compare operation. The use of the signal encoding scheme allows functional testing of die and ICs since all response test commands (i.e. 1-3 above) required at each die/IC output can be transmitted to each die/IC output using only a single tester signal connection per die/IC output. In addition to functional testing, scan testing of die and ICs is also possible.
US08872175B2 Semiconductor device and method for manufacturing the same
It is an object to provide a highly reliable semiconductor device including a thin film transistor with stable electric characteristics. In a semiconductor device including an inverted staggered thin film transistor whose semiconductor layer is an oxide semiconductor layer, a buffer layer is provided over the oxide semiconductor layer. The buffer layer is in contact with a channel formation region of the semiconductor layer and source and drain electrode layers. A film of the buffer layer has resistance distribution. A region provided over the channel formation region of the semiconductor layer has lower electrical conductivity than the channel formation region of the semiconductor layer, and a region in contact with the source and drain electrode layers has higher electrical conductivity than the channel formation region of the semiconductor layer.
US08872174B2 Light-emitting device
To provide a novel light-emitting device that can be manufactured with high productivity. In a light-emitting device in which a light-emitting diode (LED) layer is provided over a substrate, a metal oxide semiconductor (c-axis aligned crystalline oxide semiconductor (CAAC-OS)) substrate including a crystal part having a c-axis which is substantially perpendicular to a surface of the substrate is used as the substrate. The substrate may have either a single-layer structure of a CAAC-OS substrate or a structure in which a thin CAAC-OS substrate is stacked over a base substrate.
US08872171B2 Semiconductor device and method for manufacturing the same
Homogeneity and stability of electric characteristics of a thin film transistor included in a circuit are critical for the performance of a display device including said circuit. An object of the invention is to provide an oxide semiconductor film with low hydrogen content and which is used in an inverted staggered thin film transistor having well defined electric characteristics. In order to achieve the object, a gate insulating film, an oxide semiconductor layer, and a channel protective film are successively formed with a sputtering method without being exposed to air. The oxide semiconductor layer is formed so as to limit hydrogen contamination, in an atmosphere including a proportion of oxygen. In addition, layers provided over and under a channel formation region of the oxide semiconductor layer are formed using compounds of silicon, oxygen and/or nitrogen.
US08872170B2 Image display system
An image display system employing an organic electroluminescent device is provided. The organic electroluminescent device includes a substrate; a first electrode formed on the substrate; a first emitting layer formed on the first electrode, wherein the first emitting layer emits a first color of light, and a second color of light; a second electrode formed on the first emitting layer, wherein the second color of light emitted by the first emitting layer generates a first standing wave within the organic electroluminescent device, and the first emitting layer is disposed at an antinode of the first standing wave, such that the intensity of the second color of light is enhanced, and the second color of light is mixed with the first color of light to produce a white emission.
US08872168B2 Flexible organic light emitting display and method in an in-cell structure having a touch electrode array for manufacturing the same
Disclosed are an organic light emitting display that enables realization of a thin film shape and flexibility, and exhibits superior contact properties in touch pads based on an improved structure, and a method for manufacturing the same, wherein a distance between the outermost surface of the touch pad portion and the outermost surface of the dummy pad portion in the touch pad portion is smaller than the distance in a neighboring portion adjacent to the touch pad portion.
US08872166B2 Organic EL device
An organic EL device (10) includes: a substrate (11); a planarizing film (12) comprised of an organic resin and provided over the substrate (11) so as to cover a light-emitting region (P) and a non-light-emitting region (N); a first electrode (13) provided on the planarizing film (12) so as to cover at least the light-emitting region (P); an organic layer (14) provided on the first electrode (13) so as to cover at least the light-emitting region (P); and a second electrode (15) provided on the organic layer (14) so as to cover the light-emitting region (P) and the non-light-emitting region (N). A hole (17) is formed in the non-light-emitting region (N) so as to extend from the second electrode (15) to the planarizing film (12), and at least the planarizing film (12) is exposed by an inner wall surface of the hole (17).
US08872162B2 Field-effect transistor and method for manufacturing the same
A field-effect transistor includes a semiconductor layer containing carbon nanomaterials; a first electrode and a second electrode formed in contact with the semiconductor layer; a third electrode for controlling current flowing between the first electrode and the second electrode; and an insulating layer formed between the semiconductor layer and the third electrode. The insulating layer contains an aromatic polyamide comprising a substituent containing 1 to 20 carbon atoms.
US08872155B2 Nanostructured thin film, surface light source and display apparatus employing nanostructured thin film
A nanostructured thin film used in a surface light source, including a dielectric layer, and nanostructures that are arranged periodically in the dielectric layer, wherein light emitted from the nanostructured thin film has directivity according to the nanostrucures.
US08872146B2 Phase-change random access memory device and method of manufacturing the same
Provided are a Phase-change Random Access Memory (PRAM) device and a method of manufacturing the same. In particular, a PRAM device including a heating layer, wherein the heating layer comprises first and second heating layers having different physical properties from each other and a method of manufacturing the same are provided. Since the PRAM device according to the present invention includes a heating layer having optimal heating characteristics, a PRAM device having high reliability and excellent operating characteristics can be manufactured.
US08872143B2 Target for laser produced plasma extreme ultraviolet light source
Techniques for generating EUV light include directing a first pulse of radiation toward a target material droplet to form a modified droplet, the first pulse of radiation having an energy sufficient to alter a shape of the target material droplet; directing a second pulse of radiation toward the modified droplet to form an absorption material, the second pulse of radiation having an energy sufficient to change a property of the modified droplet, the property being related to absorption of radiation; and directing an amplified light beam toward the absorption material, the amplified light beam having an energy sufficient to convert at least a portion of the absorption material into extreme ultraviolet (EUV) light.
US08872142B2 Extreme ultraviolet light generation apparatus
An apparatus for generating extreme ultraviolet light used with a laser apparatus and connected to an external device so as to supply the extreme ultraviolet light thereto includes a chamber provided with at least one inlet through which a laser beam is introduced into the chamber; a target supply unit provided on the chamber configured to supply a target material to a predetermined region inside the chamber; a discharge pump connected to the chamber; at least one optical element provided inside the chamber; an etching gas introduction unit provided on the chamber through which an etching gas passes; and at least one temperature control mechanism for controlling a temperature of the at least one optical element.
US08872140B2 Target for generating carbon ions and treatment apparatus using the same
Provided are a carbon ion generation target and a treatment apparatus including the same. The treatment apparatus includes a support member, a carbon ion generation target fixed to the support member, and a laser for irradiating laser beam into the carbon ion generation target to generate carbon ions from the carbon ion generation target, thereby projecting the carbon ions onto a tumor portion of a patient. Here, the carbon ion generation target includes a substrate and carbon thin films disposed on the substrate.
US08872139B2 Settling time acquisition method
A settling time acquisition method includes writing at least one reference pattern formed by at least one shot of a charged particle beam, writing an evaluation pattern, which has been formed by combination of the first and second shots of a charged particle beam shaped to first and second patterns of different sizes and whose width size is the same as that of the reference pattern, while changing, concerning beam shaping of the second shot, a settling time of a DAC amplifier, wherein writing is performed for each settling time, measuring the width size of the reference pattern, measuring the width size of the evaluation pattern for each settling time, calculating, for each settling time, a difference between the width sizes of the reference and evaluation patterns, and acquiring a settling time from each settling time of the DAC amplifier when the difference is not exceeding a threshold value.
US08872128B2 Sample holder providing interface to semiconductor device with high density connections
A novel specimen holder for specimen support specimen support devices for insertion in electron microscopes is provided. The novel specimen holder of the invention provides mechanical support for specimen support devices and as well as electrical contacts to the specimens or specimen support devices.
US08872110B2 Thermographic camera
Disclosed is an IR measuring instrument (1) comprising a least one sensor element (29) which is sensitive to infrared radiation and generates an output signal (12) that depends on the radiation incident on the at least one sensor element (29). The output signal (12) for a predefined incident radiation can be varied by means of an integration time. The actual temperature prevailing on the at least one sensor element (29) is detected and is used for varying the integration time in such a way that the integration time compensates the influence of the temperature variations on the output signal (12) of the at least one sensor element (29).
US08872106B2 Pattern measuring apparatus
An object of the present invention is to provide a pattern measuring apparatus which performs high-accuracy concavity/convexity determination (e.g., distinguishing between a line segment and space) while simultaneously reducing the dose of a beam falling onto a pattern to be measured. To attain the object, this invention proposes a pattern measuring apparatus which specifies a pattern in a measurement object area by scanning a tilted bean with respect to another area different from the measurement object area and then performs measurement based on the pattern-specifying result. With such arrangement, it becomes possible to perform measurement without the risk of wrong pattern designation while lowering the dose of a beam hitting the measurement object area.
US08872105B2 In situ reactivation of fluorescence marker
Vapor is provided locally at a sample surface to allow fluorescence of the fluorescent markers in a vacuum chamber. For example, a nanocapillary can dispense a liquid near a region of interest, the liquid evaporating to increase the vapor pressure near the fluorescent markers. The increase in vapor pressure at the fluorescent marker is preferably sufficiently great to prevent deactivation or to reactivate the fluorescent marker, while the overall pressure in the vacuum chamber is preferably sufficiently low to permit charged particle beam operation with little or no additional evacuation pumping.
US08872103B2 Laser spot control in maldi mass spectrometers
Mass spectrometers ionize samples by matrix-assisted laser desorption (MALDI). The samples are located on a moveable support plate, and irradiated by a pulsed laser. A fast positional control of laser spots is provided via a system of rotatable mirrors to relieve strain on a support plate motion drive. If the spot position is finely adjusted by the mirror system and follows the movement of the sample support plate, the intermittent movement of the sample support can be replaced with a continuous uniform motion. The fast positional control allows more uniform ablation of a sample area. Galvo mirrors with low inertia may be used between the beam generation and a Kepler telescope in the housing of the laser. The positional control can also provide a fully automatic adjustment of MALDI time-of-flight mass spectrometers, at least if the ion-optical elements are equipped with movement devices.
US08872097B2 Low-flux and low-noise detection circuit having a readout circuit with shielded integration node
The detection circuit of the Source Follower per Detector type comprises a photodiode connected to an integration node. A biasing circuit makes it possible to bias the photodiode between a first reverse-bias state and a second floating state. A readout circuit is connected to the integration node for generating a signal representative of the scene observed by the photodiode. A metal shielding is arranged around the integration node. The metal shielding is connected to an output of the readout circuit configured to have a potential varying in the same direction as the potential at the integration node.
US08872089B2 Solid-state imaging device
Disclosed is a solid-state imaging device capable of calculating the difference in charge obtained by photoelectric conversion, and capable of a high level of integration. A solid-state imaging device is provided with an AD converter which is provided with: a first comparator which outputs a signal corresponding to a first analog signal of a first pixel by comparing said first analog signal with a reference voltage supplied from the reference voltage generation unit which generates a reference voltage which gradually changes; a second comparator which outputs a signal corresponding to a second analog signal of a second pixel by comparing said second analog signal with the reference voltage supplied by the reference voltage generation unit; a difference circuit which finds the difference between the signal corresponding to said first analog signal and the signal corresponding to said second analog signal and outputs a difference signal; and a counter circuit which counts the number of pulses in a pulse sequence corresponding to the aforementioned difference signal and converts said difference signal into a digital signal.
US08872087B2 Imaging device, driving method and electronic apparatus with electric potential applied outside exposure period
Disclosed herein is an imaging device including: a plurality of pixels disposed to form a matrix having pixel rows, the pixels including a pixel electrode formed on a silicon substrate for one of the pixels by being separated away from another pixel electrodes formed for one of the other pixels, a photoelectric conversion film formed on the pixel electrode, and an opposite electrode formed on the photoelectric conversion film; and a driving section configured to apply an electric potential to the photoelectric conversion film on each of the pixel rows at least having read timings different from each other with a predetermined timing outside an exposure period of the pixels in a direction opposite to that of an electric potential applied to the photoelectric conversion film during the exposure period of the pixels.
US08872086B2 Photoelectric conversion device and imaging system
A photoelectric conversion device comprises: a plurality of photoelectric conversion elements each having a photo-sensing surface; insulation films; a plurality of light-guiding portions arranged above the insulation films, each of the plurality of light-guiding portions guiding light on the photo-sensing surface of each of the plurality of photoelectric conversion elements; and boundary portions, each of the boundary portions defines a boundary between the adjacent light-guiding portions and is formed of a material lower in refractive index than a material that forms the plurality of light-guiding portions, wherein a width of each of the boundary portions is not more than half a shortest wavelength in a wavelength range of visible light, and a height from a lower surface to an upper surface of each of the plurality of light-guiding portions is not less than double a longest wavelength in the wavelength range of visible light.
US08872084B1 Three-dimensional sun vector determination utilizing low-cost solar cells
A system, method, and apparatus for a solar cell based sun sensor are disclosed. The system involves at least three solar panel elements and at least one processor. Each of the solar panel elements measures solar power, and generates currents according to the amount of solar power that the solar power element measures. At least one processor utilizes the currents to determine the three-dimensional sun vector. The solar cell based sun sensor system automatically adjusts for effects of any solar panel element degradation by computing a new maximum current reference value for each solar power measurement. The solar cell based sun sensor system is employed by a spacecraft and/or a terrestrial system. The solar cell based sun sensor system is utilized for guidance, for navigation, for tracking the sun's motion relative to a given reference, to keep instruments pointed to the sun, and/or to keep instruments isolated from the sun.
US08872081B2 Methods for adjusting a relative navigation system
A relative navigation system projects a grid into space from a grid generator and an object, such as an unmanned aerial vehicle, may use the projected grid to aid in the landing of the object. Methods of adjusting the projected grid including stabilizing the projected grid and orienting the grid generator relative to the earth.
US08872080B2 Multiple input, multiple output analyser
Example embodiments relate to a multiple inputs and multiple outputs (MIMO) analyzer for an accurate control of electromagnetic fields inside a multimode resonant chamber with two or more cavities coupled in an accurate way through a slotted piece with slots or waveguide. The MIMO analyzer may include broadband antennas, different types of lenses, and different stirrers, which may be both metallic and non-metallic. These elements, together with some processes such as precise location of under-test elements out of the lower cavity allow for controlling the electromagnetic fields on its interior. This control permits the emulation of the behavior of wireless communication terminals under different fading scenarios, both indoors and outdoors. Some of the features and procedures of the MIMO analyser may be used in industrial microwave heating processes for drying and curing of materials, also known as microwave ovens, allowing a greater efficiency and homogenization in the processes.
US08872077B2 Low profile induction cook top with heat management system
An induction cook top may include a heat management system. The heat management system controls heat produced both internally by the electronic components within the cook top as well as heat produced above the cook top when cooking. The heat management system provides improved air flow past and around the internal electronic components. The cook top features an efficient removal of generated heat and may optionally provide a vented housing and direct airflow into and out of the housing or provide a thermoelectric cooling device which permits a ventless housing.
US08872076B2 Heating device capable of accurately determining change in state, and image forming apparatus
A heating device capable of accurately determining a change in the state of a to-be-heated member, caused in a narrow area. An induction heating coil generates magnetic flux by flow of electric current therethrough. The fixing belt generates heat by the action of the magnetic flux generated by the induction heating coil. A first antenna is disposed at a location where the magnetic flux generated by the induction heating coil can be detected assuming that there is no fixing belt. A second antenna is disposed such that at least some area of the second antenna overlaps the first antenna. A control circuit determines whether or not a state of the fixing belt has changed, based on a result of detection by the first antenna and a result of detection by the second antenna.
US08872075B2 Positive temperature coefficient (PTC) rod assembly
A Positive Temperature Coefficient (PTC) assembly, in which protrusions are formed on opposite longitudinal edges of an electrode terminal, PTC elements are held between the protrusions and are in contact with the electrode terminal, an insulating member is attached to an outer surface of the electrode terminal, and a rod cover houses therein the electrode terminal, the PTC elements and the insulating member. A simple structure is realized, fabrication costs are reduced, and a hollow space inside the rod cover is minimized, leading to improved heat transfer efficiency from the PTC elements to the rod cover, reduced noise and a slim structure.
US08872069B2 Method of providing a laser welded product and a laser welded product
A method of laser welding a layered product comprising two layers (44, 46) of a high absorption at the radiation wavelength, where one layer (44) is welded to a material (42) having a lower absorption and wherein a scattering layer (48) is provided between the laser welded layers (42, 44) and the other high absorption layer (48) in order to scatter any radiation penetrating the first high absorption layer (44) in order to prevent excessive heating of the other high absorption layer (46).
US08872068B1 Devices for modifying hypotubes
A system for modifying a hypotube may include a hypotube holding subsystem, a hypotube collection subsystem, and a cooling subsystem. The hypotube holding subsystem may be configured to inhibit sag of a held hypotube. The hypotube collection subsystem may be configured to collect a hypotube after laser cutting. The cooling subsystem may include a valve configured to direct gas into the hypotube collection subsystem.
US08872065B2 Laser machining apparatus and method for the manufacture of a rotationally symmetrical tool
A method and an apparatus for the manufacture of a tool from a blank, such as, a rotational tool which rotates about a longitudinal axis (L) which includes at least one cutting edge and a chip groove. Preferably, the rotational tool is in its operating area in a cross-section thereof radially symmetrical with respect to it's longitudinal axis (L) and manufactured from a cylindrical blank by laser ablation using a laser machining apparatus with a laser scanner with a predetermined pulse area. The laser beam impulses are directed via a positioning arrangement within the pulse area onto a plurality of impact locations disposed along a predetermined pulse path (B). This pulse area is moved, like a tool, along the surface of the blank to form the chip groove and the cutting edge by sublimation of the material.
US08872064B2 Positioning control system for moving element and laser drilling machine
A positioning control system for positioning a moving element on a basis of position command data is provided with a feedback loop. The system is also provided with a loop gain modifier for determining a loop gain, which is to be used in a following positioning operation, on a basis of a difference between an amount of overshoot measured in a current positioning operation and a predetermined tolerance or on a basis of a difference between an amount of overshoot measured in a current positioning operation and a first predetermined tolerance and a difference between an amount of undershoot measured in the current positioning operation and a second predetermined tolerance. The first and second tolerances may preferably be the same in absolute value. The moving element may specifically be a steerable mirror for drilling holes in a work by reflecting a laser beam. Also disclosed is a laser drilling machine including the system.
US08872063B2 Method for producing blank printing sleeve for laser engraving
The present invention provides a method for producing a blank printing sleeve for laser engraving, comprising: (1) a step of laminating a photosensitive resin composition layer (a) on a hollow cylindrical support (b); (2) a step of photo-curing the laminated photosensitive resin composition layer (a) to form a cured photosensitive resin layer (c); and (3) a step of cutting the hollow cylindrical support (b) and the cured photosensitive resin layer (c) approximately in a circumferential direction of the hollow cylindrical support (b) by a laser cutting method and/or a water beam cutting method.
US08872062B2 Laser cutting process for forming stents
Systems and methods for improving the cutting efficiency and cut profile of stent strut is provided. A means for altering the energy distribution of a laser beam is provided, along with various ways of controlling a laser to provide for improved strut configurations are provided. A method for improved cutting speeds using a combination of laser sources is also provided.
US08872060B2 Apparatus for making tubular beam with center leg
An apparatus forms a sheet into a beam having four exterior walls and a common center leg extending between an opposing two of the walls. The apparatus includes a roll former forming the sheet into the beam cross section, a welding station with top and bottom laser welders, and a weld box fixture having inwardly-biased external mandrels (biased by actuators) and outwardly-biased internal split mandrels (biased by springs) that interact to hold a desired shape of the beam in the weld fixture, and also includes a sweeping unit and cutoff device. By this arrangement, the internal and external mandrels, in combination with a bias of the actuators and springs, control a shape of the beam by flexure and movement of material of the sheet when in the weld box fixture during a welding process of the welder.
US08872057B2 Liquid cooling system for linear beam device electrodes
An electrode of an inductive output tube (IOT) is provided with channels for guiding cooling fluid. In one aspect of the invention, the channels are in a confronting relationship with a jacket surrounding the electrode and spaced from the electrode so as to define an interior region. Cooling fluid such as oil is circulated in the channels in fluid communication with the interior region, providing an escape mechanism for trapped bubbles in order to prevent localized heating of the electrode. In another aspect of the invention, the channels form multiple intersecting helical patterns of different pitches, with the steeper-pitched channels providing a more direct escape route for the bubbles.
US08872054B2 Switch unit and switchgear equipped therewith
In order to improve cooling performance of a switch unit or switchgear equipped with the switch unit, the switch unit includes a switch having a movable electrode and a fixed electrode and is casted as one body with a resin, the resin is provided with resin fins, and the thickness of the resin fin is changed so that the resin fin has a thickness sloped in the longitudinal direction of the resin fin.
US08872048B2 Illuminated thumbwheel switch assembly
An electric switch assembly comprises a circuit board; a light source mounted on the circuit board; a thumbwheel knob rotatably mounted on the circuit board; a transparent surface that moves with the thumbwheel knob and on which a symbol is applied or formed; and a light guide for directing light from the light source to the transparent surface to illuminate the symbol.
US08872046B2 Force switch
A method of creating a switch to be disposed along a longitudinal axis of a device, comprising providing a hollow body defining an interior cavity, disposing a switching element movably within the interior cavity, the switching element defining a switch-making position and a switch-breaking position and having a biasing element, coupling an electrically-conductive contact to the switching element to define a switch-making state when the switching element is in the switch-making position and a switch-braking state when the switching element is in the switch-braking position, and imparting a variable longitudinal bias to the switching element with the biasing element to place the switching element in one of the switch-making position and the switch-braking position until an external force imparted to the switching element along the switching axis exceeds the longitudinal bias thereby causing the switching element to move to the other one of the switch-making position and the switch-braking position.
US08872045B2 Filling device with vertically arranged weighing device
A filling device for containers, especially for beverage bottles, having a filling valve with a discharge opening, a holder for the container in a suspended arrangement, and a weighing device. In order to obtain a robust weighing device and a more precise measurement at least one portion of the weighing device is arranged vertically above the discharge opening.
US08872041B2 Multilayer laminate package and method of manufacturing the same
A multilayer laminate package and a method of manufacturing the same are provided. The multilayer laminate package includes a cavity layer, a non-cavity layer, an electronic component, and a metalized blind via. The cavity layer includes a first adhesive layer and two first circuit layers, which are stacked with the first adhesive layer between, and an opening. The non-cavity layer includes a second adhesive layer and a second circuit layer. The non-cavity layer is bonded to the cavity layer with the second adhesive layer so as to close one side of the opening. The electronic component is mounted in the opening and is electrically connected to the non-cavity layer exposed through the opening. The metalized blind via electrically connects the non-cavity layer to one of the circuit layers of the cavity layer.
US08872038B2 Anisotropic conductor, method of producing the same, and anisotropic conductor-arranged sheet
To provide a technique by which a material exhibiting high adhesiveness to objects to be fixed can be selected regardless of a material used for an insulating base in an anisotropic conductor having an adhesive section. An intermediate sheet which can be attached to the base is provided between the base and adhesive section. Since the intermediate sheet is provided in this manner, adhesive materials that exhibit high adhesive force to objects to be fixed can be used for the adhesive section without the consideration for adhesive force to the base. Furthermore, adhesive force which cannot be exhibited between the adhesive section and the base in the case of direct contact of the adhesive section with the base can be exhibited.
US08872035B2 Hermetic feedthrough
A hermetic feedthrough for an implantable medical device includes a sheet having a hole, where the sheet includes a ceramic comprising alumina. The feedthrough also includes a second material substantially filling the hole, where the second material includes a platinum powder mixture and an alumina additive. The platinum powder mixture includes a first platinum powder having a median particle size of between approximately 3 and 10 micrometers and a second platinum powder that is coarser than the first platinum powder and has a median particle size of between approximately 5 and 20 micrometers. The platinum powder mixture includes between approximately 50 and 80 percent by weight of the first platinum powder and between approximately 20 and 50 percent by weight of the second platinum powder. The first and second materials have a co-fired bond therebetween that hermetically seals the hole.
US08872033B2 Cable with less residual bend
A cable with less residual bend includes a sheath as an outermost layer; and a thread for correcting a residual bend. The thread is provided inside the sheath and disposed in parallel with a center axis of the cable along a longitudinal direction of the cable.
US08872032B2 Bundled flexible flat circuit cable
A bundled flexible flat circuit cable includes a flexible substrate that forms at least one cluster section having an end forming at least one first connection section and an opposite end forming at least one second connection section. Both the first and second connection sections or one of the first and second connection sections form a stack structure. The flexible substrate can be of a structure of single-sided or double-sided substrate and may additionally include an electromagnetic shielding layer. A bundling structure is provided to bundle the cluster section at a predetermined location to form a bundled structure. The bundling structure can be made of a shielding material, an insulation material, or a combination of shielding material and insulation material.
US08872031B2 Twisted pair wire and twisted pair cable using stranded conductors having moisture resistance
A twisted pair wire includes a pair of covered wires each formed by covering stranded conductors with a covering body. The covering body includes a covering body material including a silane coupling agent. Alternatively, the covering body includes a plurality of covering layers, and an innermost layer of the plurality of covering layers contacting with the stranded conductors includes a covering body material including a silane coupling agent.
US08872030B2 Cable management system
A cable management system for a patch panel component having a connectivity interface configured to connect with cables includes a cable support plate and two cable support brackets. Each cable support bracket includes a support bar, a first bracket and a second bracket. A fastener hingedly attaches the first bracket to the second bracket and is positioned to slideably mount the first and second brackets to the support bar. The first and second brackets include mounting plates that move toward and away from one another when sliding the cable support brackets. The brackets mount to opposed projecting pins on a patch panel. The fastener holds each cable support bracket in the desired position.
US08872028B2 Braided wire processing method and ring members
Disclosed is a braided wire processing method. An operation is carried out wherein a braided wire is folded over with an end portion of a first ring member, forming a braided wire fold over portion; and the outer circumference portion and a cutout portion of the first ring member, as well as a conductor of a grounding line, are covered with the braided wire fold over part. Next, an operation is carried out wherein the exterior side of the braided wire fold over portion passes through a second ring member from the wire axial direction. As the second ring member passes thereupon, the second ring member compresses the first ring member in the circumferential direction thereof. The gap of the cutout part of the first ring member is thus contracted, and the conductor that projects therein is clasped.
US08872026B2 Connecting arrangement for connecting supply lines of railway vehicles
A connecting arrangement for connecting supply lines across a gap between railway vehicles is disclosed. The connecting arrangement electrically and pneumatically connects railway vehicles or pantographs of a train. The connecting arrangement may include at least one flexible electrical power line and at least one flexible fluid line. The at least one power line and the at least one fluid line may be preassembled to form an integral unitary structure.
US08872024B2 Mold structure for molding housing, method for molding housing, and housing
A mold for forming a housing having a lance includes a first mold and a second mold. The lance has a first projection for engaging a terminal and a second projection provided at a position nearer with an end of the lance than the first projection. The first mold includes a first member having a third projection. The second mold includes a second member and a third member. The second member has a first concave portion into which the third projection is inserted. The third member is configured to be moved with respect to the second member. The second member defines, with the first member, a first space where the housing is adapted to be formed. The third member defines, with the first member, a second space where the first projection and the second projection are adapted to be formed.
US08872021B2 Photoelectric conversion device and manufacturing method thereof
An object is to increase conversion efficiency of a photoelectric conversion device without increase in the manufacturing steps. The photoelectric conversion device includes a first semiconductor layer formed using a single crystal semiconductor having one conductivity type which is formed over a supporting substrate, a buffer layer including a single crystal region and an amorphous region, a second semiconductor layer which includes a single crystal region and an amorphous region and is provided over the buffer layer, and a third semiconductor layer having a conductivity type opposite to the one conductivity type, which is provided over the second semiconductor layer. A proportion of the single crystal region is higher than that of the amorphous region on the first semiconductor layer side in the second semiconductor layer, and the proportion of the amorphous region is higher than that of the single crystal region on the third semiconductor layer side.
US08872008B2 Dual plectrum systems for stringed instruments
Embodiments of the present invention related to plectrum composite couplers comprising a spacer material, the spacer material comprising an upper surface and a lower surface, and a pivot core that is embedded at least partially in the spacer material. Different embodiment of the invention comprise methods for manufacturing composite couplers, methods of assembling a dual plectrum system comprising a dual plectrum composite coupler, and methods for striking the strings of a stringed instrument using an assembled dual plectrum device comprising said dual plectrum composite coupler.
US08872006B1 Maize variety inbred PH11V8
A novel maize variety designated PH11V8 and seed, plants and plant parts thereof. Methods for producing a maize plant that comprise crossing maize variety PH11V8 with another maize plant. Methods for producing a maize plant containing in its genetic material one or more traits introgressed into PH11V8 through backcross conversion and/or transformation, and to the maize seed, plant and plant part produced thereby. Hybrid maize seed, plant or plant part produced by crossing the variety PH11V8 or a locus conversion of PH11V8 with another maize variety.
US08871999B2 Protection against herbivores
The present invention relates to genes, proteins and methods comprising molecules that alter amino acid levels. In one embodiment, the present invention relates to altering guanidino substrate hydrolysis activities in plants, arthropods and microorganisms using molecules within the arginase family and other molecules that alter an amino acid levels. In ones embodiment, the present invention relates to altering threonine substrate deamination and dehydration activities in plants, arthropods and microorganisms using molecules within the threonine deaminase family and other molecules that alter amino acid levels. In one embodiment, the present invention relates to using genes, proteins and methods comprising arginase or threonine deaminase for altering the pathophysiology of plants, arthropods and microorganisms. In a preferred embodiment, the present invention relates to altering guanidino substrate hydrolysis activity in plants, arthropods, and microorganisms using arginase. In another preferred embodiment, the invention relates to altering threonine substrated deamination and dehydration activity in plants, arthropods, and microorganisms using threonine deaminase. In some embodiments, the invention related to overexpression and increased activity of arginase, threonine deaminase and a proteinase inhibitor.
US08871998B2 Method for producing chrysanthemum plant having petals containing modified anthocyanin
Disclosed are a method for controlling flavonoid synthesis in a chrysanthemum plant or non-chrysanthemum plant by genetic recombination technology using a transcriptional regulatory region useful for altering flower color, a method for modifying anthocyanins, a method for producing a chrysanthemum plant or non-chrysanthemum plant containing modified anthocyanins in the petals thereof, and a chrysanthemum plant or non-chrysanthemum plant, progeny thereof, or vegetatively propagated products, part or tissue thereof transformed with the regulatory region. In the method according to the present invention, an expression vector or expression cassette containing a transcriptional regulatory region of perilla anthocyanin 3-acyltransferase gene, such as a nucleic acid containing the nucleotide sequence indicated in SEQ ID NO. 1, or a transcriptional regulatory region of pansy F3′5′H gene, such as the nucleotide sequence indicated in SEQ ID NO. 15, is used.
US08871997B2 Enhanced transgene expression by co-expression with a suppressor of post-transcriptional gene silencing (PTGS)
Disclosed are a variety of methods for achieving enhanced expression from a target nucleotide sequence in a plant e.g. comprising the step of transiently introducing into a tissue of a plant (e.g. a leaf) a first nucleic acid comprising the target nucleotide sequence and a second nucleic acid encoding a Post Transcriptional Gene Silencing (PTGS) suppressor protein (preferably of viral or plant origin), wherein the first and second nucleic acids are comprised within a single binary vector, construct, or the first and second nucleic acid sequences are comprised within a first binary vector and a second binary vector construct respectively. The plant tissue may then be harvested for the protein. Such methods can give much higher levels of gene expression than are obtainable using stable transgenes, or certain replicating vectors. Also disclosed are specific PTGS suppressor proteins: potato virus X (pvx), p25 protein; african cassava mosaic virus (acmv) AC2 protein; rice yellow mottle virus (rymv) P1 protein; tomato bushy stunt virus (tbsv) 19K protein; plus variants of these. These suppressors may be used in any PTRS context, including the enhancement of transient expression systems.
US08871996B2 Mice expressing human voltage-gated sodium channels
Genetically modified non-human animals and methods and compositions for making and using them are provided, wherein the genetic modification comprises a humanization of an extracellular loop of an endogenous NaV channel gene, in particular a humanization of the one or more extracellular pore loops of a NaV1.7 channel protein. Genetically modified non-human animals are also provided, wherein the genetic modification comprises replacement of an endogenous NaV channel gene, in particular a replacement of the endogenous NaV1.7 gene with a human NaV1.7 gene, and wherein the genetically modified non-human animals are capable of generating action potentials and communicating through the excitable cells of the genetically modified non-human animals via the expressed human or humanized NaV1.7 protein the surface of the excitable cells. Genetically modified mice are described, including mice that express the human or humanized NaV1.7 gene from the endogenous NaV1.7 locus, and wherein the mice comprise functional β-subunits.
US08871995B2 Method for screening an agent being useful for the treatment of dry eye and/or corneal and conjunctival lesion and pharmaceutical composition obtained by the method
The present invention provides a method for screening an agent being useful for the treatment of dry eye and/or corneal and conjunctival lesion of dry eye severity level 3 or more according to the report of the International Dry Eye WorkShop (DEWS Report) (2007) and a pharmaceutical composition comprising the agent. The present invention further provides a method for the treatment of dry eye and/or corneal and conjunctival lesion of dry eye severity level 3 or more according to DEWS Report (2007) using the agent.
US08871991B2 Method for stabilizing fuel containing reactive sodium metal
A method of stabilizing a fuel containing a reactive sodium metal may include puncturing a cladding of a fuel pin enclosing the fuel containing the reactive sodium metal to form an injection passage and an extraction passage. A reaction gas may be injected into the fuel pin through the injection passage to react with the reactive sodium metal to form a stable sodium compound. A ratio of a product gas and a remaining quantity of the reaction gas exiting the fuel pin through the extraction passage is subsequently measured, wherein the product gas is a reaction product of the reaction gas and the reactive sodium metal within the fuel pin. Once the measured ratio indicates that a reaction between the reaction gas and the reactive sodium metal is complete, the injection passage and the extraction passage are sealed so as to confine the stable sodium compound within the fuel pin.
US08871990B2 Process for the conversion of ethane to aromatic hydrocarbons
A process for producing aromatic hydrocarbons which comprises (a) contacting ethane with a dehyroaromatization aromatic catalyst which is comprised of about 0.005 to about 0.1% wt platinum, an amount of an attenuating metal which is no more than about 0.02% wt less than the amount of platinum, from about 10 to about 99.9% wt of an aluminosilicate, and a binder, and (b) separating methane, hydrogen, and C2-5 hydrocarbons from the reaction products of step (a) to produce aromatic reaction products including benzene.
US08871989B2 Combination of hydrogenation and base catalyzed depolymerization for lignin conversion
A process for the conversion of lignin to chemical precursors is presented. The process comprises treating the lignin to form less acidic compounds. The process includes reacting lignin with a hydrogenation catalyst under a hydrogen atmosphere to convert acidic oxygenate compounds to less acidic oxygenates or hydrocarbons. The oxygenate compounds are reacted in a dehydrogenation and deoxygenation process to remove the oxygen and to convert the cyclic hydrocarbons back to aromatic compounds.
US08871988B2 Controlling the formation of crystalline hydrates in fluid systems
Controlling the formation of crystalline hydrates in various fluid systems, most notably, gas and oil transmission pipeline systems by contacting the systems with certain polymers or polymers associated with solid particles. The polymers useful are chelating polymers capable of interacting with charged gaseous molecules such as carbon dioxide, by removing the carbon dioxide, or more practically by scavenging for the carbon dioxide, to prevent the methane or ethane hydrate structures from forming since they require carbon dioxide to stabilize their structures.
US08871987B2 Purification of cis-1,1,1,4,4,4-hexafluoro-2-butene via extractive distillation
A process for separating cis-1,1,1,4,4,4-hexafluoro-2-butene from a first mixture comprising cis-1,1,1,4,4,4-hexafluoro-2-butene and at least one chlorofluoroolefin is disclosed. The process involves the steps of contacting the mixture with at least one extractive agent, to form another mixture, distilling the mixture; and recovering cis-1,1,1,4,4,4-hexafluoro-2-butene substantially free of chlorofluoroolefin.
US08871985B2 Processes for the production of alcohols
The disclosure generally relates to a process for the production of a C6-C15 alcohol mixture including the steps of hydroformylating an olefin mixture including at least one branched C5-C14 olefin to form a hydroformylation product including aldehydes and formates; feeding the hydroformylation product into a hydrogenation step including contacting, in at least one first hydrogenation reactor, at least one catalyst, water, hydrogen, and the hydroformylation product to convert the hydroformylation product to a C6-C15 alcohol mixture; wherein the hydrogen is supplied from the decomposition of the formates and at least one source external to the at least one first hydrogenation reactor.
US08871984B2 Preparing method for xanthophyll crystals with higher content of zeaxanthin from plant oleoresin
The invention makes public a method for preparing xanthophyll crystals with higher content of zeaxanthin from plant oleoresin. The current methods generally are to get quite pure crystal forms of xanthophyll or zeaxanthin, and they refer to several separation steps. The invention mixes the xanthophyll diester-containing plant oleoresins and food grade alcohol solvents to form smooth solution, and then soap-dissolve the solution under an alkaline environment; then replenish organic solvents and emulsifiers into the reaction solution and drop some alkali solution into the solution to make partial xanthophyll crystals be transformed to be zeaxanthin through epimerization reaction; after the reaction is finished, add the mixed solvents of alcohol solvent and water to separate out the crystals; use the method of centrifugation or filtration to get the crystals; wash the crystals several times with the mixed solution of deionized water and alcohols to remove the impurities among the crystals; recrystallize the gained crystals with absolute ethyl alcohol, and then dry the crystals to get the products. The invention can gain mixture of crystals that contain xanthophyll and zeaxanthin at one time in quite high collection rate.
US08871983B2 Lipid compounds for suppression of tumorigenesis
The present invention provides compounds, or pharmaceutically acceptable salts or analogs thereof, which exhibit anti-tumor activity. The present invention also includes methods for inhibiting the growth of cancer cells by contacting an effective amount of a compound of the present invention with the cancer cells in vitro or in vivo.
US08871978B2 Preparation of hop acids and their derivatives
A method for preparing a hop acid mixture having an enantiomeric excess of a (+)-tetrahydro-α-acid is disclosed. In the method, a racemate of a tetrahydro-α-acid is contacted with an amine to form a precipitate having an enantiomeric excess of the (+)-tetrahydro-α-acid. A method for preparing a hop acid is also disclosed. In the method, a racemate of a tetrahydro-α-acid is contacted with an amine to form a precipitate comprising a (+)-tetrahydro-α-acid, and the (+)-tetrahydro-α-acid is isomerized to a hop acid selected from the group consisting of (+)-trans-tetrahydro-iso-α-acids, (−)-cis-tetrahydro-iso-α-acids, and mixtures thereof, and reduced to (+)-trans-hexahydroiso-α-acids and (−)-cis-hexahydroiso-α-acids. An additive for flavoring a malt beverage is also disclosed. The additive includes a bittering agent selected from the group consisting of (+)-trans-tetrahydro-iso-α-acids, (−)-cis-tetrahydro-iso-α-acids, (+)-trans-hexahydroiso-α-acids, (−)-cis-hexahydroiso-α-acids, and mixtures thereof.
US08871976B2 Chalcone structure fluorescence dye for embryonic stem cell probe
The invention includes a compound represented by the following structural formula: wherein is described herein. The compounds of the invention are useful in staining embryonic stem cells.
US08871973B2 Polyalkylene glycol producing catalyst, and method for producing polyalkylene glycol using same
To provide a catalyst composed of a salt of a phosphazenium cation and an active hydrogen compound anion, which can be easily synthesized, does not contain metal components at all, and does not leave any odor on a resulting product; a method for its production; an economical and efficient method for producing a polyalkylene oxide by means thereof; and a salt of a phosphazenium cation and an active hydrogen compound anion, represented by the following formula (2): [in the above formula (2), each of R1 and R2 which are independent of each other, is a C1-10 alkyl group, an unsubstituted or substituted C6-10 phenyl group, or an unsubstituted or substituted C6-10 phenylalkyl group, provided that R1 and R2, or R2's, may be bonded to each other to form a ring structure, n is a real number of from 1 to 8, and Yn− is an anion of an active hydrogen compound which is obtained by removing n protons from an active hydrogen compound Y] is used as a polyalkylene glycol producing catalyst.
US08871968B2 Process of producing oxalate by CO gas phase method
The present invention relates to a process of producing oxalate by CO gas phase method for chiefly solving the technical problem of the low utilization efficiency of nitrogen oxides or nitrous acid esters in the prior art. The present invention solves the problem in a better way by using the following steps including: a gas phase stream V containing NO and methanol and oxygen enter a supergravity rotating bed reactor II and are subjected to the oxidative esterification reaction to produce an effluent VI containing methyl nitrite; a methyl nitrite effluent VII obtained from separating said effluent VI together with a CO gas II enter a coupling reactor II and is contacted with a catalyst II to react to form a dimethyl oxalate effluent VIII and a gas phase effluent IX containing NO; the resultant dimethyl oxalate effluent VIII is separated to obtain a dimethyl oxalate product I; optionally, the gas phase effluent IX containing NO is returned to the step above so as to be mixed with the gas phase stream V containing NO for being recycled. Therefore, the process is applicable to the industrial production of oxalate by CO gas phase method.
US08871961B2 Process for production of biodiesel
A process for continuous production of biodiesel from vegetable oils or animal fats by transesterification with methanol or ethanol to give crude fatty acid alkyl esters, subsequent washing with water in a wash column to remove water-soluble impurities, subsequent drying by vaporization of the water content and subsequent removal of steryl glycosides by adsorption onto calcium bentonite, wherein the adsorption column(s) used is/are regenerated in a first step, for desorption of the steryl glycosides, by rinsing with a mixture consisting of fatty acid alkyl esters and methanol or ethanol, and in a subsequent second step, for removal of methanol residues, by rinsing with fatty acid alkyl esters or with gaseous nitrogen or carbon dioxide.
US08871954B2 Process for the preparation of alditol acetals
The present invention is directed to a process for the preparation of 1,3:2,4-bis (4-methylbenzylidene) sorbitol (MDBS) and 1,3:2,4-bis (4-dimethylbenzylidene) sorbitol (DMDBS) by dehydrocondensating an aldehyde and an alditol using a hydrophobic ionic liquid as an acid catalyst. The ionic liquid used in the accordance with the process of the present invention is a phosphonium ion based ionic liquid.
US08871953B2 Process for the preparation of olopatadine
The present invention relates to a novel process for the preparation of olopatadine hydrochloride starting from an advanced intermediate.
US08871952B2 Thiophene compounds for liquid-crystalline media
The invention relates to liquid-crystalline compounds of the formula I in which R1, R2, A1, A2, A3, A4, Z1, Z2, Z3, V, a, b and c have the meanings indicated in Claim 1, and to liquid-crystalline media comprising at least one compound of the formula I, and to electro-optical displays containing a liquid-crystalline medium of this type.
US08871948B2 (Aza)indole derivative substituted in position 5, pharmaceutical composition comprising it, intermediate compounds and preparation process therefor
An (aza)indole derivative substituted in position 5, of formula (I) in which X, Y, Z, G1, G2, G3, R1, W, and R2 have the meanings given in the description, a pharmaceutical composition comprising it, and also intermediate compounds and a preparation process therefor.
US08871943B2 Process for the preparation of 4-amino-5-fluoro-3-halo-6-(substituted)picolinates
Provided herein are processes for the preparation of 4-amino-5-fluoro-3-halo-6-(substituted)picolinates comprising a step of converting chloropicolinoyl chlorides to fluoropicolinoyl fluorides.
US08871941B2 8-bromo-5-quinolinecarboxaldehyde oxime
Disclosed are compounds of Formula 1, including all geometric and stereoisomers, N-oxides, and salts thereof, wherein A1, A2, A3, A4, A5 and A6 are independently selected from the group consisting of CR3 and N; provided that at most 3 of A1, A2, A3, A4, A5 and A6 is N; B1, B2 and B3 are independently selected from the group consisting of CR2 and N; each R3 is independently H, halogen, C1-C6 alkyl, C1-C6 haloalkyl, C3-C6 cycloalkyl, C3-C6 halocycloalkyl, C1-C6 alkoxy, C1-C6 haloalkoxy, C1-C6 alkylthio, C1-C6 haloalkylthio, C1-C6 alkyl sulfinyl, C1-C6 haloalkylsulfinyl, C1-C6 alkylsulfonyl, C1-C6 haloalkylsulfonyl, C1-C6 alkylamino, C2-C6 dialkylamino, —CN or —NO2; and R1, R2, R4, R5, W and n are as defined in the disclosure. Also disclosed are compositions containing the compounds of Formula 1 and methods for controlling an invertebrate pest comprising contacting the invertebrate pest or its environment with a biologically effective amount of a compound or a composition of the invention.
US08871940B2 Unsymmetrical bisazides for chemoselective sequential ligation
Unsymmetrical bisazides containing chelating and non-chelating azido groups undergo chemoselective three-component copper(I)-catalyzed azide-alkyne conjugation reactions with two different alkyne molecules. In conjunction with the reactivity gap between aromatic and aliphatic alkynes, a bistriazole molecule can be generated with an excellent regioselectivity by mixing two alkynes and a bisazide in a single reaction container. This method is applicable in aqueous solutions at neutral pH, which may lend utilities in bioconjugation applications.
US08871938B2 Process for making quinolone compounds
The present invention relates to the field of synthesizing anti-infective compounds. More particularly, the invention relates to synthesizing a family of quinolone compounds useful as anti-infective agents. The invention includes a process for preparing a quinolone compound wherein less than about 0.40% of dimeric impurity of the quinolone is produced.
US08871935B2 Crystalline pharmaceutical and methods of preparation and use thereof
Novel crystalline polymorphic forms, Forms A, B, C, D, and E of a compound of Formula I, which has been found to be a potent inhibitor of LFA-1, are disclosed. Methods of preparation and uses thereof in the treatment of LFA-1 mediated diseases are also disclosed in this invention.
US08871929B2 Fused-imidazoyl compounds useful as antimicrobial agents
The invention relates to fused-imidazoyl compounds of Formula (I): wherein ring A, R1, R2, R3, R4, R5, R6, R7, R8, R9, m and n are as defined herein. Also disclosed are pharmaceutical compositions containing the compound of Formula (I) and methods of using the compound of Formula (I) to treat microbial infections.
US08871927B2 Method for purifying Ceftizoxime sodium
A novel process for refining Ceftizoxime sodium compound, comprises the steps of: 1) dissolving crude Ceftizoxime sodium in water, and extracting with cyclohexane or ethyl acetate, followed by separating the organic phase containing impurities, producing an aqueous phase containing Ceftizoxime sodium; 2) adding ammonia or ammonium hydroxide into the above aqueous phase while stirring, followed by filtrating the precipitate, producing an aqueous filtrate containing Ceftizoxime sodium; 3) adding an alcoholic solvent in the aqueous solution and recrystallizing under controlled temperature, followed by centrifuging and washing the resultant crystals, producing the refined Ceftizoxime sodium after drying; and 4) optionally returning the mother liquid of the recrystallization process to step 3).
US08871926B1 Synthesis of porphyrin nanostructures
The present disclosure generally relates to self-assembly methods for generating porphyrin nanostructures. For example, in one embodiment a method is provided that includes preparing a porphyrin solution and a surfactant solution. The porphyrin solution is then mixed with the surfactant solution at a concentration sufficient for confinement of the porphyrin molecules by the surfactant molecules. In some embodiments, the concentration of the surfactant is at or above its critical micelle concentration (CMC), which allows the surfactant to template the growth of the nanostructure over time. The size and morphology of the nanostructures may be affected by the type of porphyrin molecules used, the type of surfactant used, the concentration of the porphyrin and surfactant the pH of the mixture of the solutions, and the order of adding the reagents to the mixture, to name a few variables.
US08871924B2 Regioselectively substituted cellulose esters produced in a tetraalkylammonium alkylphosphate ionic liquid process and products produced therefrom
This invention relates a cellulose solution comprising cellulose and at least one tetraalkylammonium alkylphosphate and processes to produce the cellulose solution. Another aspect of this invention relates to shaped articles prepared from a cellulose solution comprising cellulose and at least one tetraalkylammonium alkylphosphate. Another embodiment of this invention relates to compositions comprising derivatives of cellulose prepared from a cellulose solution comprising at least one tetraalkylammonium alkylphosphate. Another embodiment of this invention relates to compositions comprising regioselectively substituted cellulose esters prepared from a cellulose solution comprising cellulose and at least one tetraalkylammonium alkylphosphate. In another embodiment of the invention, the cellulose esters of the present invention are used as protective and compensation films for liquid crystalline displays.
US08871920B2 Lipid binding nucleic acids
The present invention is related to a nucleic acid molecule capable of binding to a lipid.
US08871907B2 Glycosylated immunoglobulin and immunoadhesin comprising the same
The present invention relates to a glycosylated immunoglobulin or a fragment thereof, in which an immunoglobulin variant, comprising one or more amino acid modifications selected from the group consisting of M160N, A195N, T243N, E265N, Y299T, F331T and Q346N, is additionally glycosylated, and a gene encoding the same. Also, the present invention relates to a glycosylated fusion protein formed as a result of linkage of (a) a glycosylated immunoglobulin or a fragment thereof, in which an immunoglobulin variant having a modified amino acid sequence forming one or more Asn-X-Ser/Thr sequences is additionally glycosylated, with (b) at least one biologically active protein or a portion thereof, a gene encoding the same, a recombination expression vector comprising the gene, a host cell transformed or transfected with the recombinant expression vector, and a method of preparing a glycosylated fusion protein comprising culturing the transformant or transfectant and isolating the glycosylated fusion protein from the culture, and a pharmaceutical composition comprising the glycosylated fusion protein thus prepared.
US08871905B2 Modification of CXCR4 using engineered zinc finger proteins
Disclosed herein are methods and compositions for modulating activity of CXCR4 genes, for example using zinc finger transcription factors (ZF-TFs) or zinc finger nucleases (ZFNs) comprising a zinc finger protein and a cleavage domain or cleavage half-domain. Polynucleotides encoding ZF-TFs or ZFNs, vectors comprising polynucleotides encoding ZF-TFs or ZFNs and cells comprising polynucleotides encoding ZF-TFs or ZFNs and/or cells comprising ZF-TF or ZFNs are also provided.
US08871902B2 Immunogenic POTE peptides and methods of use
POTE has recently been identified as a tumor antigen expressed in a variety of human cancers, including colon, ovarian, breast, prostate, lung and pancreatic cancer. Described herein are immunogenic POTE polypeptides, including modified POTE polypeptides, that bind MHC class I molecules. The immunogenic POTE polypeptides are capable of inducing an immune response against POTE-expressing tumor cells. Thus, provided herein is a method of eliciting an immune response in a subject, such as a subject having a type of cancer that expresses POTE.
US08871897B2 Amine-containing difluoro benzotriazolyl polymer, preparation method and use thereof
An amine-containing difluoro benzotriazolyl polymer, preparation method, and use thereof are provided; the polymer has a structure as represented by formula (I), both R1 and R2 are alkyls from C1 to C20, n is an integer from 10 to 50. In the polymer of the present disclosure, because the 1,2,3-benzotriazole solar cell material contains two fluorine atoms, the HOMO energy level is reduced by 0.11 eV, the fluorine-substituted 1,2,3-benzotriazole has two imido groups with strong electron-withdrawing property; the 1,2,3-benzotriazole is a heterocyclic compound with strong electron-withdrawing property, and an alkyl chain can be easily introduced to the N-position of the N—H bond of the benzotriazole; the functional group of the alkyl chain can improve solar energy conversion efficiency, thus solving the low efficiency problem of the solar cell made from the solar cell material.
US08871896B2 Michael addition curing chemistries for sulfur-containing polymer compositions
The use of Michael addition curing chemistries in compositions comprising sulfur-containing polymers such as polythioethers and polysulfides useful in aerospace sealant applications are disclosed. Sulfur-containing adducts comprising terminal Michael acceptor groups are also disclosed.
US08871891B2 Two part polyurea-urethane adhesive with elevated high temperature storage modulus
Two-part polyurea-urethane adhesive compositions comprising a Part A prepolymer component having an isocyanate component comprising polymeric isocyanate and an oligomer content greater than 15 weight percent, polyol having a molecular weight of about 5,000 to about 12,000 and about 20 weight percent to about 44 percent weight percent filler; and a Part B curative component comprising polyol having molecular weight of about 5,000 to about 12,000, aromatic amine, about 20 weight percent to about 44 percent weight percent filler and catalyst wherein the adhesive has an 85° C. storage modulus greater than about 20 MPa. The two-part polyurea-urethane adhesive composition can be applied to bond various substrates including composite materials and metal, including making parts for the transportation and assembly markets.
US08871889B2 Compounds with guanidine structure and uses thereof as organopolysiloxane polycondensation catalysts
A compound having a guanidine structure and uses thereof as organopolysiloxane polycondensation catalysts are described.
US08871884B2 Copolymer semiconductors comprising thiazolothiazole or benzobisthiazole, or benzobisoxazole electron acceptor subunits, and electron donor subunits, and their uses in transistors and solar cells
The inventions disclosed, described, and/or claimed herein relate to copolymers comprising copolymers comprising electron accepting A subunits that comprise thiazolothiazole, benzobisthiazole, or benzobisoxazoles rings, and electron donating subunits that comprise certain heterocyclic groups. The copolymers are useful for manufacturing organic electronic devices, including transistors and solar cells. The invention also relates to certain synthetic precursors of the copolymers. Methods for making the copolymers and the derivative electronic devices are also described.
US08871878B2 Ethylene polymerization using discrete nickel(II) iminophosphonamide complexes
The present invention generally relates to a new method of polymerizing ethylene. In one embodiment, the present invention relates to compounds utilized in the polymerization of ethylene and to a synthesis/polymerization method that uses same. In another embodiment, branched polyethylene is synthesized from an ethylene monomer using, in this embodiment, at least one nickel iminophosphonamide (PN2) complex. In still another embodiment, the reaction of (phenyl)(triphenylphosphine)(diphenyl-bis(trimethylsilylimino)phosphorato)-nickel, with Rh(acac) (C2H4)2 and ethylene yield a branched polyethylene. In an alternative of this embodiment, the reaction of (phenyl)(triphenylphosphine)(methyl-cis(trimethylsilyl)amino-bis(trimethylsilylimino)phosphorato)-nickel and ethylene, with or without Ni(COD)2, yields a branched polyethylene.
US08871877B2 Hybrid organic-inorganic materials that contain stabilized carbene
The present invention relates to materials and particularly “organometallic-organic-inorganic hybrid materials” that can be used as heterogeneous catalysts for selective catalytic reactions. More precisely this invention relates to organic-inorganic hybrid nanostructured materials comprising a regularly distributed stabilized carbene that binds strongly to a metal so as to form a stable organometallic-organic-inorganic hybrid material having high catalytic performances.
US08871875B2 Polycarbonate resin and thermoplastic resin composition including polycarbonate resin
A polycarbonate resin includes a repeating unit in its main chain of the following Chemical Formula 1: wherein the substituents are as defined in the specification.
US08871869B2 Hydrophilic coating
The invention relates to a hydrophilic coating formulation which when cured results in a hydrophilic coating, wherein the hydrophilic coating formulation comprises a polyelectrolyte and a non-ionic hydrophilic polymer. The invention further relates to a coating system, a hydrophilic coating, a lubricious coating, use of a polyelectrolyte and a non-ionic hydrophilic polymer in a lubricious coating, an article, a medical device or component and a method of forming on a substrate a hydrophilic coating.
US08871866B2 Poly(arylene ether) composition and a covered conductor with flexible covering wall and large size conductor
Disclosed herein is covered conductors with a normal to large conductor cross-section area (AWG 5 to AWG 24). The thickness of the coating can be, for example, 0.25 to 8.0 millimeter (mm). Also disclosed are a thermoplastic composition comprising a poly(arylene ether) having an intrinsic viscosity greater than 0.25 dl/g as measured in chloroform at 25° C., a styrenic resin, a polyolefin resin, and optionally a flame retardant, a compatibilizer, or a combination of a flame retardant and a compatibilizer. The coating comprises the thermoplastic composition described above.
US08871864B2 Adhesive system, method of assembly of distinct components therewith
An adhesive system including a cyanoacrylate adhesive composition having a polymerization retarder that slows the polymerization rate of the cyanoacrylate, increases the lubricity to achieve proper assembly and aids in achieving desirable bonding properties of the adhesive; and a phosphorous-based primer that assists in preparing the components to be bonded. Methods of connecting dissimilar materials, preferably those used in medical applications, utilizing the adhesive system and the resulting adhered assemblies are disclosed.
US08871863B2 Production of thermoplastic polymer matrices
A method for producing a thermoplastic polymeric matrix includes adding a suspension containing a silicate compound and/or barium sulfate either before or during the polymerization of the polymerizable polymeric matrix; various articles are obtained by forming this polymeric matrix, such as yarns, fibers, filaments, films and molded articles.
US08871860B2 Methods for coating a substrate with an amphiphilic compound
Methods of modifying a patterned semiconductor substrate are presented including: providing a patterned semiconductor substrate surface including a dielectric region and a conductive region; and applying an amphiphilic surface modifier to the dielectric region to modify the dielectric region. In some embodiments, modifying the dielectric region includes modifying a wetting angle of the dielectric region. In some embodiments, modifying the wetting angle includes making a surface of the dielectric region hydrophilic. In some embodiments, methods further include applying an aqueous solution to the patterned semiconductor substrate surface. In some embodiments, the conductive region is selectively enhanced by the aqueous solution. In some embodiments, methods further include providing the dielectric region formed of a low-k dielectric material. In some embodiments, applying the amphiphilic surface modifier modifies an interaction of the low-k dielectric region with a subsequent process.
US08871859B2 Crosslinked pigment dispersion based on structured vinyl polymeric dispersants
The present disclosure provides an aqueous dispersion comprising a solid particle and a structured vinyl polymeric dispersant, wherein said structured vinyl polymer dispersant comprises at least one hydrophilic segment and at least one hydrophobic segment, the hydrophilic segment comprising at least one crosslinkable moiety, wherein the crosslinkable moiety is cross-linked with a crosslinking agent that is substantially insoluble in the aqueous ink vehicle.These dispersions when used in ink jet inks provide images with the requisite optical density and chroma needed for emerging ink jet applications.
US08871853B2 Resin system comprising dispersed multimodal surface-modified nanoparticles
Dispersions of nanoparticles in a resin component are described. The nanoparticles have a multimodal particle size distribution including at least a first mode and a second mode. The number average particle diameter of the particles in the first mode is greater than the number average particle size distribution in the second mode. The use of multimodal nanoparticle size distributions and the relative number of particles in the first and second mode to reduce or eliminate particle stacking behavior is also described.
US08871844B2 Composite particles having organic and inorganic domains
Composite particles obtained by converting a) one or more inorganic oxide particles having an average diameter of <1000 nm, b) one or more organic polymers, and c) one or more coupling reagents, the oxide particles a) and organic polymers b) being linked by units of coupling reagents c), wherein one or more polymers of α) one or more ethylene unsaturated monomers selected from vinyl esters of carbolic acids having 1 to 15 C atoms, methacrylic acid esters or acrylic acid esters of carbolic acids having unbranched or branched alcohols having 1 to 15 C atoms, and olefins, vinyl aromatics, and vinyl halogenides, and β) one or more ethylene unsaturated carbolic acids having 3 to 11 C atoms, and optionally γ) one or more monomers comprising at least two ethylene unsaturated groups, and optionally δ) one or more further ethylene unsaturated monomers are used as the organic polymers b).
US08871834B2 Stabilized polypropylene-talc composite
Use of an talc being coated with an organo-metallic compound in a polymer composition to accomplish a headspace emission of all volatiles together of said polymer composition of equal or below 120 μg/g.
US08871833B2 Metallic-pigment composition
Provided is a metallic-pigment composition which is usable in coating compositions or ink compositions, in particular, in water-based coating compositions or aqueous inks, and which gives coating compositions having excellent storage stability. The metallic-pigment composition gives a coating film having excellent performances with respect to brightness, hiding properties, flip-flop feeling, etc., causes no colorant or organic-pigment discoloration, and has excellent adhesion and chemical resistance. The metallic-pigment composition comprises one or more mixed-coordination-type heteropolyanion compounds, a hydrolyzate of a silicon compound and/or a condensate thereof, and metal particles.
US08871830B2 High-strength glue formulation
A pourable glue formulation is provided. The pourable glue formulation includes a first urethane polymer, wherein the first urethane polymer is operative to provide adequate tensile strength to the formulation; a second urethane polymer, wherein the second urethane polymer is operative to provide adequate flexibility to the formulation; propylene glycol; an ester alcohol; a biocide; a crosslinking agent; a defoamer; and a viscosity modifier.
US08871826B2 Hybrid polymer compositions with enhanced bio-degradability
A hybrid polymer composition can include a polymeric material that has dispersed within it a plurality of photocatalytic particles and a plurality of conductive particles at least some of which are in contact with one or more of the plurality of photocatalytic particles such that the conductive particles provide electron donating and electron accepting properties to the photocatalytic particles to enhance the creation of free radicals upon exposure of the hybrid polymer composition to one or more degradation enhancing factors. Examples of degradation enhancing factors can include, but are not limited to, one or more of visible light, ultraviolet light, moisture, and ozone. The photocatalytic particles can include a metal oxide, such as for example titanium dioxide and/or another non-toxic metal oxide. Related methods, articles, and the like are described.
US08871825B2 Polypropylene resin composition, method for producing the same, and foam molded article
There is provided a polypropylene resin composition comprising a propylene polymer (A) containing 0 to 70% by mass of a propylene homopolymer (A-1) and 30 to 100% by mass of a propylene-ethylene block copolymer (A-2) defined below, an ethylene-α-olefin copolymer (B) defined below, and an inorganic filler (C), wherein the proportion of the amount of the (A), the proportion of the amount of (B), and the proportion of the amount of (C) relative to the total amount of the (A), the (B) and the (C) are 40 to 94% by mass, 5 to 30% by mass, and 1 to 30% by mass, respectively, the propylene-ethylene block copolymer (A-2) is a mixture of a propylene homopolymer component and a propylene-ethylene random copolymer component, wherein the intrinsic viscosity, as measured in Tetralin of 135° C., of the propylene-ethylene random copolymer component is within the range of 2.0 to 8.0 dl/g, the ethylene-α-olefin copolymer (B) is a copolymer of ethylene and an α-olefin having 4 to 20 carbon atoms, the copolymer having a density of 0.85 to 0.89 g/cm3 and a melt flow rate, as measured at 190° C. under a 2.16 kg load in accordance with JIS K7210, of more than 10 g/10 min and not more than 40 g/10 min.
US08871824B2 Blown grade showing superior stiffness, transparency and processing behaviour
Extrusion blown film comprising a polypropylene composition, said polypropylene composition comprises a random propylene copolymer, a high melt strength polypropylene, and optionally a clarifier, wherein • the random propylene copolymer comprises units derived from propylene and at least another C2 to C20 α-olefin. • the high melt strength polypropylene has a branching index g′ of less than 1.0. • the clarifier comprises at least one α-nucleating agent, and wherein further • the branching index g′ of the random propylene copolymer is higher than the branching index g′ of the high melt strength polypropylene, • the extrusion melt blown film and/or the polypropylene composition, (i) fulfill(s) the equation (I) Tm−Tc≦30 wherein Tm is the melting temperature [° C.] making up more than 50% of the total melting enthalpy Hm of the extrusion melt blown film or of the polypropylene composition measured by DSC according to ISO 11357-3; Tc is the crystallization temperature [° C.] of the extrusion melt blown film or of the polypropylene composition measured by DSC according to ISO 11357-3; and/or (ii) has (have) a melt flow rate MFR2 (230° C.) measured according to ISO 1133 of 1.0 to 5.5 g/10 min.
US08871815B2 Therapeutic compounds
A (−)-stereoisomer of formula (I): wherein X is H or F; or a pharmaceutically acceptable salt or prodrug thereof, useful as an anesthetic.
US08871814B2 Grease-like gel for repelling insects and preventing undesirable behavior in hoofed animals
Grease-like compositions are provided for repelling insects and preventing undesirable behavior in hoofed animals. The compositions utilize nontoxic mineral, synthetic, or vegetable oil based gels containing silica, clay, urea, polytetrafluoroethylene, or metallic soap thickeners and capsaicin.
US08871813B2 Aqueous liquid preparation containing 2-amino-3-(4-bromobenzoyl)phenylacetic acid
An aqueous liquid preparation of the present invention containing 2-amino-3-(4-bromobenzoyl)phenylacetic acid or its pharmacologically acceptable salt or a hydrate thereof, an alkyl aryl polyether alcohol type polymer such as tyloxapol, or a polyethylene glycol fatty acid ester such as polyethylene glycol monostearate is stable. Since even in the case where a preservative is incorporated into said aqueous liquid preparation, the preservative exhibits a sufficient preservative effect for a long time, said aqueous liquid preparation in the form of an eye drop is useful for the treatment of blepharitis, conjunctivitis, scleritis, and postoperative inflammation. Also, the aqueous liquid preparation of the present invention in the form of a nasal drop is useful for the treatment of allergic rhinitis and inflammatory rhinitis (e.g. chronic rhinitis, hypertrophic rhinitis, nasal polyp, etc.).
US08871811B2 Permeation enhancers for topical formulations
A composition to be used as a permeation enhancer is provided. The composition may be added to topical cosmetics or pharmaceutical formulations that are topically applied. The composition comprises about 0.05-5% w/w of one or more phospholipids, 1-20% w/w of one or more oils having essential fatty acids, behenic acid, and oleic acid, 0.1-3% w/w of one or more skin lipids, and 1-10% w/w of a butter having linoleic acid and linolenic acid. One of the oils used in the composition is Pracaxi oil.
US08871805B2 Compound, composition and uses thereof
The disclosure herein provides the compounds of Formulas 1 and its pharmaceutical acceptable salts, as well as polymorphs, solvates, and hydrates thereof. These salts may be formulated as pharmaceutical compositions. The pharmaceutical compositions may be formulated for oral administration, transdermal administration, and/or injection. Such compositions may be used for the treatment of metabolic conditions, cystinosis, non-alcoholic Steatohepatitis, hypertriglyceridemia, and/or neurodegenerative disorders, and/or their associated complications.
US08871798B2 Tricyclic pyridyl-vinyl pyrroles as PAR1 inhibitors
This disclosure relates to compounds of formula I: wherein R1, R2, R3, R4, X and Y have the meanings denoted in the disclosure. The compounds of formula I have antithrombotic activity and in particular inhibit the protease-activated receptor 1 (PAR1). The disclosure further relates to a method for producing the compound of formula I and to the use thereof as a pharmaceutical product.
US08871795B2 Pharmaceutical oral telmisartan solution
A pharmaceutical solution, preferably a drinkable pharmaceutical solution of the active substance telmisartan is described, having a pH above 10 and additionally containing one or more sugar alcohols added to improve the flavour and shelf life, wherein the sugar alcohols or the pharmaceutical solution have a maximum content of 1000 ppm of reducing sugars.
US08871785B2 Antiviral phosphonate analogs
The invention is related to phosphorus substituted compounds with antiviral activity, compositions containing such compounds, and therapeutic methods that include the administration of such compounds, as well as to processes and intermediates useful for preparing such compounds.
US08871781B2 Methods and compositions for treating a subject for a lymphatic malformation
Methods of treating lymphatic malformations using a phosphodiesterase type 5 inhibitor are provided. Pharmaceutical compositions and kits comprising phosphodiesterase type 5 inhibitors are also provided.
US08871780B2 Phenylethanoic acid, phenylpropanoic acid and phenylpropenoic acid conjugates and prodrugs of hydrocodone, method of making and use thereof
The presently described technology provides phenylethanoic acid, phenylpropanoic acid, phenylpropenoic acid, a salt thereof, a derivative thereof or a combination thereof chemically conjugated to hydrocodone (morphinan-6-one, 4,5-alpha-epoxy-3-methoxy-17-methyl) to form novel prodrugs or compositions of hydrocodone which have a decreased potential for abuse of hydrocodone. The present technology also provides methods of treating patients, pharmaceutical kits and methods of synthesizing conjugates of the present technology.
US08871778B2 Substituted pyrimidine compounds and their use as SYK inhibitors
Compounds of Formula (I) and methods for inhibiting kinases, including spleen tyrosine kinases, are disclosed. Also disclosed are methods for treating a kinase-mediated disease or condition by administering to a subject a therapeutically effective amount of the compound of Formula (I).
US08871777B2 Phenylpyrimidone compounds, the pharmaceutical compositions, preparation methods and uses thereof
The present invention relates to a class of phenylpyrimidone compounds, the pharmaceutical composition, the preparation method and the use thereof. More specifically, the present invention relates to a type of phenylpyrimidone compounds of the following formula I, the pharmaceutically acceptable salts or solvates thereof and to the pharmaceutical composition as well as the preparation method of the compounds. The compounds of formula I according to the present invention can effectively inhibit type V phosphodiesterase (PDE5), and thus can be used for the treatment of various vascular disorders, such as male erectile dysfunction, pulmonary hypertension and the like.
US08871769B2 Ureas and their use in the treatment of heart failure
Certain substituted urea derivatives selectively modulate the cardiac sarcomere, for example by potentiating cardiac myosin, and are useful in the treatment of systolic heart failure including congestive heart failure.
US08871764B2 Lisofylline analogs and methods for use
Analogs of a Lisofylline (LSF), and synthetic methods for the preparation of such analogs are provided. The analogs of LSF provided have the ability to protect cell viability, particularly the ability to protect pancreatic β-cells.
US08871763B2 Use and application of a pharmaceutical composition containing a mixture of natural-origin heterocyclical guanidine, for cosmetology, wound healing, focal dystonia and muscular spasm- related clinical pathologies
Pharmaceutical compositions comprising tricyclic 3,4-propinoperhydropurines and uses thereof for the treatment of facial wrinkling are provided.
US08871762B2 Plant disease controlling composition and method for controlling plant disease
The present invention provides a composition having an excellent controlling activity on plant disease. The composition comprising the compound represented by the formula (1) and one or more carboxamide fungicidal compound selected from the group (A) shows an excellent controlling activity on a plant disease. Group (A): a group consisting of thifluzamide, oxadixyl, pencycuron, benalaxyl-M, fenhexamid, furametpyr, isopyrazam, isotianil, mepronil, tecloftalam, boscalid, fluopyram, fluopicolide, carpropamid, diclocymet, mandipropamid, dimethomorph, flumorph, penthiopyrad, and bixafen.
US08871761B2 NK-3 receptor selective antagonist compounds, pharmaceutical composition and methods for use in NK-3 receptors mediated disorders
The present invention is directed to novel compounds of formula I and their use as therapeutic compounds.
US08871759B2 Inhibitors of hepatitis C virus replication
The present invention relates to compounds of formula (I) that are useful as hepatitis C virus (HCV) NS5A inhibitors, the synthesis of such compounds, and the use of such compounds for inhibiting HCV NS5A activity, for treating or preventing HCV infections and for inhibiting HCV viral replication and/or viral production in a cell-based system.
US08871754B2 Compounds and compositions for the treatment of parasitic diseases
The present invention provides compounds of formula I: or a pharmaceutically acceptable salt, tautomer, or stereoisomer, thereof, wherein the variables are as defined herein. The present invention further provides pharmaceutical compositions comprising such compounds and methods of using such compounds for treating, preventing, inhibiting, ameliorating, or eradicating the pathology and/or symptomology of a disease caused by a Plasmodium parasite, such as malaria.
US08871750B2 Use of aromatase inhibitors for endometrial thinning in preparation for surgical procedures on the endometrial cavity and uterus
Aromatase inhibitors are administered to a female patient prior to endometrial ablation or resection procedures in order to thin the endometrium to a thickness of less than 6 mm, and preferably less than 4 mm, so as to enhance the treatment outcome. Of course, administration of aromatase inhibitors would be useful for other surgical procedures on the endometrial cavity and the uterus. Commercially available aromatase inhibitors, including, the nonsteroidal preparations, anastrozole and letrozole, and a steroidal agent, exemestane, are well-tolerated, and have been shown to decrease serum estrogen levels. The aromatase inhibitor can be used alone, or in combination with other aromatase inhibitors or pharmaceutical agents, such as hormones.
US08871747B2 Urea and thiourea derivatives
The present application discloses compounds of formula (I) wherein X is ═O, ═S, ═NH, ═NOH and ═NO-Me; A is —C(═O)—, —S(═O)2—, —C(═S)— and P(═O)(R5)—; B is, —O—, —(CH2)3-6—, and O—(CH2)2-5—; D is, —O—, —CR7R8— and —NR9; m is 0-12, n is 0-12, m+n is 1-20; p is 0-4; R1 is opt. sub. heteroaryl; and pharmaceutically acceptable salts thereof, and prodrugs thereof. The application also discloses the compound for use as a medicament for the treatment of a disease or a condition caused by an elevated level of nicotinamide phosphoribosyltransferase (NAMPRT), e.g. inflammatory and tissue repair disorders; dermatosis; autoimmune diseases, Alzheimer's disease, stroke, athersclerosis, restenosis, diabetes, glomerulonephritis, cancer, cachexia, inflammation associated with infection and certain viral infections, including Acquired Immune Deficiency Syndrome (AIDS), adult respiratory distress syndrome, ataxia telengiectasia.
US08871746B2 Antiviral drugs for treatment of arenavirus infection
Methods, compounds, and pharmaceutical compositions for treating arenavirus infections are disclosed. Methods for preparing the compounds and pharmaceutical compositions are also disclosed. The novel compounds and compositions are used for treatment of arenavirus infections, which include but are not limited to the following viruses: Junin, Machupo, Guanarito, Sabia, Lassa, Tacaribe, Pichinde, and LCMV.
US08871731B2 Micro-RNA for the regulation of cardiac apoptosis and contractile function
The present invention relates to treating or preventing age-related cardiomyopathy by modulating the expression or activity of a miR-34 family member and/or PNUTS. Methods of treating or preventing age-related cardiomyopathy include administering an inhibitor of miR-34 expression or activity or an agonist of PNUTS expression or activity. Also provided herein are methods of treating or preventing cardiac fibrosis and myocardial infarction by administering an inhibitor of miR-34 expression or activity or an agonist of PNUTS expression or activity.
US08871730B2 Chemical modification of short small hairpin RNAs for inhibition of gene expression
Aspects of the present invention include the production and use of chemically modified RNAi agents (e.g., shRNAs) in gene silencing applications. The chemically modified RNAi agents disclosed herein have reduced immunostimulatory activity, increased serum stability, or both, as compared to a corresponding RNAi agent not having the chemical modification. Compositions containing chemically modified RNAi agents according to aspects of the present invention (including pharmaceutical compositions) and kits containing the same are also provided.
US08871725B2 Pharmaceutical combination for the treatment and/or chemosensibilization of refractory tumors to anticancer drugs
This invention is related to a pharmaceutical combination that contains a Casein kinase 2 (CK2) peptide inhibitor (termed P15) along with the standard chemotherapeutic drugs used in cancer treatment and which are administered together, separated or sequentially. The chemotherapeutic drugs include cisplatin, taxol, alkaloids from Vinca, 5-fluorouracil, doxorubicin, cyclophosphamide, etoposide, mitomicin C, imatinib, iressa and velcade (vortezomib). The synergism between the P15 peptide and the anticancer drugs achieves an efficient concentration of each cytostatic drug in the combination which is from 10- to 100-fold lower than that for each cytostatic drug alone. The pharmaceutical combination described in this invention exhibits lower toxicity compared to that reported by the anticancer therapeutics and therefore, it represents a crucial advantage for its use in cancer therapy. Furthermore, the sequential administration of this pharmaceutical combination through the pretreatment with the P15 peptide leads to the chemo sensibilization of refractory tumors to the anticancer therapeutics.
US08871722B2 Perhydrolase epitopes
The present invention provides perhydrolase enzyme CD4+ T-cell epitopes, as well as variants that exhibit reduced immunogenic responses, as compared to the parental perhydrolase. The present invention further provides DNA molecules that encode perhydrolase variants, and host cells comprising DNA encoding perhydrolase variants, as well as methods for making perhydrolase enzymes less immunogenic. In addition, the present invention provides various compositions that comprise perhydrolase variants that are less immunogenic than the wild-type perhydrolase. In some specific embodiments, the present invention provides perhydrolase variants with reduced immunogenicity identified and/or characterized using the methods of the present invention. These enzymes find use in cleaning and other applications. In some preferred embodiments, the present invention finds particular use in applications involving cleaning, bleaching and disinfecting.
US08871720B2 Monomethylvaline compounds having phenylalanine carboxy modifications at the C-terminus
Auristatin peptide analogs of MeVal-Val-Dil-Dap-Phe (MMAF) having a carboxylic acid equivalent at the C-terminal phenylalanine were prepared and attached to ligands through various linkers, including maleimidocaproyl-val-cit-PAB. The resulting ligand drug conjugates were active in vitro and in vivo.
US08871718B2 Transglutaminase inhibitors and methods of use thereof
Transglutaminase inhibitors and methods of use thereof are provided.
US08871717B2 Personal care compositions
Personal care compositions comprising a dipeptide and methods of using such compositions to treat the condition of keratinous tissue. The C terminal amino acid of said dipeptide is threonine. The personal care composition can be applied topically, ingested orally, injected, or used as part of a combined treatment regimen.
US08871716B2 Use of antimicrobial peptides in regeneration of skin cells
Disclosed are novel antimicrobial peptides which can promote the regeneration of skin cells, thus healing wounds. Pharmaceutical compositions comprising the peptides as active ingredients are also provided for wound healing and skin rejuvenation. The antimicrobial peptides exhibit inhibitory activity against antibiotic-resistant strains, and their antimicrobial activity is maintained without loss of structural stability even under a high salt condition. Also, being proven to promote the migration and regeneration of skin cells in mice as well as in vitro, the antimicrobial peptides may be widely used as an agent for regenerating skin cells. Further, they can find applications in various fields including the medical industry and the cosmetic industry. Hence, the novel antimicrobial peptides are anticipated to have considerable repercussions in the market for antibiotics, wound healing agents and cosmetics.
US08871713B2 Formulations of growth hormone releasing factor (GRF) molecules with improved stability
Stabilized solid and liquid pharmaceutical formulations comprising a GRF molecule as active ingredient, such as GRF analogs including those comprising an N-terminal-attached hydrophobic moiety, such as [trans-3-hexenoyl]hGHRH (1-44) amide, are disclosed. The formulation comprises a GRF molecule or a pharmaceutically acceptable salt thereof and a β-cyclodextrin which is not conjugated to the GRF molecule or salt thereof. Also disclosed is the use of the formulation for the treatment of various conditions, methods of preparing the formulation, as well as kits containing it. Methods of stabilizing (e.g., with respect to chemical stability) such GRF molecules, as well as methods of inhibiting their deamidation at Asn8, are also disclosed.
US08871710B2 Method for inducing tissue growth, differentiation and/or regeneration by delivering a GDF-5 related precursor protein
This invention relates to the production and use of pharmaceutical growth factor compositions with novel characteristics, e.g. improved solubility and controlled release characteristics under physiological conditions. The compositions of one or more precursor proteins of growth factors of the GDF family provoke morphogenic effects such as growth, differentiation, protection and regeneration of a variety of tissues and organs, e.g. bone, cartilage, tendons, ligaments, nerves and skin. The compositions can be advantageously used for the healing of tissue-destructive injuries and for the prevention or therapy of degenerative disorders.
US08871708B2 Compositions and methods for treating AIDS or cancer by inhibiting the secretion of microparticles
Novel peptides that inhibit the release of microparticles from cells are disclosed. The peptide contains at least one VGFPV motif at the N-terminal and has a length of 10-100 amino acids. Also disclosed is polynucleotide encoding the peptide, expression vectors carrying the polynucleotide, and methods for treating AIDS and tumors using the novel peptides.
US08871707B2 Elastin producing fibroblast formulations and methods of using the same
The present invention describes therapeutic compositions comprising fibroblasts that have been stimulated to increase expression of extracellular matrix components or elastin, or to produce enhanced elastogenesis or the appearance thereof at a site of administration. The therapeutic fibroblast formulations can be prepared using a variety of elastogenic agents, including digests of mammalian elastin, chemically digested plant extracts comprising elastin-like peptides, and synthetic elastogenic peptides. The invention further comprises cosmetic and pharmaceutical treatment methods using the therapeutic fibroblast compositions of the invention.
US08871705B2 Long-lasting fragrance delivery system
Long-lasting fragrance delivery systems and uses of the systems to provide fragrance-emitting articles with a long-lasting fragrance are disclosed herein. The long-lasting fragrance delivery systems include an emulsion of silicone-based polyurethane, fragrance, and a carrier.
US08871703B2 Polyester concentrates having high stability in solution and having a greying-inhibiting effect
The invention relates to an aqueous polyester concentrate having a proportion by weight of polyesters of 12 to 60%, wherein the polyesters are obtainable by means of polymerization of the components selected from a) one or more sulfo group-free aromatic dicarboxylic acids and/or salts thereof and/or anhydrides thereof and/or esters thereof, b) optionally one or more sulfo group-containing dicarboxylic acids, salts thereof and/or anhydrides thereof and/or esters thereof, c) 1,2-propylene glycol, d) ethylene glycol, e) one or more compounds of the formula (1) R1O(CHR2CHR3O)nH (1), where R1 is a linear or branched, saturated or unsaturated alkyl group having from 1 to 22 C atoms, R2 and R3, independently of one another, are hydrogen or an alkyl group having from 1 to 4 carbon atoms, and n is an integer of from 1 to 50, f) optionally one or more compounds of the formula (2) H—(OCH2CH2)m—SO3X (2), where m is an integer of from 1 to 10 and X is hydrogen or an alkali metal ion, and g) optionally one or more cross-linking polyfunctional compounds, provided that at least one of the components b) or f) is present, and provided further that the molar ratio of the components c) 1,2-propylene glycol to d) ethylene glycol is greater than or equal to 1.60.
US08871698B2 Cleaning compositions and methods for reducing burnt-on food and oil residues
Disclosed herein are compositions comprising a solubilizing agent for the removal of burnt-on, cooked-on, baked-on, dried-on and charred organic food and oil residues from surfaces comprising alcohol, a coupling agent, water, an anti-deposition agent, a pH buffer and a surfactant system that preferably includes a fermentation supernatant, where the supernatant contains essentially stress proteins. Further enclosed are methods of cleaning for ovens, industrial cooking equipment and the like.
US08871695B2 In situ microemulsions used as spacer fluids
Nanoemulsions, miniemulsions, microemulsion systems with excess oil or water or both (Winsor III) or single phase microemulsions (Winsor IV) may be formed in situ during hydrocarbon recovery operations after drilling with OBM or SBM using one or more fluid pills. The nanoemulsions, miniemulsions, microemulsion systems with excess oil or water or both or single phase microemulsions remove oil and solids from the well and wellbore surfaces. In one non-limiting embodiment, a single phase microemulsion (SPME) or other in situ-formed fluid may be created from a polar phase, a nonpolar phase, at least one viscosifier, and at least one surfactant.
US08871691B2 Methods of treating flowback water
Methods of treating flowback water from a subterranean formation penetrated by a well bore are provided, comprising: (a) providing remediated flowback water having a ferrous iron (Fe+2) ion concentration of less than about 100 milligrams of ferrous iron ion per liter of flowback water, a barium ion (Ba+2) concentration of less than about 500 milligrams of barium ion per liter of flowback water, and a calcium ion (Ca+) concentration of at least about 1,000 milligrams of calcium ion per liter of remediated flowback water; and (b) treating the flowback water with: (i) at least one friction reducing agent; and (ii) at least one scale formation inhibiting agent and/or at least one iron precipitation control agent to provide treated flowback water which can be reused as fracturing fluid in a well drilling operation.
US08871689B2 Drilling fluid additive and methods of stabilizing kaolinite fines migration
A method of drilling is disclosed and includes pumping a wellbore fluid into a wellbore through an earth formation, wherein the wellbore fluid comprises a base fluid and a surface active agent capable of altering wettability of fines located in the earth formation, and allowing filtration of at least a portion of the wellbore fluid into the earth formation.
US08871687B2 Nucleic acid sequencing by single-base primer extension
The present invention pertains to a method for determining a sequence of contiguous bases within a polynucleotide, the method relying on single-base primer extension using labeled dideoxynucleotide terminators. The primers are immobilized to solid supports (e.g. microspheres or two-dimensional arrays), allowing for the identification of the labeled terminator incorporated into each primer.
US08871684B2 Iron-based superconducting wire and method for producing the same
Disclosed is an iron-based superconducting wire comprising a tubular material formed mainly from iron, and an iron-based superconductor formed in the tubular material, wherein the iron-based superconductor contains the iron forming the tubular material as a chemical constituent element of the iron-based superconductor.
US08871680B2 Herbicidal compositions comprising 4-amino-3-chloro-5-fluoro-6-(4-chloro-2-fluoro-3-methoxyphenyl) pyridine-2-carboxylic acid or a derivative thereof and a di-methoxy-pyrimidine and derivatives thereof
A synergistic herbicidal composition containing (a) a compound of formula (I): or an agriculturally acceptable salt or ester thereof and (b) dimethoxy-pyrimidine acids, including but not limited to bispyribac-sodium, pyribenzoxim, pyriftalid, pyriminobac-methyl and pyrimisulfan provide synergistic weed control of undesirable vegetation e.g., in direct-seeded, water-seeded, and transplanted rice, cereals, wheat, barley, oats, rye, sorghum, corn or maize, sugarcane, sunflower, oilseed rape, canola, sugar beet, soybean, cotton, pineapple, vegetables, pastures, grasslands, rangelands, fallowland, turf, tree and vine orchards, aquatics, plantation crops, industrial vegetation management (IVM) or rights of way (ROW).
US08871678B2 Thermosensitive recording medium
A thermosensitive recording medium having excellent image quality and surface strength is provided. The thermosensitive recording medium having excellent image quality and surface strength is obtained by containing a saturated fatty acid amide in the substrate to lower the density of the substrate. The thermosensitive recording medium of the present invention has an adequate general printability and problems such as print through are avoided. Furthermore, the thermosensitive recording medium of the present invention can assure adequate image quality and general printability even when an undercoat layer is not installed.
US08871677B2 Multi-lobed porous ceramic body and process for making the same
A carrier having at least three lobes, a first end, a second end, a wall between the ends and a non-uniform radius of transition at the intersection of an end and the wall is disclosed. A catalyst comprising the carrier, silver and promoters deposited on the carrier and useful for the epoxidation of olefins is also disclosed. A method for making the carrier, a method for making the catalyst and a process for epoxidation of an olefin with the catalyst are also disclosed.
US08871675B2 Method of forming an agent and its use in desulphurisation
A method of forming an agent for removing or separating a species from a diluent or process stream, e.g. a desulphurization agent. The method comprises mixing at least one compound of manganese and pore forming articles.
US08871668B2 Immobilized lewis acid catalysts coated with ionic liquids and use thereof
The invention provides a novel immobilized Lewis acid catalyst which exhibits high catalytic activity in an aqueous solution and which permits recovery and reuse or long-term continuous use. The invention relates to an immobilized Lewis acid catalyst comprising a solid substance and a Lewis acid supported on the surface of the solid substance by chemical bonding, wherein the surface of the solid substance and the peripheries of the Lewis acid are coated with an ionic liquid, more specifically, an immobilized Lewis acid catalyst comprising a solid substance such as silica gel or an organic polymer and a Lewis acid stable even in water which is supported on the surface of the solid substance by chemical bonding, wherein the surface of the solid substance and the peripheries of the Lewis acid are completely or partially coated with a hydrophobic ionic liquid; a process for the production of the catalyst; use thereof; and a process for the preparation of compounds with the catalyst.
US08871667B2 Zeolite structure and manufacturing method thereof
The zeolite structure includes a zeolite material containing a plurality of zeolite particles and an inorganic binding material which binds the zeolite particles to one another, the plurality of zeolite particles include fine zeolite particles having a small average particle diameter and coarse zeolite particles which have an average particle diameter of three or more times the average particle diameter of the fine zeolite particles and which are not an agglomerated material of primary particles, a ratio of volumes of the coarse zeolite particles with respect to the whole volume of the plurality of zeolite particles is from 40 to 90 vol %, in the zeolite material, a ratio of a volume of the inorganic binding material is from 5 to 50 vol %, and a zeolite raw material containing the plurality of zeolite particles and the inorganic binding material is extruded to form a zeolite structure.
US08871665B2 Method of regenerating NOx removal catalyst and method of operating heavy-oil fired combustion apparatus
The method includes a pretreatment step during an operation of a boiler in which in a predetermined period of time before shutdown of the boiler, a part of combustion gas that has bypassed an economizer provided in a flue gas duct for flue gas from the boiler is supplied to an upstream of a NOx removal device having a NOx removal catalyst and mixed with the combustion flue gas from the economizer to generate mixed gas having a predetermined temperature equal to or higher than 360° C. (360° C. to 450° C.), the mixed gas is introduced into the NOx removal catalyst, thereby decomposing VOSO4 adhering to and accumulating on the NOx removal catalyst into V2O5.
US08871659B2 Ground covering
A temporary ground covering for displacement on sandy, muddy or boggy ground. The covering includes a woven structure formed of warp and weft. The weave is such that each warp thread interlaces with the weft thread, following approximately half the intersections of the rows and columns of the weave. The warp thread is left in the remaining intersections for each warp thread to obtain at least one simple tight weave area followed by an area of floats. The alternation of the different areas causes contractions of the weft thread creating a significant relief of the obtained fabric. The covering includes flat threads over at least one part of the width of the woven structure on at least one of the surfaces. Each thread is taken, steadily or not, by weft threads placed at the end of the projections of the woven surface structure.
US08871658B2 Rigid ballistic composites made from poly-para-phenylene terephthalamide fibers having large denier per filament
According to some embodiments, there is provided a ballistic-resistant composite including a plurality of large denier per filament (dpf) yarns. The large dpf yarns may have a “Composite-Armor dpf factor” (CA•dpf) of greater than or equal to 6.9.
US08871655B2 Method of forming silicon oxycarbonitride film
The method of forming a silicon oxycarbonitride film on a base includes stacking a silicon carbonitride film and a silicon oxynitride film on the base to form the silicon oxycarbonitride film.
US08871653B2 Etching agent, etching method and liquid for preparing etching agent
An etching agent for a semiconductor substrate, which is capable of etching a titanium (Ti)-based metal film on a semiconductor substrate and an etching method using the etching agent, and relates to a liquid for preparing the etching agent for a semiconductor substrate composed of a solution comprising (A) hydrogen peroxide, (B) a phosphonic acid chelating agent having a hydroxyl group, (C) a basic compound, and (D-1) a copper anticorrosive. An etching method for etching a titanium (Ti)-based metal film on a semiconductor substrate using the etching agent. A solution comprising (B) a phosphonic acid chelating agent having a hydroxyl group, (C) a basic compound, and (D-1) a copper anticorrosive.
US08871652B2 Method of manufacturing a semiconductor template
A method for manufacturing a semiconductor template balanced between strains and defects is provided, the method including steps of: preparing a substrate, dividing the substrate into a plurality of first patterned zones and a plurality of second patterned zones, the second patterned zones applied to separate the first patterned zones; selecting a semiconductor with an ideal lattice of a semiconductor buffer layer to be deposited on the substrate; etching a plurality of first microstructures in the first patterned zones according to the semiconductor with the ideal lattice, the first microstructures and the semiconductor with the ideal lattice following a lattice-structure matching relationship, discovered by strain-traction experiments, making the substrate a multi-patterned substrate; and depositing the semiconductor buffer layer having the semiconductor with the ideal lattice on the multi-patterned substrate to manufacture a semiconductor template which is balanced between strains and defects.
US08871650B2 Post etch treatment (PET) of a low-K dielectric film
Post etch treatments (PETs) of low-k dielectric films are described. For example, a method of patterning a low-k dielectric film includes etching a low-k dielectric layer disposed above a substrate with a first plasma process. The etching involves forming a fluorocarbon polymer on the low-k dielectric layer. The low-k dielectric layer is surface-conditioned with a second plasma process. The surface-conditioning removes the fluorocarbon polymer and forms an Si—O-containing protecting layer on the low-k dielectric layer. The Si—O-containing protecting layer is removed with a third plasma process.
US08871648B2 Method for forming high density patterns
In one or more embodiments, a method is provided for forming an integrated circuit with a pattern of isolated features having a final density of isolated features that is greater than a starting density of isolated features in an integrated circuit by a multiple of two or more. The method can include forming a pattern of pillars having a density X, and forming a pattern of holes amongst the pillars, the holes having a density at least X. The pillars can be selectively removed to form a pattern of holes having a density at least 2X. In some embodiments, plugs can be formed in the pattern of holes, such as by epitaxial deposition on the substrate, in order to provide a pattern of pillars having a density 2X. In other embodiments, the pattern of holes can be transferred to the substrate by etching.
US08871641B2 Low resistance through-wafer via
The present invention provides a wafer (3) comprising a through-wafer via (7) through the wafer (3) formed by a through-wafer via hole (9) and at least a first conductive coating (25). A substantially vertical sidewall (11) of the through-wafer via hole (9) except for a constriction (23) provides a reliable through-wafer via (7) occupying a small area on the wafer. The wafer (3) is preferably made of a semiconductor material, such as silicon, or a glass ceramic. A method for manufacturing such a wafer (3) is described.
US08871638B2 Semiconductor device and method for fabricating the same
A method for fabricating a semiconductor device includes forming a first interlayer dielectric layer having a conductive contact, forming a sacrifice layer having a conductive interconnection over the first interlayer dielectric layer such that the conductive interconnection is contacted with the conductive contact, removing the sacrifice layer, and forming a recess by removing a part of the conductive contact exposed by the conductive interconnection.
US08871637B2 Semiconductor structure with insulated through silicon via
Techniques described herein generally relate to laminated semiconductor structures. In some examples, method of forming a polyimide film are described. An example method may include forming a through hole in a laminated semiconductor structure that includes multiple stacked semiconductor layers. An inner wall of the laminated semiconductor structure can define the through hole. The inner wall can be exposed to a solution including a salt of polyamic acid and/or a polyamic acid that can be precipitated on the inner wall. The precipitated polyamic acid on the inner wall can be transformed into a polyimide film substantially coating the inner wall.
US08871635B2 Integrated circuits and processes for forming integrated circuits having an embedded electrical interconnect within a substrate
Integrated circuits and processes for forming integrated circuits are provided. An exemplary process for forming an integrated circuit includes providing a substrate including an oxide layer and a protecting layer disposed over the oxide layer. A recess is etched through the protecting layer and at least partially into the oxide layer. A barrier material is deposited in the recess to form a barrier layer over the oxide layer and protecting layer in the recess. Electrically-conductive material is deposited over the barrier layer in the recess to form the embedded electrical interconnect. The embedded electrical interconnect and barrier layer are recessed to an interconnect recess depth and a barrier recess depth, respectively, within the substrate. At least a portion of the protecting layer remains over the oxide layer after recessing the barrier layer and is removed after recessing the barrier layer.
US08871634B2 Chip package incorporating interfacial adhesion through conductor sputtering
This disclosure relates generally to an electronic device and method having can include a method of making a chip package. An insulator layer comprising an insulator material, the insulator layer positioned with respect to a first conductive line, forming a second conductive line with respect to the insulator layer, wherein the insulator layer is positioned between the first conductive line and the second conductive line, forming a opening in the insulator layer between the first conductive line and the second conductive line, at least some of the insulator material within the opening being exposed, and chemically bonding a conductor to the at least some of the insulator material within the opening, wherein the conductor electrically couples the first conductive line to the second conductive line.
US08871630B2 Manufacturing electronic device having contact elements with a specified cross section
An electronic device and manufacturing thereof. One embodiment provides a carrier and multiple contact elements. The carrier defines a first plane. A power semiconductor chip is attached to the carrier. A body is formed of an electrically insulating material covering the power semiconductor chip. The body defines a second plane parallel to the first plane and side faces extends from the first plane to the second plane. At least one of the multiple contact elements has a cross section in a direction orthogonal to the first plane that is longer than 60% of the distance between the first plane and the second plane.
US08871628B2 Electrode structure, device comprising the same and method for forming electrode structure
An electrode structure comprises a semiconductor junction comprising an n-type semiconductor layer and a p-type semiconductor layer; a hole exnihilation layer on the p-type semiconductor layer; and a transparent electrode layer on the hole exnihilation layer. The electrode structure further comprises a conductive layer between the hole exnihilation layer and the transparent electrode layer. In the electrode structure, one or more of the hole exnihilation layer, the conductive layer and the transparent electrode layer may be formed by an atomic layer deposition. In the electrode structure, a transparent electrode formed of a degenerated n-type oxide semiconductor does not come in direct contact with a p-type semiconductor, and thus, annihilation or recombination of holes generated in the p-type semiconductor can be reduced, which increases the carrier generation efficiency. Further, the electric conductivity of the transparent electrode is increased by the conductive layer, which improves electrical characteristics of a device.
US08871624B2 Sealed air gap for semiconductor chip
A method for forming a sealed air gap for a semiconductor chip including forming a gate over a substrate; forming a sacrificial spacer adjacent to the gate; forming a first dielectric layer about the gate and the sacrificial spacer; forming a contact to the gate; substantially removing the sacrificial spacer, wherein a space is formed between the gate and the first dielectric layer; and forming a sealed air gap in the space by depositing a second dielectric layer over the first dielectric layer.
US08871617B2 Deposition and reduction of mixed metal oxide thin films
In one aspect, methods of forming mixed metal thin films comprising at least two different metals are provided. In some embodiments, a mixed metal oxide thin film is formed by atomic layer deposition and subsequently reduced to a mixed metal thin film. Reduction may take place, for example, in a hydrogen atmosphere. The presence of two or more metals in the mixed metal oxide allows for reduction at a lower reduction temperature than the reduction temperature of the individual oxides of the metals in the mixed metal oxide film.
US08871614B2 Semiconductor die with protective layer and related method of processing a semiconductor wafer
A semiconductor die and a related method of processing a semiconductor wafer are disclosed in which a first interlayer insulator having a recess region of varying configuration and defining a scribe line is associated with at least one protective layer formed with a characterizing inclined side surface.
US08871613B2 Semiconductor die singulation method
In one embodiment, semiconductor die are singulated from a semiconductor wafer by forming trenches along singulation lines and initiating a cracks from within the trenches, which propagate through the semiconductor wafer in a more controlled manner.
US08871612B2 Method for forming a cleaved facet of semiconductor device
Embodiments disclose a method including forming at least one compound semiconductor layer on a top r-face of a substrate, forming a line for cleavage on a bottom r-face of the substrate along a length of a guide line, wherein the guide line extends in a (11-22)-plane direction of the substrate, wherein the guide line extends from one portion of an edge to another portion of the edge, and wherein the edge is disposed between the top r-face and the bottom r-face of the substrate, and applying a force to the bottom r-face of the substrate to cleave the substrate along the line for cleavage in the (11-22)-plane direction and to form a cleaved facet along a c-plane of the at least one compound semiconductor.
US08871610B2 Method for manufacturing SOI substrate
To increase adhesion between a single crystal semiconductor layer and a base substrate and to reduce bonding defects therebetween. To perform radical treatment on a surface of a semiconductor substrate to form a first insulating film on the semiconductor substrate; irradiate the semiconductor substrate with accelerated ions through the first insulating film to form an embrittlement region in the semiconductor substrate; form a second insulating film on the first insulating film; perform heat treatment after bonding a surface of the second insulating film and a surface of the base substrate to perform separation along the embrittlement region so that a semiconductor layer is formed over the base substrate with the first and second insulating films interposed therebetween; etch the semiconductor layer; and irradiate the semiconductor layer on which the etching is performed with a laser beam.
US08871608B2 Method for fabricating backside-illuminated sensors
A method for fabricating a backside-illuminated sensor includes providing a thin film semiconductor lamina having a first conductivity, and forming a doped region having a second conductivity within the lamina and at a front surface of the lamina. The lamina may be provided as a free-standing lamina, or may be provided as a semiconductor donor body from which the lamina is cleaved. An electrical connection is formed to the doped region. A temporary carrier is contacted to the back surface of the semiconductor and later removed. A backside-illuminated sensor is fabricated from the semiconductor lamina, in which the thickness of the semiconductor lamina remains substantially unchanged during the fabrication process.
US08871607B2 Method for producing hybrid components
A method for producing a hybrid substrate, including a support substrate, a continuous buried insulator layer and, on this continuous layer, a hybrid layer including alternating zones of a first material and at least one second material, wherein these two materials are different by their nature and/or their crystallographic characteristics. The method forms a hybrid layer, including alternating zones of first and second materials, on a homogeneous substrate, assembles this hybrid layer, the continuous insulator layer and the support substrate, and eliminates a part at least of the homogeneous substrate, before or after the assembling.
US08871606B2 Microelectromechanical device packaging with an anchored cap and its manufacture
Integrated circuit (1) comprising a substrate (2), an active component (13) above the substrate (2), a cavity (14) surrounding partially the active component (13), a low dielectric region (15) surrounding partially the cavity (14) and a protective barrier (16) arranged around the low dielectric region (15).
US08871604B2 Methods of manufacturing semiconductor devices that include forming a capacitor using a cap layer
Semiconductor devices and methods of manufacture thereof are disclosed. In one embodiment, a method of manufacturing a semiconductor device includes forming a capacitor within a trench in a workpiece, the capacitor comprising a bottom electrode, a dielectric layer disposed over the bottom electrode, and a top electrode disposed over the dielectric layer. A cap layer is formed over the capacitor. Forming the capacitor and forming the cap layer comprise optimizing at least one of: a width of the trench, a thickness of the bottom electrode, a thickness of the dielectric layer, a thickness of the top electrode, and a thickness of the cap layer, so that the cap layer completely covers the top electrode.
US08871602B2 Method for manufacturing molecular memory device
According to one embodiment, a method for manufacturing a molecular memory device includes: forming a first wiring layer including a plurality of first wirings extending in a first direction; forming a sacrificial film on the first wiring layer; forming a plurality of core members on the first wiring layer, the core member extending in a second direction crossing the first direction and being formed from an insulating material different from the sacrificial film; forming a second wiring on a side surface of the core member; removing a portion of the sacrificial film located immediately below the second wiring; embedding a polymer; and embedding an insulating. The embedding a polymer includes embedding a polymer serving as a memory material between the first wiring and the second wiring. The embedding an insulating member includes embedding an insulating member in a space between the second wirings between the core members.
US08871599B2 Method of manufacturing IC comprising a bipolar transistor and IC
Disclosed is an integrated circuit and a method of manufacturing an integrated circuit comprising a bipolar transistor, the method comprising providing a substrate comprising a pair of isolation regions separated by an active region comprising a collector; forming a base layer stack over said substrate; forming a migration layer having a first migration temperature and an etch stop layer; forming a base contact layer having a second migration temperature; etching an emitter window in the base contact layer, thereby forming cavities extending from the emitter window; and exposing the resultant structure to the first migration temperature in a hydrogen atmosphere, thereby filling the cavities with the migration layer material.
US08871598B1 Non-volatile memory (NVM) and high-k and metal gate integration using gate-first methodology
A method of making a semiconductor device includes forming a split gate memory gate structure on a memory region of a substrate, and protecting the split gate memory gate structure by depositing protective layers over the memory region including the memory gate structure and over a logic region of the substrate. The protective layers include a material that creates a barrier to diffusion of metal. The protective layers are retained over the memory region while forming a logic gate in the logic region. The logic gate includes a high-k dielectric layer and a metal layer. A spacer material is deposited over the logic gate. Spacers are formed on the memory gate structure and the logic gate. The spacer on the logic gate is formed of the spacer material and the spacer on the memory gate structure is formed with one of the protective layers.
US08871596B2 Method of multiple patterning to form semiconductor devices
A method of forming different structures of a semiconductor device using a single mask and a hybrid photoresist. The method includes: applying a first photoresist layer on a semiconductor substrate; patterning the first photoresist layer using a photomask to form a first patterned photoresist layer; using the first patterned photoresist layer to form a first structure of a semiconductor device; removing the first patterned photoresist layer; applying a second photoresist layer on the semiconductor substrate; patterning the second photoresist layer using the photomask to form a second patterned photoresist layer; using the second patterned photoresist layer to form a second structure of a semiconductor device; removing the second patterned photoresist layer; and wherein either the first or the second photoresist layer is a hybrid photoresist layer comprising a hybrid photoresist.
US08871595B2 Integration of non-volatile charge trap memory devices and logic CMOS devices
An embodiment of a method of integrating a non-volatile memory device into a logic MOS flow is described. Generally, the method includes: forming in a first region of a substrate a channel of a memory device from a semiconducting material overlying a surface of the substrate, the channel connecting a source and a drain of the memory device; forming a charge trapping dielectric stack over the channel adjacent to a plurality of surfaces of the channel, wherein the charge trapping dielectric stack includes a blocking layer on a charge trapping layer over a tunneling layer; and forming a MOS device over a second region of the substrate.
US08871594B2 Process for manufacturing power integrated devices having surface corrugations, and power integrated device having surface corrugations
According to a process for manufacturing an integrated power device, projections and depressions are formed in a semiconductor body that extend in a first direction and are arranged alternated in succession in a second direction, transversely to the first direction. Further provided are a first conduction region and a second conduction region. The first conduction region and the second conduction region define a current flow direction parallel to the first direction, along the projections and the depressions. To form the projections and the depressions, portions of the semiconductor body that extend in the first direction and correspond to the depressions, are selectively oxidized.
US08871592B2 Method of manufacturing a semiconductor device including concave portion
A method of manufacturing a semiconductor device including a transistor. The method includes forming a channel region by implanting impurity ions of a second conductive type into an element forming region that is formed on one side of a substrate and is partitioned by an element isolation insulating film, forming a trench in said channel region formed on said one side of said substrate, covering side faces and a bottom face of said trench with a gate insulating film by forming said gate insulating film on said one side of said substrate, forming a gate electrode so as to bury an inside of said trench, patterning said gate electrode in a predetermined shape; and forming a source region and a drain region by implanting impurity ions of a first conductive type on both sides of said channel region.
US08871586B2 Methods of reducing material loss in isolation structures by introducing inert atoms into oxide hard mask layer used in growing channel semiconductor material
In one example, the method includes forming a plurality of isolation structures in a semiconducting substrate that define first and second active regions where first and second transistor devices, respectively, will be formed, forming a hard mask layer on a surface of the substrate above the first and second active regions, wherein the hard mask layer comprises at least one of carbon, fluorine, xenon or germanium ions, performing a first etching process to remove a portion of the hard mask layer and expose a surface of one of the first and second active regions, after performing the first etching process, forming a channel semiconductor material on the surface of the active region that was exposed by the first etching process, and after forming the channel semiconductor material, performing a second etching process to remove remaining portions of the hard mask layer that were not removed during the first etching process.
US08871583B2 Semiconductor device and manufacturing method thereof
A non-planar JFET device having a thin fin structure is provided. A fin is formed projecting upwardly from or through a top surface of a substrate, where the fin has a first semiconductor layer portion formed from a first semiconductor material of a first conductivity type. The first semiconductor layer portion has a source region and a drain region, a channel region extending between the source region and the drain region. Two or more channel control regions are formed adjoining the channel region for generating charge depletion zones at and extending into the channel region for thereby controlling current conduction through the channel region. A gate is provided so as to adjoin and short together the at least two channel control regions from the outer sides of the channel control regions.
US08871576B2 Silicon nanotube MOSFET
A nanotubular MOSFET device and a method of fabricating the same are used to extend device scaling roadmap while maintaining good short channel effects and providing competitive drive current. The nanotubular MOSFET device includes a concentric tubular inner and outer gate separated from each other by a tubular shaped epitaxially grown silicon layer, and a source and drain respectively separated by spacers surrounding the tubular inner and outer gates. The method of forming the nanotubular MOSFET device includes: forming on a substrate a cylindrical shaped Si layer; forming an outer gate surrounding the cylindrical Si layer and positioned between a bottom spacer and a top spacer; growing a silicon epitaxial layer on the top spacer adjacent to a portion of the cylindrical shaped Si layer; etching an inner portion of the cylindrical shaped Si forming a hollow cylinder; forming an inner spacer at the bottom of the inner cylinder; forming an inner gate by filling a portion of the hollow cylinder; forming a sidewall spacer adjacent to the inner gate; and etching a deep trench for accessing and contacting the outer gate and drain.
US08871575B2 Method of fabricating field effect transistor with fin structure
A method of fabricating a field effect transistor with a fin structure is described. At least a fin structure is formed on a substrate. A planar insulation layer covering the fin structure is formed. A trench is formed in the insulation layer and intersects the fin structure both lengthwise. The trench is disposed over portions of the fin structure, and a lengthwise direction of the trench intersects a lengthwise direction of the fin structure, and thereby an upper portion of the fin structure is exposed to the trench. The exposed upper portion of the fin structure will serve as a gate channel region. A gate structure covering the upper portion is formed within the trench. The upper portion of the fin structure may be further trimmed.
US08871573B2 Method for forming a semiconductor device
A method for forming a semiconductor device is provided. The method includes providing a wafer-stack having a main horizontal surface, an opposite surface, a buried dielectric layer, a semiconductor wafer extending from the buried dielectric layer to the main horizontal surface, and a handling wafer extending from the buried dielectric layer to the opposite surface; etching a deep vertical trench into the semiconductor wafer at least up to the buried dielectric layer, wherein the buried dielectric layer is used as an etch stop; forming a vertical transistor structure comprising forming a first doped region in the semiconductor wafer; forming a first metallization on the main horizontal surface in ohmic contact with the first doped region; removing the handling wafer to expose the buried dielectric layer; and masked etching of the buried dielectric layer to partly expose the semiconductor wafer on a back surface opposite to the main horizontal surface.
US08871571B2 Apparatus for and methods of attaching heat slugs to package tops
A frame includes heat slug pads coupled together in a N×M matrix such that singulation of the heat slug pads consists of one or more passes across the frame, wherein the one or more passes are parallel. A method of attaching heat slug pads to packages includes gathering a plurality of packages, preparing a heat slug frame including a N×M matrix of heat slug pads, dispensing thermally conductive material onto surfaces of the heat slug pads, attaching the plurality of packages onto the heat slug pads, and singulating the heat slug pads, wherein the singulating step consists of one or more parallel passes across the N×M matrix. A method of attaching heat slug foil to packages includes preparing a plurality of packages, laminating the heat slug foil to one side of the plurality of packages using thermally conductive material, and singulating the plurality of packages.
US08871569B2 Semiconductor package and method of manufacturing the same
Disclosed herein are a semiconductor package and a method of manufacturing the same, the semiconductor package including: a molding member having a cavity formed therein; a device mounted in the cavity; an insulating member formed inside the cavity and on and/or beneath the molding member and the device; a circuit layer formed on the insulating member, and including vias and connection pads electrically connected with the device; a solder resist layer formed on the circuit layer, and having openings exposing upper portions of the connection pads; and solder balls formed in the openings.
US08871564B2 Diffusion barrier layer for resistive random access memory cells
Provided are resistive random access memory (ReRAM) cells having diffusion barrier layers formed from various materials, such as beryllium oxide or titanium silicon nitrides. Resistive switching layers used in ReRAM cells often need to have at least one inert interface such that substantially no materials pass through this interface. The other (reactive) interface may be used to introduce and remove defects from the resistive switching layers causing the switching. While some electrode materials, such as platinum and doped polysilicon, may form inert interfaces, these materials are often difficult to integrate. To expand electrode material options, a diffusion barrier layer is disposed between an electrode and a resistive switching layer and forms the inert interface with the resistive switching layer. In some embodiments, tantalum nitride and titanium nitride may be used for electrodes separated by such diffusion barrier layers.
US08871562B2 Organic thin film transistor array substrate and method for manufacturing the same, and display device
According to the present invention, there is provided an organic thin film transistor array substrate and a method for manufacturing the same and a display device. The method for manufacturing the organic thin film transistor array substrate comprises: forming a pattern comprising a source electrode, a drain electrode, a data line and a pixel electrode on a transparent substrate through a first patterning process; forming an organic semiconductor pattern, a gate insulating layer pattern, a pattern comprising a gate electrode and a gate line through a second patterning process on the transparent substrate after the first patterning process; depositing a passivation layer on the transparent substrate after the second patterning process, and forming a pattern comprising a data line pad region, a gate line pad region and a pixel pad region through a third patterning process; and forming a pattern of a common electrode on the transparent substrate after the third patterning process through a fourth patterning process. The technical solutions of the present invention can increase production efficiency of the organic thin film transistor array substrate and reduce production costs.
US08871559B2 Methods for fabricating phase change memory devices
Provided is a method for fabricating a phase change memory device. The method includes forming a plurality of bottom electrodes on a substrate, forming a first mold layer on the substrate to extend in a first direction where the bottom electrodes are exposed, forming a second mold layer on the substrate, the second mold layer extending in a second direction orthogonal to the first direction to expose parts of the bottom electrodes, forming a phase change material layer on the first and second mold layers to be connected to parts of the bottom electrodes dividing the phase change material layer as a plurality of phase change layers respectively connected to the parts of the bottom electrodes and forming a plurality of top electrodes on the phase change layers.
US08871557B2 Photomultiplier and manufacturing method thereof
Provided are a photomultiplier and a manufacturing method thereof. The manufacturing method thereof may include forming a mask layer on an active region of a substrate doped with a first conductive type, ion implanting a second conductive type impurity opposite to the first conductive type into the substrate to form a first doped region in the active region under the mask layer and an non-active region exposed from the mask layer, forming a device isolation layer on the non-active region, removing the mask layer, and ion implanting the second conductive type impurity having a concentration higher than that of the first doped region into an upper portion of the first doped region in the active region to form a second doped region shallower than the first doped region.
US08871556B2 Single crystal group III nitride articles and method of producing same by HVPE method incorporating a polycrystalline layer for yield enhancement
In a method for making a GaN article, an epitaxial nitride layer is deposited on a single-crystal substrate. A 3D nucleation GaN layer is grown on the epitaxial nitride layer by HVPE under a substantially 3D growth mode. A GaN transitional layer is grown on the 3D nucleation layer by HVPE under a condition that changes the growth mode from the substantially 3D growth mode to a substantially 2D growth mode. A bulk GaN layer is grown on the transitional layer by HVPE under the substantially 2D growth mode. A polycrystalline GaN layer is grown on the bulk GaN layer to form a GaN/substrate bi-layer. The GaN/substrate bi-layer may be cooled from the growth temperature to an ambient temperature, wherein GaN material cracks laterally and separates from the substrate, forming a free-standing article.
US08871555B2 Photoelectric conversion device and manufacturing method thereof
A photoelectric conversion device having a new anti-reflection structure is provided. A photoelectric conversion device includes a first-conductivity-type crystalline semiconductor region that is provided over a conductive layer; a crystalline semiconductor region that is provided over the first-conductivity-type crystalline semiconductor region and has an uneven surface by including a plurality of whiskers including a crystalline semiconductor; and a second-conductivity-type crystalline semiconductor region that covers the uneven surface of the crystalline semiconductor region having the uneven surface, the second conductivity type being opposite to the first conductivity type. In the photoelectric conversion device, a concentration gradient of an impurity element imparting the first conductivity type is formed from the first-conductivity-type crystalline semiconductor region toward the crystalline semiconductor region having the uneven surface.
US08871548B2 Gated co-planar poly-silicon thin film diode
A diode has a first contact of a material having a first conductivity type, a second contact of a material having a second conductivity type arranged co-planarly with the first contact, a channel arranged co-planarly between the first and second contacts, a gate arranged adjacent the channel, and a voltage source electrically connected to the gate. A diode has a layer of material arranged on a substrate, a first region of material doped to have a first conductivity type, a second region of material doped to have a second conductivity type, a channel between the first and second regions formed of an undoped region, a gate arranged adjacent the channel, and a voltage source electrically connected to the gate. A method includes forming a layer of material on a substrate, forming a first region of a first conductivity in the material, forming a second region of a second conductivity in the material, arranged so as to provide a channel region between the first and second regions, the channel region remaining undoped, depositing a layer of gate dielectric on the layer of material, arranging a gate adjacent the channel region on the gate dielectric, and electrically connecting a voltage source to the gate.
US08871546B2 Method of selective photo-enhanced wet oxidation for nitride layer regrowth on substrates and associated structure
Various embodiments of the present disclosure pertain to selective photo-enhanced wet oxidation for nitride layer regrowth on substrates. In one aspect, a method may comprise: forming a first III-nitride layer with a first low bandgap energy on a first surface of a substrate; forming a second III-nitride layer with a first high bandgap energy on the first III-nitride layer; transforming portions of the first III-nitride layer into a plurality of III-oxide stripes by photo-enhanced wet oxidation; forming a plurality of III-nitride nanowires with a second low bandgap energy on the second III-nitride layer between the III-oxide stripes; and selectively transforming at least some of the III-nitride nanowires into III-oxide nanowires by selective photo-enhanced oxidation.
US08871545B2 Method for forming a multicolor OLED device
A method is provided for forming a multi-color OLED device that includes providing a substrate, coating the substrate with a fluorinated photoresist solution to form a first photo-patternable layer and exposing it to produce a first pattern of exposed fluorinated photoresist material and a second pattern of unexposed fluorinated photoresist material, developing the photo-patternable layer with a fluorinated solvent to remove the second pattern of unexposed fluorinated photoresist material without removing the first pattern of exposed fluorinated photoresist material, depositing a first organic light-emitting material over the substrate to form a first organic light-emitting layer for emitting a first color of light and applying the first pattern of exposed fluorinated photoresist material to control the removal of a portion of the first organic light-emitting layer. A second fluorinated photoresist solution is then coated over the first patterened organic light-emitting layer and exposed to form a third pattern of exposed fluorinated photoresist material having a pattern different from the first pattern and a fourth pattern of unexposed fluorinated photoresist material, and developing the photo-patternable layer in a fluorinated solvent to remove the fourth pattern of unexposed fluorinated photoresist material without removing the third pattern of exposed fluorinated photoresist material, depositing at least a second light-emitting material to form a second light-emitting layer for emitting a second color of light that is different than the first color of light and applying the third pattern of exposed fluorinated photoresist material to control the removal of a portion of the second organic light-emitting layer.
US08871540B2 Laser dicing method
A laser dicing method includes: placing a workpiece substrate on a stage; generating a clock signal; emitting a pulse laser beam synchronous with the clock signal; switching irradiation and non-irradiation of the workpiece substrate with the pulse laser beam in a unit of light pulse in synchronization with the clock signal to perform first irradiation of the pulse laser beam on a first straight line by controlling the pulse laser beam using a pulse picker; performing second irradiation of the pulse laser beam on a second straight line, which is adjacent to the first straight line in a substantially parallel fashion, after the first irradiation; and forming a crack reaching a workpiece substrate surface on the workpiece substrate by the first irradiation and the second irradiation.
US08871539B2 Thin-film LED with P and N contacts electrically isolated from the substrate
A thin-film LED includes an insulating substrate, an electrode on the insulating substrate, and an epitaxial structure on the electrode.
US08871538B2 Method for fabricating wavelength conversion member for use in LED lighting apparatus
A light-emitting diode (LED) lighting apparatus is provided. The LED lighting apparatus includes at least one LED, and a wavelength conversion member spaced apart from the LED and configured to convert a wavelength of light emitted from the LED. The wavelength conversion member includes a light-transmitting member, and a transfer molded wavelength conversion layer disposed on at least one surface of the light-transmitting member. The transfer molded wavelength conversion layer includes a resin and a phosphor.
US08871537B2 Method of manufacturing an organic electroluminescence display device
Provided is a method of manufacturing an organic electroluminescence display device which enables production of a high-resolution organic electroluminescence display device in which display failure is suppressed. The method of manufacturing an organic electroluminescence display device includes multiple organic electroluminescence elements each including an organic compound layer that includes at least an emission layer, the method including: forming the organic compound layer on a substrate; sequentially forming an intermediate layer and a resist layer on the organic compound layer; removing a part of the resist layer by a photolithography method; and selectively removing, by dry etching, the intermediate layer and the organic compound layer in a region in which the part of the resist layer is removed, the light shielding layer having a function of blocking light having a wavelength of 190 nm or more and 360 nm or less.
US08871536B2 Light-emitting device, display device, and method for manufacturing the same
It is known that a light-emitting element utilizing organic EL deteriorates due to moisture. Therefore, a sealing technique to prevent moisture permeation is important. A light-emitting device including a light-emitting element utilizing organic EL is manufactured over a support substrate having flexibility and a high heat dissipation property (e.g., stainless steel or duralumin), and the light-emitting device is sealed with a stack body having moisture impermeability and a high light-transmitting property or with glass having moisture impermeability and a high light-transmitting property and having a thickness greater than or equal to 20 μm and less than or equal to 100 μm.
US08871533B2 Method for making solar cell and solar cell system
A solar cell making method includes steps of making a round P-N junction preform by (a) stacking a P-type silicon layer and a N-type silicon layer on top of each other, and (b) forming a P-N junction near an interface between the P-type silicon layer and the N-type silicon layer, wherein the round P-N junction preform defines a first surface and a second surface; forming a first electrode preform on the first surface and forming a second electrode preform on the second surface, thereby forming a round solar cell preform; and forming a photoreceptive surface with the P-N junction exposed on the photoreceptive surface by cutting the round solar cell preform into a plurality of arc shaped solar cells, the photoreceptive surface being on a curved surface of the arc shaped solar cell and being configured to receive incident light beams.
US08871531B2 Parallel shunt paths in thermally assisted magnetic memory cells
A thermally assisted magnetic memory cell device includes a substrate, a first electrode disposed on the substrate, a magnetic tunnel junction disposed on the first electrode, a second electrode disposed on the magnetic tunnel junction, a conductive hard mask disposed on the second electrode and a parallel shunt path coupled to the magnetic tunnel junction, thereby electrically coupling the first and second electrodes.
US08871530B1 Free layers with iron interfacial layer and oxide cap for high perpendicular anisotropy energy density
A mechanism is provided for a spin torque transfer random access memory device. A tunnel barrier is disposed on a reference layer, and a free layer is disposed on the tunnel barrier. The free layer includes an iron layer as a top part of the free layer. A metal oxide layer is disposed on the iron layer, and a cap layer is disposed on the metal oxide layer.
US08871526B1 Apparatus for obtaining growth factors
A reservoir is supported by a base in a vertical position. A reciprocating member is positioned in the reservoir forming an internal chamber. The chamber receives growth factor starting material through an inlet in the reciprocating member. After the inlet is sealed, the reciprocating member increases the volume of the chamber to apply negative pressure to the growth factor starting material within the chamber to produce activated growth factors. The activated growth factors are extracted from the chamber through an outlet in the reciprocating member. Optionally, the growth factor starting material is held in the chamber to separate into fractions.
US08871523B1 Wireless sensor for detecting explosive material
Disclosed is a sensor for detecting explosive devices. The sensor includes a ferromagnetic metal and a molecular recognition reagent coupled to the ferromagnetic metal. The molecular recognition reagent is operable to expand upon absorption of vapor from an explosive material such that the molecular recognition reagent changes a tensile stress upon the ferromagnetic metal. The explosive device is detected based on changes in the magnetic switching characteristics of the ferromagnetic metal caused by the tensile stress.
US08871521B2 Breath ketone detector
Ketoacidosis is an extreme and uncontrolled form of ketosis, which is a normal response to prolonged fasting. Embodiments of this invention test the ketone level of a patient by measuring the ketone bodies in breath condensation. Some embodiments include a device for medical testing comprising a hollow container, comprising powder mixture of sodium nitroferricyanide, ammonium sulfate and silica and a liquid including an ammonium hydroxide solution.
US08871516B2 Use of tailored recombinases for the treatment of retroviral infections
The present invention is directed to a method for preparing an expression vector encoding a tailored recombinase, wherein said tailored recombinase recombines asymmetric target sites within the LTR of proviral DNA of a retrovirus inserted into the genome of a host cell and is useful as means for excising the provirus from the genome of the host cell. The present invention further relates to an in vitro-method of optimising the treatment of a retroviral infection of a subject and to the use of tailored recombinases for the preparation of pharmaceutical compositions for reducing the viral load in a subjected infected by a retrovirus.
US08871513B2 Culture medium composition for culturing amnion-derived mesenchymal stem cell, and method for culturing amnion-derived mesenchymal stem cell by using same
The present invention relates to a medium for culturing mesenchymal stem cells, and more particularly to a medium composition for culturing mesenchymal stem cells, which contains basal medium, L-ascorbic acid 2-phosphate, fetal bovine serum, basic fibroblast growth factor (b-FGF), non-essential amino acids (NEAAs), insulin, N-acetyl-L-cysteine, calcium chloride, and hydrocortisone, and a method of culturing mesenchymal stem cells using the same. According to the present invention, a number of mesenchymal stem cells required for stem cell therapy can be obtained in a short time, and the ability of mesenchymal stem cells to differentiate is improved so that they are useful for stem cell therapy.
US08871511B1 Method for treatment of cardiovascular disorders
Methods for treating a cardiovascular disorder comprising concomitant administration of one or more extracellular matrix (ECM) based compositions directly to damaged or diseased cardiovascular tissue associated with the cardiovascular disorder, and provision of ventricular assistance. In a preferred embodiment, the ECM based compositions include an ECM material derived from a mammalian source.
US08871508B2 Cell-mediated immune response assay and kits therefor
The present invention provides methods and kits for measuring a cell-mediated immune (CMI) in a small volume of whole undiluted blood collected from a subject. In particular, the methods are for measuring responses in undiluted whole blood samples having a volume of, for example, 50 μl to 500 μl. Thus, capillary sampling and rapid testing of subjects including pediatric, adult or geriatric human subjects are facilitated.
US08871504B2 Method of selecting safe pluripotent stem cells
Provided is a method of selecting highly safe pluripotent stem cells that do not exhibit differentiation resistance, comprising the steps of (1) inducing a pluripotent stem cell to differentiate, (2) culturing the cell under conditions for maintaining undifferentiated state, (3) detecting the generation of an undifferentiated cell by the cultivation, and comparing the finding with a control, and (4) selecting a pluripotent stem cell whose detected value is not more than a control generation value.
US08871496B1 Methods, microfluidic devices, and systems for detection of an active enzymatic agent
Embodiments of the present invention provide methods, microfluidic devices, and systems for the detection of an active target agent in a fluid sample. A substrate molecule is used that contains a sequence which may cleave in the presence of an active target agent. A SNAP25 sequence is described, for example, that may be cleaved in the presence of Botulinum Neurotoxin. The substrate molecule includes a reporter moiety. The substrate molecule is exposed to the sample, and resulting reaction products separated using electrophoretic separation. The elution time of the reporter moiety may be utilized to identify the presence or absence of the active target agent.
US08871486B2 Methods of increasing secretion of polypeptides having biological activity
The present invention relates to methods for producing a secreted polypeptide having biological activity, comprising: (a) transforming a fungal host cell with a fusion protein construct encoding a fusion protein, which comprises: (i) a first polynucleotide encoding a signal peptide; (ii) a second polynucleotide encoding at least a catalytic domain of an endoglucanase or a portion thereof; and (iii) a third polynucleotide encoding at least a catalytic domain of a polypeptide having biological activity; wherein the signal peptide and at least the catalytic domain of the endoglucanase increases secretion of the polypeptide having biological activity compared to the absence of at least the catalytic domain of the endoglucanase; (b) cultivating the transformed fungal host cell under conditions suitable for production of the fusion protein; and (c) recovering the fusion protein, a component thereof, or a combination thereof, having biological activity, from the cultivation medium.
US08871476B2 Process for production of fructo-oligosaccharides
A microbial consortium comprises of an Aureobasidium sp. to metabolise a sugar substrate into fructooligosaccaride, glucose and fructose and a Pachysolen sp to metabolise the glucose and the fructose into ethanol.
US08871475B2 Enzymatic hydrolysis of lignocellulosic material in the presence of sulfite, dithionite and/or dithiothreitol
A method is provided for improving enzymatic hydrolysis in saccharification of a lignocellulosic material. The method is comprising pretreating the lignocellulosic material to obtain a slurry of pretreated lignocellulosic material; adding at least one reducing agent to the slurry of pretreated lignocellulosic material or the liquid fraction thereof to decrease the enzymatic hydrolysis inhibitory properties of slurry of the pretreated lignocellulosic material or the liquid fraction thereof; and subjecting the slurry of pretreated lignocellulosic material or the liquid fraction thereof to enzymatic hydrolysis in the presence of the at least one reducing agent.
US08871474B2 Glucosyltransferase enzymes for production of glucan polymers
Reaction solutions are disclosed herein comprising water, sucrose and a glucosyltransferase enzyme that synthesizes poly alpha-1,3-glucan. The glucosyltransferase enzyme can synthesize insoluble glucan polymer having at least 50% alpha-1,3 glycosidic linkages and a number average degree of polymerization of at least 100. Further disclosed are methods of using such glucosyltransferase enzymes to produce insoluble poly alpha-1,3-glucan.
US08871471B2 Methods for rapid forensic DNA analysis
The present invention provides methods and primer pairs for rapid, high-resolution forensic analysis of DNA and STR-typing by using amplification and mass spectrometry, determining the molecular masses and calculating base compositions of amplification products and comparing the molecular masses with the molecular masses of theoretical amplicons indexed in a database.
US08871470B2 Adaptive thermal block temperature control method and system
Aspects of the present teachings describe a method and apparatus for automatically controlling a block temperature to reduce undershooting and overshooting of the temperatures of a sample contained in the block and participating in a polymerase chain reaction (PCR). The adaptive thermal block temperature control begins when a sample temperature enters a sample window region between a preliminary setpoint temperature and a target setpoint temperature for the sample. Based on thermodynamic behavior of the sample and the predetermined phase of PCR, predicting a time period measured subsequent to the preliminary setpoint temperature when the sample will reach the target setpoint suitable for the predetermined phase of PCR. During this time period, varying the block temperature ramp rate with a series of cooling and heating changes to ensure the block temperature reaches the target setpoint temperature at approximately the same time as the sample reaches the same. Synchronizing the block temperature and sample temperature to the target setpoint temperature reduces undershooting and overshooting of the sample temperature and increases the speed and efficiency of the overall PCR process as it relates to the thermal cycling operations.
US08871469B1 Self-avoiding molecular recognition systems in DNA priming
This invention concerns self-avoiding molecular recognition systems (SAMRS), compositions that bind to natural DNA and RNA, but do not bind to compositions at sites that incorporate other SAMRS components, and processes dependent on them. Their utility is shown by discoveries that DNA polymerases accept these compositions as primers and templates, where standard triphosphates are added to primers containing SAMRS components, and added opposite to SAMRS components in the template. A critical mass of data are provided in 16 examples to provide first-generation heuristic rules to permit design of SAMRS sequences can be used as primers and templates that are accepted by DNA polymerases. The presently preferred primers are at least 12 nucleotide units in length, and more preferably between 15 and 30 nucleotides in length. Also preferred are chimeric primers that have standard nucleotides in their 5′-segments, and SAMRS nucleotides in their 3′-segments, and in multiplexed priming.
US08871468B2 Synthetic genes for plant gums and other hydroxyproline-rich glycoproteins
A new approach in the field of plant gums is described which presents a new solution to the production of hydroxyproline(Hyp)-rich glycoproteins (HRGPs), repetitive proline-rich proteins (RPRPs) and arabinogalactan-proteins (AGPs). The expression of synthetic genes designed from repetitive peptide sequences of such glycoproteins, including the peptide sequences of gum arabic glycoprotein (GAGP), is taught in host cells, including plant host cells.
US08871466B2 Method for enhancing the production yield of human papillomavirus L1 protein
This invention is a method for improving production yield of HPV L1 protein including the phase of culturing cell expressing HPV L1 protein in a medium containing high concentration of carbon source. According to the culture method using a medium containing highly concentrated carbon source of this invention, the production yield of HPV L1 protein can be not only remarkably increased but also the immunogenicity of the produced HPV L1 protein is significantly increased.
US08871464B2 Apparatus and method for detecting bacterial growth beneath a wound dressing
An apparatus and method are provided for visually monitoring, detecting, and/or determining the presence, absence, and/or growth of harmful or potentially harmful bacterial microorganisms beneath a wound dressing, in one example used to cover an indwelling central venous catheter or other catheter. A bacteria detection apparatus includes a barrier membrane, a permeable membrane for placement proximate a wound or a catheter insertion site, and an indicator between the barrier membrane and the permeable membrane for indicating the presence of bacteria proximate the permeable membrane. A method of using a bacterial growth detection apparatus is also provided.
US08871459B2 Method for evaluating renal status by determining beta-2-glycoprotein 1
The present invention relates to methods and compositions for monitoring, diagnosis, prognosis, and determination of treatment regimens in subjects suffering from or suspected of having a renal injury. In particular, the invention relates to using assays that detect Beta-2-glycoprotein 1 as a diagnostic and prognostic biomarker in renal injuries.
US08871457B2 Method for immobilizing glucose oxidase on a self-assembled monolayer
Provided are a method for increasing an amount of glucose oxidase to be immobilized on the self-assembled monolayer and a sensor which comprises glucose oxidase immobilized with the method. The method of the disclosed technology is characterized by that one molecule of an amino acid is interposed between the self-assembled monolayer and the molecule of the glucose oxidase.
US08871456B2 Probe incorporation mediated by enzymes
The invention provides compositions and methods of use thereof for labeling peptide and proteins in vitro or in vivo. The methods described herein employ lipoic acid ligase or mutants thereof, and lipoic acid analogs recognized by lipoic acid ligase and lipoic acid ligase mutants.
US08871455B2 Biomarker panels for assessing radiation injury and exposure
Methods and kits are provided for assessing radiation injury and exposure in a subject. The methods comprise measuring the levels of at least two (2) protein biomarkers from different biological pathways and correlating the levels with an assessment of radiation injury and exposure. Additional use of peripheral blood cell counts and serum enzyme biomarkers, evaluated in the early time frame after a suspected radiation exposure, and use of integrated multiple parameter triage tools to enhance radiation exposure discrimination and assessment are also provided. The information obtained from such methods can be used by a clinician to accurately assess the extent of radiation injury/exposure in the subject, and thus will provide a valuable tool for determining treatment protocols on a subject by subject basis.
US08871454B2 Biomarkers and methods for determining disease progression in nonalcoholic steatohepatitis (NASH)
Diets high in saturated fat and fructose have been implicated in the development of obesity and nonalcoholic steatohepatitis (NASH) in humans. Provided herein are biomarkers, methods, and animal models useful for the investigation and non-invasive detection of NASH, including a non-invasive biomarker that could be used to establish disease severity, follow progression, and evaluate response to treatment in clinical trials for this increasingly prevalent disease.
US08871451B2 Extracellular and membrane-associated prostate cancer markers
This document relates to methods and materials involved in identifying, assessing, and monitoring prostate cancer in male mammals. For example, this document provides arrays for detecting polypeptides or nucleic acids that can be used to identify prostate cancer in male mammals. In addition, methods and materials for assessing and monitoring prostate cancer in mammals are provided herein.
US08871449B2 Methods and products for evaluating an immune response to a therapeutic protein
The invention relates to methods and products for the identification of a clinically significant immune response in subjects treated with a therapeutic protein. Aspects of the invention relate to methods and compositions for identifying a clinically significant immune response in patients treated with therapeutic amounts of a VLA4 binding antibody (e.g., natalizumab). A second aspect of the invention concerns the chronological details of sample collection for determining the titre of antibodies against the therapeutic protein, e.g. the collection of at least two samples at two different time points. A third aspect of the invention relates to the selection of the critical threshold level, which corresponds to the antibody titre of untreated patients increased by the double of the standard deviation of this control antibody titre.
US08871443B2 Schizophrenia-related isoform of KCNH2 and development of antipsychotic drugs
The invention is related to a novel primate specific brain isoform of the potassium channel KCNH2 and genetic association with risk for schizophrenia and response to therapy.
US08871439B2 Serum-free stable transfection and production of recombinant human proteins in human cell lines
The present invention relates to an improved method for the serum-free production of an immortalized human cell line stably transfected under serum-free conditions with a specific vector carrying the gene coding for the protein of interest. Furthermore the invention relates to a production cell line obtained by said method, a production method for said protein of interest utilizing said production cell line, and the specific vector carrying the gene of interest itself.
US08871434B2 Red blood cell storage medium for extended storage
Synthetic aqueous storage solutions are disclosed for use in the processing and the storing of red blood cells prepared from whole blood including cells derived from whole blood held for an extended period at room temperature.
US08871433B2 Method for producing microstructure
The disclosed method for producing a microstructure can form a complicated three-dimensionally formed microstructure with few steps.A first mask pattern (22) containing a light transmitting section and a light blocking section is disposed along an unexposed photosensitive resin (42), and a second mask pattern (32) containing a light transmitting section and a light blocking section is disposed on the reverse side of the first mask pattern (22) from the photosensitive resin (42). Additionally, by means of integrally rotating the photosensitive resin (42) and the first mask pattern (22) around a central axis (Z) that passes through the photosensitive resin (42) and the first mask pattern (22), and at the same time radiating exposure light from the reverse side of the second mask pattern (32) from the photosensitive resin (42) and the first mask pattern (22) in a direction that is inclined obliquely with respect to the direction of the central axis (Z), the light beam of the exposure light that is transmitted through the light transmitting section of the second mask pattern (32) and the light transmitting section of the first mask pattern (22) exposes the photosensitive resin (42).
US08871431B2 Laminated flexographic printing sleeves and methods of making the same
A method of tailoring the shape of a plurality of relief dots created in a photosensitive printing blank during a digital platemaking process is provided. The photosensitive printing blank comprises a laser ablatable mask layer disposed on at least one photocurable layer which is mountable on a printing sleeve. The method comprises the steps of (1) laser ablating the laser ablatable mask layer to create an in situ negative in the laser ablatable layer; (2) placing a barrier layer on top of the laser ablatable mask layer; (3) exposing the at least one photocurable layer to actinic radiation through the barrier layer and the in situ negative; (4) removing the barrier layer; and (5) developing the imaged and exposed photosensitive printing blank to reveal the relief image therein, the relief image comprising the plurality of relief dots. The presence of the barrier layer produces printing dots having desired geometric characteristics.
US08871430B2 Photoactive compound and photosensitive resin composition comprising the same
The present invention relates to a photoactive compound having a novel structure and a photosensitive resin composition including the same, and the photoactive compound according to the present invention has excellent sensitivity due to efficient absorption to a UV light source by including a nitro group and a phosphonate structure, and has excellent retention rate, mechanical strength, heat resistance, chemical resistance and developing resistance by improving solubility of the photosensitive resin composition by excellent compatibility of the phosphonate structure and a binder resin. Therefore, the photosensitive resin composition according to the present invention is useful to cure a column spacer, an overcoat, a passivation material and the like of a liquid crystal display device, and is useful in view of a high temperature process property.
US08871428B2 Compositions and processes for immersion lithography
New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprises two or more distinct materials that can be substantially non-mixable with a resin component of the resist. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing.
US08871427B2 Positive resist composition and patterning process
There is disclosed a positive resist composition comprising (A) a resin having repeating units shown by the following general formulae (1) and (2) as repeating units that contain acid labile groups and being capable of increasing its alkaline solubility by an acid, (B) a photoacid generator, (C) a compound shown by the following general formula (3), and (D) a solvent. There can be a positive resist composition having high resolution, and at the same time giving an excellent pattern profile; and a patterning process in which an immersion lithography is carried out using a formed top coat.
US08871425B2 Low dielectric photoimageable compositions and electronic devices made therefrom
This invention relates generally to silicon based photoresist compositions that can be used in forming low k dielectric constant materials suitable for use in electronic devices, methods of their use and the electronic devices made therefrom.
US08871423B2 Photoresist composition for fabricating probe array, method of fabricating probe array using the photoresist composition, composition for photosensitive type developed bottom anti-reflective coating, fabricating method of patterns using the same and fabricating method of semiconductor device using the same
A photoresist composition for fabricating a probe array is provided. The photoresist composition includes a photoacid generator having an onium salt and an i-line reactive sensitizer.
US08871418B2 Toner, two component developer, process cartridge and color image forming apparatus
A toner containing: a core containing at least a first binder resin, a colorant, and a releasing agent; and a shell containing at least a second binder resin; wherein the toner has a core-shell structure, and has a softening index of 86° C. to 95° C. and a thermal hardness of 0.7 to 1.8.
US08871417B2 Toner, image forming apparatus, and process cartridge
To provide a toner comprising: a binder resin, a colorant, and a releasing agent, wherein the toner has a core shell structure, and wherein the core contains at least one resin selected from the group consisting of a hybrid resin and a polyester resin, where the hybrid resin is a resin in which a polyester resin and a vinyl resin are chemically bonded to each other, and the shell contains a resin prepared by polymerizing at least a styrene monomer and an ester monomer represented by the following General Formula (1): where, ‘n’ is 2 or 3, ‘X’ is any of 0 to 9, R1 is a hydrogen atom, or an alkyl group, and R2 is a hydrogen atom, an alkyl group, or a phenyl group.
US08871415B2 Toner for electrostatic image development
There is provided a toner for electrostatic-image development that can obtain heat-resistant storability, fixed image strength and long-term stability of charging while having excellent low-temperature fixing property. The toner is formed, with toner particles containing a binder resin and a crystalline ester compound, and the binder resin contains a styrene-acrylic resin including a structural unit derived from an acrylic ester monomer.
US08871413B2 Toners for electrostatic-image development, cartridge employing toner for electrostatic-image development, and image-forming apparatus
An object of the invention is to provide a toner which is effective in improving image quality while inhibiting white-background fouling, residual-image phenomenon (ghost), blurring (suitability for solid printing), and the like that occur depending on the proportion of a fine powder having a particle diameter not larger than a specific value, and which has satisfactory removability in cleaning, mitigates problems concerning fouling, etc. in long-term use even on a high-speed printer, and attains excellent image stability. Another object is to provide an image-forming apparatus and a toner cartridge each employing the toner. The invention provides a toner for electrostatic-image development satisfying all of the following (1) to (4) or a toner for electrostatic-image development which is a toner containing a charge control agent and satisfying all of the following (5) to (7). The invention further provides an image-forming apparatus and a toner cartridge each employing the toner.(1) To have a volume-median diameter (Dv50) of from 4.0 μm to 7.5 μm.(2) To have an average degree of circularity of 0.93 or higher.(3) A volume-median diameter (Dv50) of the toner and population number % of toner particles having a particle diameter of from 2.00 μm to 3.56 μm (Dns) in the toner satisfy the relationship Dns≦0.233 EXP(17.3/Dv50).(4) To have a coefficient of variation in number of 24.0% or lower.(5) To have a volume-median diameter (Dv50) of from 4.0 μm to 7.5 μm.(6) A volume-median diameter (Dv50) of the toner and population number % of toner particles having a particle diameter of from 2.00 μm to 3.56 μm (Dns) in the toner satisfy the relationship Dns≦0.233 EXP(17.3/Dv50).(7) When the charge control agent on the toner surface is cleaned, the resultant depressions have an average diameter of 500 nm or smaller.
US08871412B2 Electrophotographic photoconductor, image forming method, image forming apparatus, and process cartridge
To provide an electrophotographic photoconductor, which contains a layer containing a cured product obtained by crosslinking (i) a compound containing a charge-transporting group and three or more methylol groups, and (ii) a compound containing a charge-transporting group, which is other than the compound containing a charge-transporting group and three or more methylol groups.
US08871410B2 Method for producing pattern phase difference film
The present invention pertains to a pattern phase difference film, which is used to display 3D images using a passive system, and provides a method for producing a pattern phase difference film that can be manufactured with high precision, easily and in large quantities. In a mask provided for manufacturing an alignment film, slits, which are provided for exposure treatment, are made so as to gradually narrow toward the ends in the longitudinal direction.
US08871405B2 Polymer electrolyte fuel cell stack
When assembly is carried out by clamping a stacked product made up of a plurality of unit cell modules, paired end plates respectively disposed on both the sides thereof and the like by a plurality of fastening members, first coupling portions of one end portion of each of such plurality of fastening members and second coupling portions of the other end portions are combined to each other, and coupled with one pin member. Thus, a plurality of such fastening members are coupled.
US08871404B2 Fuel cell system manifold seal
A manifold seal for a fuel cell system includes a sealing area defined by a peripheral portion of a fuel cell stack of the fuel cell system and a portion of an end plate positioned on the fuel cell stack. The manifold seal includes a manifold frame defining a mating surface which sealingly engages the sealing area. The mating surface has a slot formed therein which opens towards the sealing area. The manifold seal includes a bracket defining a base portion and having a lip projecting from an edge thereof. The base portion is moveably secured to a face of the end plate so that the edge is positioned on the sealing area. The base portion is positioned inwardly from the sealing area and the manifold frame. The lip is moveably engaged in and cooperates with the slot to seal a gap between the mating surface and the sealing area.
US08871403B2 Fuel cell stack system, channel structure, fuel cell, electrode and electronic device
A fuel cell stack system is configured to uniformly supply a fuel or an electrolytic solution to each of fuel cell elements, and an electronic device using the fuel cell stack system are provided. An electrolytic solution channel allowing an electrolytic solution to flow therethrough is arranged between a fuel electrode and an oxygen electrode, and a fuel channel allowing a fuel to flow therethrough is arranged outside of the fuel electrode. The electrolytic solution channels and the fuel channels of all fuel cell elements are connected in series to one another. That is, the fuel or the electrolytic solution emitted from an outlet of the fuel channel or the electrolytic solution channel of one fuel cell element enters into an inlet of the fuel channel or the electrolytic solution channel of the next fuel cell element through a connection channel. In addition, either or both of the electrolytic solution channels and the fuel channels of some or all of the fuel cell elements may be connected in series to one another.
US08871402B2 Fuel cell system
In a fuel cell system, it is possible to suppress fixation of a fluid circulating device arranged in a fluid passage connected to a fuel cell main body. The fuel cell system is provided with a fuel cell stack, a system main body having respective elements for supplying a fuel gas and respective elements for supplying an oxidizing gas, and a control device. The control device includes a fluid circulating device drive processing unit having a function to forcibly drive the fluid circulating device after determining, based on a judgment related to one or more of a non-use time, an operation state of the system main body, a membrane impedance state of a fuel cell, a temperature of the fuel cell stack, and a background noise, whether or not forced driving to suppress sticking of the fluid circulating device is preferable at that time.
US08871393B1 Regenerative fuel cell and hydrogen storage system
A regenerative fuel cell is provided by the present invention. In the methods and systems described herein, a source of fuel is partially oxidized to release protons and electrons, without total oxidation to carbon monoxide or carbon dioxide. The partially oxidized fuel can be regenerated, by reduction, when the fuel cell is reversed. Other variations of the invention provide a convenient system for hydrogen storage, including steps for both release and recapture of hydrogen.
US08871390B2 PAN-PEO gels with improved conductance and solvent retention
Disclosed are gel electrolytes comprising a polymer, which is polyacrylonitrile (PAN) and polyethylene oxide (PEO); a lithium salt; and a solvent, which is a carbonate solvent, a lactone solvent, or mixtures thereof.
US08871388B2 Negative electrode for lithium battery and lithium battery including negative electrode
A negative electrode for a lithium battery and a lithium battery including the negative electrode, the negative electrode including: a matrix of a Sn grain and a metal M grain; and a carbon-based material grown on the matrix.
US08871387B2 Primer for battery electrode
Primer arrangements that facilitate electrical conduction and adhesive connection between an electroactive material and a current collector are presented. In some embodiments, primer arrangements described herein include first and second primer layers. The first primer layer may be designed to provide good adhesion to a conductive support. In one particular embodiment, the first primer layer comprises a substantially uncrosslinked polymer having hydroxyl functional groups, e.g., polyvinyl alcohol. The materials used to form the second primer layer may be chosen such that the second primer layer adheres well to both the first primer layer and an electroactive layer. In certain embodiments including combinations of first and second primer layers, one or both of the first and second primer layers comprises less than 30% by weight of a crosslinked polymeric material. A primer including only a single layer of polymeric material is also provided.
US08871382B2 Battery
A battery is equipped with a case (200) in which a fill opening (aperture) (201) is formed and a sealing cap (210), which closes the fill opening (201) formed in the case (200). The sealing cap (210) is formed of a thin plate that covers the fill opening (201) in the case (200), the circumferential edge (211) of the sealing cap (210) is bonded to the case (200), and the center (212) of the sealing cap (210) is bent from the circumferential edge (211) and bulges up toward the outside of the case (200). In addition, a chamfer (213) is applied at the bent corner of the sealing cap (210).
US08871380B2 Laminated battery
A bipolar battery (2) is constructed by laminating a plurality of bipolar electrodes (3), each constituted by a layer-form collector (4), a positive electrode active material layer (5) disposed on one surface of the collector (4), and a negative electrode active material layer (6) disposed on another surface of the collector (4), via an electrolyte layer (7). A voltage detection terminal (21a, 21b) is attached to a peripheral edge portion of the collector (4). By disposing a voltage detection terminal (21b) of an adjacent collector (4) on an opposite side of a second straight line (Da2), which passes through a centroid (O) of the collector (4) and is orthogonal to a first straight line (Da1) that connects the voltage detection terminal (21a) to the centroid (O) of the collector (4), a state of charge within an identical unit cell (15) is made even.
US08871376B2 Interconnection assemblies and methods for forming the interconnection assemblies in a battery module
Interconnection assemblies and methods are provided. An interconnection assembly includes a first cell tab constructed of a first metal, and a second cell tab disposed against the first cell tab. The second cell tab is constructed of a second metal having a hardness greater than the first metal. The assembly further includes an interconnect member disposed against the second cell tab. The assembly further includes a weld assisting layer disposed against the first cell tab such that the first and second cell tabs are disposed between the weld assisting layer and the interconnect member.
US08871374B2 Amorphous titania/carbon composite electrode materials
An isolated salt comprising a compound of formula (H2X)(TiO(Y)2) or a hydrate thereof, wherein X is 1,4-diazabicyclo[2.2.2]octane (DABCO), and Y is oxalate anion (C2O4−2), when heated in an oxygen-containing atmosphere at a temperature in the range of at least about 275° C. to less than about 400° C., decomposes to form an amorphous titania/carbon composite material comprising about 40 to about 50 percent by weight titania and about 50 to about 60 percent by weight of a carbonaceous material coating the titania. Heating the composite material at a temperature of about 400 to 500° C. crystallizes the titania component to anatase. The titania materials of the invention are useful as components of the anode of a lithium or lithium ion electrochemical cell.
US08871372B2 Device that is intended for supplying power to a motor vehicle and comprises a cooler block
A device for supplying power to a motor vehicle, in particular a passenger vehicle, truck or motorcycle, includes one or more storage cell modules, each of which includes one or more electrochemical storage cells and/or double layer capacitors that are arranged next to and/or on top of one another. The device has a cooler block that includes a holder for the storage cell modules and a structure for dissipating the heat emitted by the storage cell modules. The cooler block has a force absorbing device with at least one—in particular, plate-shaped—force absorbing element, by which in the case of a crash a force acting on the device is absorbed and is deflected around the storage cell modules.
US08871370B2 Water based biological and photochemical batteries
The designs of prototype batteries are described based on some biological Fenton reactions and the photo-excitation of singlet oxygen. The biological battery consists of hydrogen peroxide (or an acid) and ferrous gluconate complexed with a second ligand. Salts such as sodium chloride or ammonium chloride are used as the electrolyte. The photochemical battery uses an aqueous paste of ferrous gluconate with an additional ligand and is irradiated by light. The power of the battery is higher by adding small amount of titanium oxide to ferrous gluconate. The power of these batteries can be increased by using higher concentration of the chemicals or connecting multiple batteries in sequence and/or in parallel. Replacing ferrous ion with cupric ions increases the current of the battery by about 20 times.
US08871369B2 Hermetic battery
A hermetic battery including: a safety valve through which gas generated in the battery due to increase in pressure in the battery is discharged outside the battery, wherein the safety valve includes a first safety valve 9a, 10 which is operated at a first operating pressure, and a second safety valve 15a which is operated at a second operating pressure higher than the first operating pressure, a rate at which the gas is discharged while the second safety valve 15a is operated is higher than a rate at which the gas is discharged while the first safety valve 9a, 10 is operated, and the first safety valve 9a, 10 is closed at least while the second safety valve 15a is operated.
US08871365B2 High thermal stability reference structure with out-of-plane aniotropy to magnetic device applications
Enhanced Hc and Hk in addition to higher thermal stability to 400° C. are achieved in magnetic devices by adding dusting layers on top and bottom surfaces of a spacer in a synthetic antiferromagnetic (SAF) structure to give a RL1/DL1/spacer/DL2/RL2 reference layer configuration where RL1 and RL2 layers exhibit perpendicular magnetic anisotropy (PMA), the spacer induces antiferromagnetic coupling between RL1 and RL2, and DL1 and DL2 are dusting layers that enhance PMA. RL1 and RL2 layers are selected from laminates such as (Ni/Co)n, L10 alloys, or rare earth-transition metal alloys. The reference layer may be incorporated in STT-MRAM memory elements or in spintronic devices including a spin transfer oscillator. Dusting layers and a similar SAF design may be employed in a free layer for Ku enhancement and to increase the retention time of a memory cell.
US08871364B2 Perovskite manganese oxide thin film
An article including a perovskite manganese oxide thin film is composed of a substrate; and a perovskite manganese oxide thin film formed on the substrate and having an orientation that is an (m10) orientation where 19≧m≧2. When m is 2 the perovskite manganese oxide thin film has a (210) orientation. The invention provides a perovskite manganese oxide thin film having a transition temperature at room temperature or above, which is higher than that of the bulk oxide, by exploiting the substrate strain and the symmetry of the crystal lattice.
US08871357B2 Method for generating a closed-pore metal foam and component which has a closed-pore metal foam
A method for generating a closed-pore metal foam and a component in which such a metal foam is used are provided. To form the metal foam having closed pores, the component is provided with a composite of metal particles that may have a layer of a blowing agent. Alternatively the metal and the blowing agent can also be arranged in layers of a sheet, or as a mixture of particles. A heat treatment is the applied whereby the blowing agent liberates a propellant gas, the blowing agent including fullerenes or nanotubes to which the blowing agent is chemically or physically bound. Due to the high temperature stability of the nanotubes or fullerenes, blowing agents may be thereby generated which liberate propellant gas at temperatures of above 1000 DEG C., such that even metals with high solidus temperatures of above 1000 DEG C. may be processed to metal foams.
US08871354B2 Copper-based sliding material
Provided is a copper-based sliding material including a steel back-metal layer and a Cu alloy layer. The Cu alloy layer contains, by mass %, 10 to 30% of Bi, 0.5 to 5% of an inorganic compound, and the balance being Cu and inevitable impurities. The Cu alloy layer may further contain 0.5 to 5% of Sn and/or at least one element selected from the group consisting of Ni, Fe, P and Ag in a total amount of 0.1 to 10%. The inorganic compound has an average particle size of 1 to 5 μm and a specific gravity of 70 to 130% relative to the specific gravity of Bi. Bi phase is formed in the Cu alloy layer in an average particle size of 2 to 15 μm, and the Bi phase is dispersed in the Cu alloy layer and isotropic.
US08871350B2 Gas barrier film, electronic device including the same, gas barrier bag, and method for producing gas barrier film
A gas barrier film in which a gas barrier layer deposited by catalyst CVD in contact with each of both main surfaces of a plastic film is an SiCNFH layer satisfying conditions of 0.01
US08871349B2 Articles including anticondensation and/or low-E coatings and/or methods of making the same
Certain example embodiments of this invention relate to articles including anticondensation and/or low-E coatings that are exposed to an external environment, and/or methods of making the same. In certain example embodiments, the anticondensation and/or low-E coatings may be survivable in an outside environment. The coatings also may have a sufficiently low sheet resistance and hemispherical emissivity such that the glass surface is more likely to retain heat from the interior area, thereby reducing (and sometimes completely eliminating) the presence condensation thereon. The articles of certain example embodiments may be, for example, skylights, vehicle windows or windshields, IG units, VIG units, refrigerator/freezer doors, and/or the like.
US08871348B2 Glass substrate with conductive film for solar cell
A glass substrate with a conductive film, which ensures that variation of power generation is less likely to occur when used in a thin solar cell, and is excellent in the sealability with a sealant, is provided.A glass substrate with a conductive film for a solar cell which comprises a glass substrate having a thickness of 2 mm or less and a conductive film formed on the glass substrate, wherein the warp deformation amount W of the glass substrate represented by the following formula is 0.5 μm/cm2 or less. W=D/L2 (D: the maximum warpage (μm) of the glass substrate, and L: the diagonal length (cm) of the glass substrate).
US08871344B2 Hydrophobization treatment of silica particles
The invention provides silica particles including primary particles, the primary particles having a volume average particle diameter of from about 80 nm to about 300 nm, a particle size distribution index of from about 1.10 to about 1.40, an average circularity of from about 0.70 to about 0.92, and a circularity distribution index of from about 1.05 to about 1.50, the silica particles including primary particles having a circularity of about 0.95 or greater at a proportion of about 10% or less by number of particles.
US08871330B2 Flexible insulation composite for high and low temperatures
The present invention relates to a thermal and/or sound insulation system with resistance to elevated as well as low temperatures comprising at least one inner layer (A) obtained from temperature invariant and/or temperature resistant material as decoupling layer, at least one layer (B) as intermediate temperature gradient layer comprising temperature invariant and/or temperature resistant material, and at least one outer layer (C) as insulation layer comprising an expanded polymer blend, the process for manufacturing of such a system and the use of such system.
US08871324B2 Ventilated shelf cover
A novel ventilated shelving cover is disclosed that transforms the appearance of wire shelves to cover the white vertical and horizontal bars with a clean sleek decorative trim (crown molding) giving the shelves a custom made look. While also utilizing a custom insert/liner to enhance the functionality by keep items from tipping or falling through the wire portion of the shelves. This system contains a decorative trim piece, decorative cover, specially engineered clasps and an insert/liner. The system also includes a custom saw and miter to provide “All You Need” to accomplish the transformation of your pantry, linen, bedroom closets or garage shelves.
US08871317B2 Curable adhesive system, method of assembly of distinct components therewith
An adhesive system including a synergistic combination of a light curable silicone-containing adhesive composition and an amine-containing primer composition that provides desirable bond strength between two articles preferably one of the articles including a polyolefin or polyolefin-based thermoplastic elastomer. Methods of connecting articles, preferably those utilized in fluid transfer applications, utilizing the adhesive system and the resulting adhered assemblies are disclosed.
US08871316B2 Insulated glass (IG) units including spacer systems, and/or methods of making the same
Certain example embodiments relate to improved spacers for insulated glass units. Certain example embodiments relate to corrugated spacers that extend around a periphery of an IG unit. In certain example embodiments, the spacer includes at least one structured concave cavity. When positioned in conjunction with a substrate, the cavity may be filled with a sealant. In certain example embodiments, the sealant may be a thermoplastic sealant. In certain example embodiments, another cavity may be provided that may accept a structural sealant. In certain example embodiments, the thickness of the corrugated faces of a spacer may be less than the thickness of the shoulders of spacer.
US08871308B2 Method and a device for coating ceramic material fibers in metal by a liquid technique
A method of coating ceramic material fibers in metal using a liquid technique and a device implementing the method. The method maintains a charge of molten metal in levitation in a substantially spherical shape inside a crucible and causes a tensioned ceramic material fiber to travel at a predetermined speed between a bottom pulley and a top pulley disposed on either side of the crucible such that a portion of fiber is immersed in the charge to be covered in a metal coating. During coating, the portion of fiber that is immersed in the charge is shifted as a function of the remaining volume of the charge such that the instantaneous height of fiber that is immersed in the charge remains substantially constant throughout the coating operation.
US08871307B2 Method for manufacturing cylindrical member
The present invention has an object to provide a method for manufacturing a plurality of high quality cylindrical members. The method includes: vertically holding a mandrel so as to be coaxial with a central axis of an annular slit; and discharging a liquid coating through the slit to form a film of the coating on a surface of the mandrel while vertically moving up the mandrel. A circular cleaning blade is rotatably mounted around a lower holding shaft. The step includes the steps of: (1) forming a film of the coating up to a lower end of a coating region of the mandrel, and then stopping the discharge; and (2) moving up the lower holding shaft so that the cleaning blade passes through the annular slit to clean it, in this order. Then the cleaning blade is rotated to clean the cleaning blade.
US08871304B2 (Amide amino alkane) metal compound, method of manufacturing metal-containing thin film using said metal compound
The present invention relates to an (amide amino alkane) metal compound represented by the formula (1): wherein M represents a metal atom; R1 represents a linear, branched or cyclic alkyl group having 1 to 6 carbon atoms; R2 and R3 may be the same as, or different from each other, and each independently represents a linear or branched alkyl group having 1 to 3 carbon atoms, or R2 and R3 may form a substituted or unsubstituted 5- or 6-membered ring together with the nitrogen atom to which they are bound; Z represents a linear or branched alkylene group having 1 to 10 carbon atoms (a part of which may optionally form a ring); and n represents a number of the ligands, which is equal to the valence of the metal (M), and represents an integer of from 1 to 3; with the proviso that the metal compounds in which M is Li (Lithium), Be (Beryllium), Ge (Germanium) or Nd (Neodymium) are excluded; the metal compounds in which M is Mg (Magnesium) and R1 is methyl group are excluded; the metal compounds in which M is Zn (Zinc) and R1 is methyl group are excluded; the metal compounds in which M is Bi (Bismuth) and R1 is t-butyl group are excluded; and in cases where n is two or greater, two or more ligands may be the same as, or different from each other; and a method of producing a metal-containing thin film using the metal compound.
US08871301B2 Coating treatment apparatus, coating treatment method, and non-transitory computer storage medium
A coating treatment apparatus includes: a rotating and holding part; a nozzle supplying a coating solution; a moving mechanism moving the nozzle; and a control unit that controls the rotating and holding part, the nozzle, and the moving mechanism to supply the coating solution onto a central portion of the substrate and rotate the substrate at a first rotation speed, then move a supply position of the coating solution from a central position toward an eccentric position of the substrate with the substrate being rotated at a second rotation speed lower than the first rotation speed while continuing supply of the coating solution, then stop the supply of the coating solution with the rotation speed of the substrate decreased to a third rotation speed lower than the second rotation speed, and then increase the rotation speed of the substrate to be higher than the third rotation speed.
US08871298B2 Method for manufacturing on a film substrate at a temperature above its glass transition
A method for preparing a flexible film substrate with a low glass transition temperature can be used in the production of an article that retains dimensions and improves the surface smoothness after high temperature processing. The invention, for example, would enable low temperature films such as PET and PEN to be dimensionally stable and ultra flat at conditions commonly used in electronic processing which can typically exceed 200° C. More specifically, the methods enable the use of e.g. barrier films based on PET or PEN in OLED/PLED manufacturing.
US08871294B2 Method of coating a substrate with nanoparticles including a metal oxide
One exemplary embodiment may include a method comprising: depositing a solution comprising an organometallic compound on a substrate, drying the solution to provide a film of the organometallic compound and at least partially oxidizing an organic component of the organometallic compound to provide nanoparticles including metal oxides on the substrate which would have multiuse industrial applications.
US08871288B2 Aromatic neomenthylamides as flavoring substances
The present invention relates to the use of a compound or a mixture consisting of two or more compounds or containing one or more compounds selected from the group consisting of compounds of Formulae (I) and (ent-I) wherein in Formulae (I) and (ent-I) the following applies: the aromatic radical Ar is selected from the group consisting of: wherein in the aromatic radicals A and B the following applies: R1 and R2 are selected independently of one another from the group consisting of H, OH, OCH3, OCH2CH3, OCH(CH3)2, COOCH3, COOCH2CH3, COOCH(CH3)2, or R1 and R2 are adjacent and jointly form an OCH2O group, and wherein in the aromatic radical C the following applies: X is O or S as a flavoring substance or flavoring substance mixture.
US08871281B2 Treatment of oral pharyngeal dysphagia
The invention provides compounds for use in a method of treating oral pharyngeal dysphagia in an individual and related products. One embodiment of the invention comprises administering to an individual an effective amount of a vanilloid receptor 1 (VR-1) agonist or high-affinity partial agonist to promote a swallow reflex.
US08871274B2 Pharmaceutical formulations for dry powder inhalers
A powder for use in a dry powder inhaler comprises: i) a fraction of fine particle size constituted by a mixture of physiologically acceptable excipient and an additive; ii) a fraction of coarse particles; and iii) at least one active ingredient. The powder is suitable for efficacious delivery of active ingredients into the low respiratory tract of patients suffering from pulmonary diseases such as asthma. In particular, the invention provides a formulation to be administered as dry powder for inhalation which is freely flowable, can be produced in a simple way, is physically and chemically stable and capable of delivering accurate doses and/or high fine particle fraction of low strength active ingredients by using a high- or medium resistance device.
US08871267B2 Protein matrix materials, devices and methods of making and using thereof
The present invention relates to protein matrix materials and devices and the methods of making and using protein matrix materials and devices. More specifically the present invention relates to protein matrix materials and devices that may be utilized for various medical applications including, but not limited to, drug delivery devices for the controlled release of pharmacologically active agents, encapsulated or coated stent devices, vessels, tubular grafts, vascular grafts, wound healing devices including protein matrix suture material and meshes, skin/bone/tissue grafts, biocompatible electricity conducting matrices, clear protein matrices, protein matrix adhesion prevention barriers, cell scaffolding and other biocompatible protein matrix devices. Furthermore, the present invention relates to protein matrix materials and devices made by forming a film comprising one or more biodegradable protein materials, one or more biocompatible solvents and optionally one or more pharmacologically active agents. The film is then partially dried, rolled or otherwise shaped, and then compressed to form the desired protein matrix device.
US08871264B2 Immediate release tablet formulations
The present invention provides an immediate release pharmaceutical formulation which includes a tablet or capsule formulation comprising metformin and the sodium dependent glucose transporter (SGLT2) inhibitor dapagliflozin or its propylene glycol hydrate. The present invention also provides methods of preparing the formulations and methods of treating diseases or disorders associated with SGLT2 activity employing these formulations.
US08871262B2 Compositions and methods for treatment of osteoporosis and other indications
The present invention generally relates to compositions and methods for treatment of subjects having or at risk of osteoporosis or other conditions. In some cases, the composition may include nitric oxide. The nitric oxide may be present within a first phase comprising a lecithin, such as phosphatidylcholine. In certain embodiments, the lecithin is present in liposomes, micelles, or other vesicles containing nitric oxide. The composition can take the form of a gel, a cream, a lotion, an ointment, a solution, a solid “stick,” etc., that can be rubbed or sprayed onto a suitable portion of the skin. Other aspects of the present invention are generally directed to methods of making or using such compositions, methods of promoting such compositions, kits including such compositions, or the like.
US08871250B2 Method of treating patients with a mucinous glycoprotein (MUC-1) vaccine
The present invention provides a method for treating an individual who is afflicted with a cancer, such as non-small cell lung cancer or prostate cancer, by administering to that individual a MUC-1-based formulation. The formulation may be a MUC-1 based liposomal vaccine formulation.
US08871247B2 Hemostatic compositions and therapeutic regimens
The present invention relates generally to the field of hemostasis, including methods, compositions, and devices that can be employed to treat wounds. More specifically the present invention relates to hemostatic compositions that reduce the need for, and cost of, nursing care of patients with chronic wounds by reducing the frequency of wound dressing changes.
US08871245B2 Transdermal patch
A transdermal patch for the treatment of Alzheimer's disease includes: a backing, a rivastigmine-containing layer, a pressure-sensitive adhesive layer, and a release liner. In the transdermal patch, the rivastigmine-containing layer contains rivastigmine and an alkyl (meth)acrylate resin, the pressure-sensitive adhesive layer is composed of an acrylic pressure-sensitive adhesive containing a (meth)acrylic acid ester having a hydroxy group, and neither the rivastigmine-containing layer nor the pressure-sensitive adhesive layer contains an anti-oxidizing agent.
US08871244B2 Vaginal suppository comprising lactic acid
The present invention relates to a vaginal suppository comprising an inert vehicle and lactic acid or a salt thereof for the treatment and/or prophylaxis of disorders in the urogenital tract. It also relates to the use of such a suppository for the production of a medicament for the treatment and/or prophylaxis of disorders in the urogenital tract as well as to a method for the treatment and/or prophylaxis of disorders in the urogenital tract.
US08871239B2 Polymeric materials for medical devices
The present invention includes biocompatible polymeric coatings, membranes, matrices, and films to be used with implantable medical devices. Medical devices containing such materials applied to a surface thereof contain a film-forming fluorous homo-polymer or copolymer containing the polymerized residue of a fluorous moiety, wherein the relative amounts of the polymerized residues of one or more moieties are effective to provide the coating and films with properties effective for use in coating implantable med devices.
US08871236B2 Biocompatible polyacrylate compositions for medical applications
A composition comprising a structural component comprising linear acrylic homopolymers or linear acrylic copolymers and a biobeneficial component comprising copolymers having an acrylate moiety and a biobeneficial moiety is disclosed. A medical article comprising the composition in the coating thereof and a method of fabricating the medical article are also disclosed.
US08871235B2 Compositions and their use in bone healing
The present invention is directed to implantable compositions comprising substantially spherical bioactive glass particles.
US08871232B2 Self-indicating wipe for removing bacteria from a surface
A wipe that includes a bacteriostatic agent that contains cations having an affinity for the negatively charged cell walls of bacteria is provided. The affinity of the bacteriostatic agent for the bacteria allows the wipe to capture bacteria, thereby removing them from a surface and also inhibiting their spread to other surfaces that may contact the wipe. Of particular advantage, the bacteriostatic agent may help protect against the spread or infection of pathogens without the use of chemicals, such as antiseptics or antibiotics. Still further, the wipe of the present invention also contains a solvatochromatic indicator that undergoes a color change in the presence of a broad spectrum of bacteria. Thus, when the wipe captures bacteria, the indicator undergoes a color change that signals to the user that the wipe is functioning properly. The lack of a color change may likewise provide the user with the assurance that the area is generally free of bacteria and clean.
US08871227B2 Process and formulation containing epothilones and analogs thereof
A process for formulating certain epothilones and analogs thereof for parenteral administration is provided wherein the pH of the formulation for administration can be controlled to enhance the stability and thus, potency of the epothilone, or analog thereof.
US08871223B2 HSV-1 and HSV-2 vaccines and methods of use thereof
This invention provides methods of vaccinating a subject against a Herpes Simplex Virus (HSV) infection and disorders and symptoms associated with same, and impeding, inhibiting, reducing the incidence of, and suppressing HSV infection, neuronal viral spread, formation of zosteriform lesions, herpetic ocular disease, herpes-mediated encephalitis, and genital ulcer disease in a subject, comprising the step of contacting the subject with a mutant strain of the HSV, containing an inactivating mutation in a gene encoding a gE, gI, Us9, or other proteins.
US08871221B2 Methods of stimulating protective immunity employing Dengue viral antigens
Compositions that include at least a portion of at least one pathogen-associated molecular pattern and at least a portion of at least one member selected from the group consisting of a Den1 viral envelope protein, a Den2 viral envelope protein, a Den3 viral envelope protein and a Den4 viral envelope protein are employed in methods to stimulate a protective immune response in a subject.
US08871215B2 Flagellin related polypeptides and uses thereof
The use of flagellin and flagellin related polypeptides for the protection of mammals from the effects of apoptsis is described.
US08871214B2 Detoxified Escherichia coli immunogens
Detoxified variants of the pathogenic E. coli ‘AcfD precursor’ (orf3526) have been identified that raise a substantially similar immune response in a subject as the native AcfD (orB526) protein. The detoxified variants may be further modified to have increased solubility as compared to the native AcfD (orf3526) protein.
US08871211B2 Cancer vaccines and vaccination methods
Methods and compositions for treating cancers (e.g., neural cancers) by dendritic cell vaccination are provided herein.
US08871209B2 Compositions and methods for increasing muscle mass and muscle strength by specifically antagonizing GDF8 and or Activin A
The present invention provides compositions and methods which involve specifically antagonizing GDF8 and Activin A. In certain embodiments, compositions are provided which comprise a GDF8-specific binding protein and an Activin A-specific binding protein. For example, the invention includes compositions comprising an anti-GDF8 antibody and an anti-Activin A antibody. In other embodiments, antigen-binding molecules are provided which comprise a GDF8-specific binding domain and an Activin A-specific binding domain. For example, the invention includes bispecific antibodies that bind GDF8 and Activin A. The compositions of the present invention are useful for the treatment of diseases and conditions characterized by reduced muscle mass or strength, as well as other conditions which are treatable by antagonizing GDF8 and/or Activin A activity.
US08871208B2 11-β-hydroxysteroid dehydrogenase type 1 (11β-HSD1) inhibitors and uses thereof
A method for treating a patient suffering from inflammation, chronic inflammation, pain, rheumatoid arthritis (RA), osteoarthritis and osteoporosis, comprising administering an effective amount of a selective inhibitor of the 11-β-hydroxysteroid dehydrogenase Type 1 enzyme.
US08871207B2 Neutralizing anti-influenza A virus antibodies and uses thereof
The invention relates to antibodies, and antigen binding fragments thereof, that specifically bind to an epitope in the stem region of an influenza A hemagglutinin trimer and neutralize a group 1 subtype and a group 2 subtype of influenza A virus. The invention also relates to nucleic acids that encode, immortalized B cells and cultured single plasma cells that produce, and to epitopes that bind to such antibodies and antibody fragments. In addition, the invention relates to the use of the antibodies, antibody fragments, and epitopes in screening methods as well as in the diagnosis, treatment and prevention of influenza A virus infection.
US08871206B2 Anti-human folate receptor beta antibodies and methods of use
Human anti-human folate receptor beta (FRβ) antibodies and antigen-binding fragments thereof are described, as well as methods of using such antibodies and fragments to treat inflammatory disorders or cancers expressing cell surface FRβ.
US08871203B2 Method of treating a pathological syndrome and a pharmaceutical agent
A method of treating a pathological syndrome includes administration of an activated form of ultra-low doses of antibodies to an antigen, wherein said activated form is obtained by repeated consecutive dilution combined with external impact, and the antigen is a substance or a pharmaceutical agent exerting influence upon the mechanisms of formation of this particular pathological syndrome.Pharmaceutical agent for treating a pathological syndrome contains activated form of ultra-low doses of monoclonal, polyclonal or natural antibodies to an antigen, wherein said activated form is prepared by means of repeated consecutive dilution and external treatment, predominantly based on homeopathic technology, and said antigen is a substance or a drug acting as a direct cause of the pathological syndrome or involved in regulation of mechanisms of its formation. At that, activated forms of ultra-low doses of antibodies are raised against antigens of exogenous or endogenous origin, against autologous antigens, fetal antigens; anti-idiotypic antibodies are used too.
US08871202B2 Prevention and treatment of pain using antibodies to sphingosine-1-phosphate
The present invention relates to use of anti-S1P agents, for example, humanized monoclonal antibodies, for prevention and/or treatment of pain, including neuropathic pain, hyperalgesia, allodynia, and chemotherapy-induced pain.
US08871201B2 Stabilizing formulations
Formulations are described that are suitable for storage of proteins, such as antibodies, over a relatively broad range of protein concentrations, pH, and buffer types. Also described are methods of storing a protein and methods of identifying a suitable formulation for storage of a specific protein. In general, a formulation contains low to no surfactant, no to relatively low salt concentrations, and requires a relatively low buffer concentration.
US08871198B2 Methods related to wound healing
The invention is directed to methods for the treatment of wounds. Such methods utilize novel compositions, including but not limited to amnion-derived multipotent cells (herein referred to as AMP cells), conditioned media derived therefrom (herein referred to as amnion-derived cellular cytokine suspension or ACCS), cell lysates derived therefrom, cell products derived therefrom, each alone or in combination.
US08871197B2 Extracts of Cyathus striatus mushrooms, pharmaceutical compositions comprising them and a new Cyathus striatus strain
A new and distinct variety of higher Basidiomycetes mushroom Cyathus striatus HAI-1302, and extracts thereof are provided. These extracts as well as pharmaceutical composition comprising them are capable of inhibiting growth of cancer cells, arresting cancer cell cycle, reducing DNA synthesis in cancer cells and inducing apoptosis in cancer cells, and are thus useful for the treatment of cancer.
US08871196B2 Method for enhancing PPARγ expression
The present invention provides a method for enhancing PPARγ expression, comprising administering a subject in need thereof an effective amount of Lactobacillus gasseri PM-A0005, which was deposited under Budapest Treaty in the China Center for Type Culture Collection (CCTCC), China with Deposition No. M 207039.
US08871177B2 Metallophosphate molecular sieves, methods of preparation and use
A new family of crystalline microporous metallophosphates designated AlPO-59 has been synthesized. These metallophosphates are represented by the empirical formula R+rMm2+EPxSiyOz where R is an organoammonium cation such as the ETMA+, M is a framework metal alkaline earth or transition metal of valence 2+, and E is a trivalent framework element such as aluminum or gallium. The AlPO-59 compositions are characterized by a new unique ABC-6 net structure and compositions and have catalytic properties for carrying out various hydrocarbon conversion processes and separation properties for separating at least one component.
US08871173B2 Method for carbon black production using preheated feedstock and apparatus for same
Methods for production of carbon black using high temperature feedstock at temperatures exceeding about 300° C. with fouling control are provided. An apparatus for production of carbon black according to these methods also is provided.
US08871171B2 Production of graphene and nanoparticle catalysts supported on graphene using microwave radiation
Microwave irradiation is used to synthesize graphene and metallic nanocatalysts supported on graphene either by solid or solution phase. In solid phase methods, no solvents or additional reducing agents are required so the methods are “environmentally friendly” and economical, and the graphene and nanocatalysts are substantially free of residual contaminants. Recyclable, high efficiency Pd nanocatylysts are prepared by these methods.
US08871166B2 Method for removing contaminants from exhaust gases
A method for removing contaminants from the effluent from metal pickling operations by oxidizing the contaminants in the effluent, passing the oxidized effluent to a first scrubber thereby removing mixed acid vapors and some nitrogen oxides and passing these scrubbed gases to a second scrubbing system while injecting ozone into a duct between the first and the second scrubbing systems thereby to oxidize nitrogen oxides to higher oxides and scrubbing the oxidized nitrogen oxides gas stream in the second scrubbing system.
US08871165B2 Desulfurization in a regenerative calcium cycle system
A method for combustion of a fuel and treatment of the resulting flue gas includes: combusting a fuel to produce a hot flue gas stream containing at least carbon dioxide (CO2) and sulfur dioxide (SO2), bringing the flue gas stream into contact with solid calcium oxide (CaO) in a carbonation reactor operating at a temperature at which CO2 in the flue gas reacts with CaO to form solid calcium carbonate (CaCO3), heating CaCO3 in a calcination reactor operating at a temperature at which CaCO3 is converted to CaO and CO2, and recirculating CaO formed in the calcination reactor back to the carbonation reactor. Heating can be at least partially effected by indirect heat exchange with hot flue gas from the combustion. Flue gas used for the indirect heat exchange can be subsequently subjected to dry desulfurization before it is brought into contact with CaO in the carbonation reactor.
US08871163B2 Vanadium oxide purification process
Process for purifying vanadium oxide that includes cationic exchange resin and solvent extraction.
US08871161B2 Thermo-conductive reaction plate holder
The present invention relates to a reaction plate holding device and system associated therewith for controlling the environment surrounding a reaction plate, and in particular, to a bench-top reaction plate holder providing a controllable environment that fully surrounds a reaction plate.
US08871154B2 Oligomerization reactor and control system
A process unit, having: a) an oligomerization reactor; and b) a control system that enables the reactor to be operated in a distillate mode and in a lubricant mode; and wherein the reactor can switch between modes.
US08871153B2 Mechanically fluidized silicon deposition systems and methods
Mechanically fluidized systems and processes allow for efficient, cost-effective production of silicon. Particulate may be provided to a heated tray or pan, which is oscillated or vibrated to provide a reaction surface. The particulate migrates downward in the tray or pan and the reactant product migrates upward in the tray or pan as the reactant product reaches a desired state. Exhausted gases may be recycled.
US08871152B2 Exhaust gas processing device
An exhaust gas processing device includes a first cylindrical metallic member, an inorganic mat member, a second cylindrical metallic member, and an insulating layer. The inorganic mat member is wound around an outer periphery of the first cylindrical metallic member. The second cylindrical metallic member accommodates the first cylindrical metallic member around which the inorganic mat member is wound. The insulating layer have a thickness of about 20 μm to about 400 μm and is provided at at least one of a first part which is an inner surface of the first cylindrical metallic member, a second part between an outer surface of the first cylindrical metallic member and the inorganic mat member, and a third part between an inner surface of the second cylindrical metallic member and the inorganic mat member.
US08871148B2 Multilayer colorimetric sensors
Herein are disclosed methods and devices for detecting the presence of an analyte. Such methods and devices may comprise at least one sensing element that comprises at least one optically responsive layer that comprises at least a highly analyte-responsive sublayer and a minimally analyte-responsive sublayer. Methods of making and using such sensing elements are also disclosed.
US08871143B2 Amalgam method for forming a sputter target useful in the manufacture of thin-film solar photovoltaic cells
Hardened amalgams formed from copper mixed with liquid gallium or liquid gallium-indium alloys are used to fabricate sputter targets comprised of copper, gallium and indium (CIG) and targets of selenides of copper, gallium and indium (CIGS). Amalgam hardening occurs by formation of intermetallic compounds at or near ambient temperature as a result of reaction between liquid metals and solid metals in powder form.
US08871139B2 Manufacturing transparent yttrium aluminum garnet by spark plasma sintering
This invention provides a polycrystalline yttrium aluminum garnet (YAG) which is transparent in the visible and near infrared region. The invention also provides a method of manufacturing a transparent sintered YAG, which has nearly no porosity.
US08871136B2 Methods for manufacturing a catheter using an aluminum nitride bonding apparatus
Apparatuses and methods for joining one or more tubing sections together to form a tubular catheter device, for shaping one or more tubular sections of a catheter device, and/or for fusing elements (e.g., a radiopaque marker) onto a portion of the catheter. Each section of the catheter is typically fabricated independently from the other sections. Subsequently, the separate sections are fused together to form the catheter. The methods described herein allow the various sections of the catheter to be fitted together for fabrication without the use of heat-shrink tubing. The fitted parts are clamped in a die or mold at a temperature at or near room temperature; the die is then rapidly heated to fuse the parts, rapidly cooled back down to a temperature at or about room temperature, and removed from the die.
US08871135B2 Labeler and a labeling method for labeling plastic bottles in a blow mold, in particular in a rotary blow molder
A labeler for in-mold labeling of plastic bottles as well as to a labeling method for use of the labeler, including that a transfer star wheel and a transfer device of the labeler move the preforms and the labels in a transfer region towards one another in such a way that a respective label is only affixed to a circumferential subarea of a perform. In this manner, the label can be inserted in the blow mold in a particularly fast and reliable manner and excessive stretching of the label during blow molding can be avoided.
US08871131B2 Processes comprising crosslinking polyethylene or using crosslinked polyethylene
Provided are processes comprising crosslinking polyethylene or using crosslinked polyethylene. Furthermore, the processes may include compacting and/or sintering the polyethylene. The polyethylene can comprise virgin ultrahigh molecular weight polyethylene having a weight average molecular weight of at least 1,000,000 g/mol, to provide a compacted composition comprising virgin ultrahigh molecular weight polyethylene.
US08871130B2 Solid state drawing a filled polymer composition to a stable extent of cavitation and density
Draw a polymer composition by providing a polymer composition containing an orientable polymer having a softening temperature and a filler; conditioning the temperature of the polymer composition at or below its softening temperature; and drawing the polymer composition at a draw rate of at least 127 centimeters per minute in order to achieve an oriented filled polymer composition demonstrating a stable extent of cavitation with draw rate.
US08871129B2 Breast prosthesis
A single opening permanent breast prosthesis includes an envelope made of a flexible, hermatic and biologically inert material having a flat rear surface (3) and a curved front surface (2). The prosthesis thus defines a closed pocket (5) which contains a physiological serum. There are at least two compartments (6, 7, 8) in the pocket (5) which are separated by at least one membrane (10, 11, 12) extending in the form of an arch from the front surface (2) to the rear surface (3) of the prosthesis.
US08871128B2 Device and method for pressure control of electric injection molding machine
{Problem} The exact method with small time-lag of detecting injection pressure for controlling pressure in an electric-motor driven injection molding machine without using a pressure detector has been asked for because the pressure detector is very expensive, necessitates troublesome works for mounting, an electric protection against noise and the works for zero-point and span adjustings and causes a complicate mechanical structure.{Solution} The present invention uses a high-gain observer which contains the discrete-time arithmetic expressions derived from a mathematical model of an injection and pressure application mechanism in an electric-motor driven injection molding machine consisting of a state equation and an output equation and outputs an estimate of injection pressure, which is one of the state variables of the above state equation, by using an injection velocity signal and a servomotor current demand signal or actual motor current signal as inputs. The high-gain observer obtains the exact injection pressure estimate with very small time-lag without using a pressure detector. Thus the estimate of injection pressure fed by the high-gain observer can be adopted as a feedback signal of actual injection pressure for controlling injection pressure.
US08871126B2 Manufacturing method for trumpet spar and other curved objects
A method for producing an object of composite material comprising the steps of: placing one or several prepregs on a plane surface, forming a fiber stack; lifting over the fiber stack to a molding tool; downforming the fiber stack; curing the downformed fiber stack; where the method also comprises the step of: orienting the fiber directions so that all fibers, when the fiber stack is lifted over to the molding tool, will cross an edge of the molding tool only once.
US08871125B2 Laminates of partially scorched adhesive and DVA film
A process to produce a laminate comprising coextruding a dynamically vulcanized alloy (DVA) film with an adhesive layer comprising a vulcanizable elastomeric composition through a die to produce the laminate. The process provides a residence time of the vulcanizable elastomeric composition in the melt to partially scorch the vulcanizable elastomeric composition. A melt of the DVA is in contact with the melt of the vulcanizable elastomeric composition in the die for at least 5 seconds, optionally also with a change in the area of the contact between the DVA film and the adhesive layer as it passes through the die, to increase the adhesion between the adhesive layer and the DVA layer relative to a shorter co-residence time. A laminate and a pneumatic tire comprising the laminate are also disclosed.
US08871123B2 Method of making an absorbent core having a plurality of first regions and a second region surrounding each of the first regions
The present invention relates to an absorbent article including a absorbent core having a plurality of first regions and a second region, each one of said first regions being arranged in spaced relationship from each of the other first regions and each of the first regions being entirely surrounded by the second region. The present invention also relates to a method of making such a core structure.
US08871122B2 Methods for fabricating cellular cushions
A method facilitates fabricating a cellular cushion. The method comprises injecting material into a mold in an injection molding process to form a cushion first layer that includes a plurality of hollow cells that extend outward from the base and are each coupled together in flow communication, coupling a second layer to the first layer, and coupling an injection stem in flow communication to the plurality of hollow cells to enable an operating pressure within the cells to be changed.
US08871117B2 Cathode based upon two kinds of compounds and lithium secondary battery comprising the same
Provided is a cathode for lithium secondary batteries comprising a combination of one or more compounds selected from Formula 1 and one or more compounds selected from Formula 2. The cathode provides a high-power lithium secondary battery composed of a non-aqueous electrolyte which exhibits long lifespan, long-period storage properties and superior stability at ambient temperature and high temperatures.
US08871110B2 Composition generating fire extinguishing substance through chemical reaction of ingredients at high temperature
A fire extinguishing composition generating fire extinguishing substance through chemical reaction of ingredients at high temperature, wherein: the fire extinguishing composition comprises a flame retardant, an oxidant, a reducing agent and an adhesive; contents of each ingredient are: the flame retardant: 50 wt % to 90 wt %; the oxidant: 5 wt % to 30 wt %; the reducing agent: 5 wt % to 10 wt %; the adhesive: 0% to 10 wt %. In a usage of the fire extinguishing composition, a pyrotechnic agent is adopted as a heat source and a power source; and the purpose of fire extinguishing is achieved by: igniting the pyrotechnic agent, and the oxidant and the reducing agent in the fire extinguishing composition are reacted to generate the in the use of high temperature produced by burning the pyrotechnic agent. by burning the pyrotechnic agent, so as to implement fire extinguishing. Different from the traditional aerosol generating agent, there is no external heat source, and the composition itself does not burn. Compared with the traditional aerosol generating agent, the fire extinguishing composition of the present invention is more efficient and safer.
US08871108B2 Process for removing carbon material from substrates
A method of removing carbon materials, preferably amorphous carbon, from a substrate includes dispensing a liquid sulfuric acid composition including sulfuric acid and/or its desiccating species and precursors and having a water/sulfuric acid molar ratio of no greater than 5:1 onto an material coated substrate in an amount effective to substantially uniformly coat the carbon material coated substrate. The liquid sulfuric acid composition is exposed to water vapor in an amount effective to increase the temperature of the liquid sulfuric acid composition above the temperature of the liquid sulfuric acid composition prior to exposure to the water vapor. In preferred embodiments, amorphous carbon is selectively removed as compared to a silicon oxide (e.g., silicon dioxide) and/or silicon nitride.
US08871103B2 Process of planarizing a wafer with a large step height and/or surface area features
A blanket stop layer is conformally formed on a layer with a large step height. A first chemical mechanical polishing process is performed to remove the blanket stop layer atop the layer in the raised region. A second chemical mechanical polishing process is performed to planarize the wafer using the blanket stop layer as a stop layer when the layer is lower than or at a same level as the blanket stop layer or using the layer as a stop layer when the blanket stop layer is lower than or at a same level as the layer, or a selective dry etch is performed to remove the layer in the raised region. Thus, the layer in the raised region can be easily removed without occurrence of dishing in the non-raised region which is protected by the blanket stop layer.
US08871100B2 Rotating strainer
Processing of a bulk liquid including particles, including use of a rotating strainer surface with openings disposed on a circumference thereof, the openings allowing an extracted liquid in the bulk liquid to pass through the strainer surface while preventing particles from passing through the strainer surface, such that a concentration of particles in the extracted liquid is less than in the bulk liquid; an extracted liquid outflow port which outputs the extracted liquid from an interior region of the rotating strainer surface; and a motor configured to rotate the strainer surface at a speed sufficient to generate a centrifugal force or turbulence at the openings sufficient to prevent the particles from clogging the openings, wherein the strainer surface is rotated and the extracted liquid is output through the extracted liquid outflow port when the strainer surface is fully submerged in the bulk liquid.
US08871099B1 Coal slurry dewatering arrangement
A coal slurry dewatering arrangement includes a filter belt press with a filter belt having first and second sides, the first side being water-impermeable and the second side having pores and being water-permeable, wherein the water-permeable side includes a layer of water-absorbent material.
US08871098B2 Gas dispersion apparatus for improved gas-liquid mass transfer
The invention discloses an apparatus and method to uniformly disperse ozone gas bubbles into liquid phase water for the treatment thereof. The apparatus consists of a water inlet section connected with a section with structured packing or monolith having parallel flow channels. A portion of the influent water is withdrawn from the main water stream as a side stream, pressurized by a pump, mixed with ozone-containing gas, and then injected back into the main water flow. The side stream may be divided into multiple streams either before or after mixing with the ozone-containing gas, and then injected into the main flow section upstream of the packed section. In alternate embodiments, the ozone-containing gas can be injected directly through the gas diffusers just upstream of the packed section in order to achieve uniform concentration of gas and liquid in the fluid entering the monolith.
US08871096B2 Magnetic separation combined with dynamic settling for fischer-tropsch processes
A system for separating particulate from a fluid stream having an inlet solids content, the system comprising: a magnetic dynamic settling vessel comprising at least one magnetic field inside the vessel and/or one magnetized component; at least one inlet for introduction of the fluid stream having a starting solids content; at least one exit for a stream comprising a solids content not greater than the inlet solids content; at least one exit for a fluid stream comprising a solids content not less than the inlet solids content; and a vertical feed conduit extending at least 70% of the distance from the at least one fluid inlet to the at least one exit for a fluid stream comprising a solids content not less than the inlet solids content. A method for separating particulate from a fluid stream having an inlet solids content is also provided.
US08871092B2 Collapsible colander and bowl
A collapsible container consists of three parts: a rigid top rim, a flexible center band, and a rigid base. This combination of sections allows the container to easily collapse to about one-third of its original height. The container is also quite stable when expanded and is self-supporting. In various embodiments of the invention, for example, the upper rim may be expandable, the base may include holes or mesh to serve as a colander or strainer, or the base may be configured as a tube to function as a funnel.
US08871089B2 Wastewater treatment system
The wastewater treatment system includes a hollow, elongate, horizontally disposed, cylindrical body made from plastic is adapted for water storage and treatment. The cylindrical body includes reinforcement ribs formed by a helically wound steel band embedded in the plastic and extending between opposite open ends of the elongate cylindrical body. A voltage source selectively energizes the steel band. A pair of bulkhead members respectively extends across and covers opposite ends of the cylindrical body. A fluid-tight wall is mounted in the cylindrical body, the first bulkhead member, the fluid-tight wall and the cylindrical body forming a fluid-tight tank defining a liquid storage chamber. The second bulkhead member and the fluid-tight wall define a dry liquid treatment equipment chamber. An inlet pipe extends into the tank for admitting the pretreatment liquid into the tank. An outlet pipe extends from the tank and discharges the treated liquid from the tank.
US08871086B2 Valveless siphon decanter and methods of use
A valveless siphon decanter for processing fluid within a tank having a siphon tube external to the tank, the siphon tube having an output, a boom extending substantially transversely from the siphon tube, the boom providing a path for the communication of fluid from within the tank into the siphon tube, a vacuum head in association with the boom, the vacuum head having at least one orifice disposable within the tank for receiving the fluid, a vacuum source for creating a vacuum within the siphon tube and the boom to draw the fluid in through the at least one orifice of the vacuum head into the boom and into the siphon tube thereafter, a vacuum break for breaking the vacuum within the boom and the siphon tube; and wherein the siphon tube and the boom are disposed outside of the tank.
US08871081B2 Process for treating heavy oils
A process for treating a heavy oil which comprises subjecting a heavy oil to cavitation to reduce the viscosity of the heavy oil. The treated heavy oil, which has a reduced viscosity and specific gravity, thus is more pumpable and transportable, which facilitates further processing. The treated heavy oil also can be fractionated with less severity than untreated heavy oil.
US08871073B2 Electrodeionization apparatus for producing deionized water
An electrodeionization apparatus for producing deionized water comprises a deionization treatment unit including deionization chamber D and a pair of concentration chambers C1 and C2 placed adjacent to deionization chamber D on opposite sides thereof and those concentration chambers are filled with anion exchangers. The deionization chamber D is partitioned by an ion exchange membrane into first small deionization chamber D-1 adjacent to concentration chamber C1 and second small deionization chamber D-2 adjacent to concentration chamber C2. First small deionization chamber D-1 is filled with an anion exchanger. Second small deionization chamber D-2 is filled with an anion exchanger and a cation exchanger in a sequence such that the ion exchanger, through which water that is to be treated finally passes, is the anion exchanger.
US08871070B2 Systems, methods, and devices including amperometric and voltammetric duty cycles
A sensor system including devices and methods for determining the concentration of an analyte in a sample is described. Input signals including amperometric and voltammetric duty cycles of excitations and relaxations may provide a shorter analysis time and/or improve the accuracy and/or precision of the analysis. The disclosed system may reduce analysis errors, thus improving measurement performance, by adjusting the potential and/or scan rate in response to output currents obtained from voltammetric scans. The disclosed system also may determine the concentration of more than one ionizable species in the sample by adjusting the potential and/or scan rate in response to output currents obtained from voltammetric scans. The multiple, determined concentrations may be used to determine the concentration of multiple analytes or to correct the concentration determined for an analyte, thus improving the measurement performance of the system.
US08871067B2 Sensor for detecting hydrogen peroxide
The present invention relates to a sensor for detecting hydrogen peroxide, comprising: (a) a gold (Au) nanoparticle conjugated with a conducting oxide substrate comprising a conduction oxide; (b) a cytochrome c immobilized on the gold nanoparticle. In the performance of the present sensor, current values are increased in parallel with increased hydrogen peroxide concentration. Such performance enables to easily analyze the presence or concentration of hydrogen peroxide.
US08871066B2 Sample receiving device for sample materials in ultra-high vacuum chambers
The disclosure relates to a sample-receiving device for sample materials in ultra-high vacuum chambers, in particular for sputter coating installations.
US08871064B2 Electromagnet array in a sputter reactor
A multi-step process performed in a plasma sputter chamber including sputter deposition from the target and argon sputter etching of the substrate. The chamber includes a quadruple electromagnetic coil array coaxially arranged in a rectangular array about a chamber axis outside the sidewalls of a plasma sputter reactor in back of an RF coil within the chamber. The coil currents can be separately controlled to produce different magnetic field distributions, for example, between a sputter deposition mode in which the sputter target is powered to sputter target material onto a wafer and a sputter etch mode in which the RF coil supports the argon sputtering plasma. A TaN/Ta barrier is first sputter deposited with high target power and wafer bias. Argon etching is performed with even higher wafer bias. A flash step is applied with reduced target power and wafer bias.
US08871060B2 Method and a machine for producing a structured fibrous web of paper
The invention relates to a method of producing a structured fibrous web of paper. The method comprises forming a fibrous web and conveying the formed fibrous web on a water receiving felt (5) to a dewatering nip. An endless belt (11) with a polyurethane surface is passed through the nip together with the fibrous web and the water receiving felt (5). After the dewatering nip, the fibrous web is conveyed by the endless belt (11) to an endless textured fabric (12) which is permeable to air and to which the web is transferred from the endless belt (11) in a transfer nip. The textured fabric (12) rans at a lower speed than the endless belt (11). After the transfer to the textured fabric (12), the fibrous web is carried by the textured fabric (12) to a drying cylinder (17). The transfer nip is formed by two rolls of which one is a suction roll within the loop of the textured fabric. The transfer nip has a length which is 5 mm-40 mm. The endless polyurethane belt (11) has a width that exceeds the width of the textured fabric (12). The invention also relates to a corresponding machine.
US08871051B2 Process for decomposing lignin in biomass
A mild inexpensive process for treating lignocellulosic biomass involves oxidative delignification of wood using an aqueous solution prepared by dissolving a catalytic amount of manganese (III) acetate into water and adding hydrogen peroxide. Within 4 days and without agitation, the solution was used to convert poplar wood sections into a fine powder-like delignified, cellulose rich materials that included individual wood cells.
US08871048B2 Flexible nanoimprint mold, method for fabricating the same, and mold usage on planar and curved substrate
The present invention provides a flexible nanoimprint mold which can fabricate sub-15 nm ultra fine structures on either planar or curved substrates. The mold comprises a top ultra-thin rigid layer of imprint patterning features and a bottom thick flexible layer of polymer elastomer. The two distinct layers are preferably integrated via chemically bonding. The top layer of the mold enables a sub-15 nm resolution of pattern fabrication and the bottom layer affords a conformal contact to planar or curved surface of substrates. The methods for fabricating the same are disclosed.
US08871045B2 Method and apparatus for controlling the winding of an elongated element onto a collection reel with the interposition of a service fabric
A method for controlling the winding of an elongated element onto a collection reel with the interposition of a service fabric, includes rotating the collection reel and simultaneously winding the elongated element and the service fabric onto the collection reel, wherein the simultaneous winding includes determining the actual winding diameter of the collection reel and preferably, the actual unwinding diameter of a storage reel of the service fabric. The rotation speed of the collection reel is adjusted according to the actual winding diameter and preferably, also to the variation in length of the portion of elongated clement about to be deposited onto the collection reel.
US08871038B2 Tinned steel sheet and method for producing the same
A tinned steel sheet includes an Sn-containing plating layer disposed on at least one surface of a steel sheet and in which mass per unit area of Sn is 0.05 to 20 g/m2; a first chemical conversion coating disposed on the Sn-containing plating layer and contains P and Sn, and in which mass per unit area of P is 0.3 to 10 mg/m2; a second chemical conversion coating disposed on the first chemical conversion coating and contains P and Al, and in which mass per unit area of P is 1.2 to 10 mg/m2 and mass per unit area of Al is 0.24 to 8.7 mg/m2; and a silane coupling agent-treating layer formed with the silane coupling agent disposed on the second chemical conversion coating and has a mass per unit area of Si of 0.10 to 100 mg/m2.
US08871037B2 Method for carrying out diffusion treatment on coating of engineering parts resistant to marine climate
The present invention relates to a method for diffusion treatment of a coating on an engineering part resistant to marine climate, comprising: Step 1. pretreating the part; Step 2. preheating the part in a protective atmosphere furnace; Step 3. immersing the pre-heated part in a plating solution in a way that the part is rotated in the submerging process; Step 4. carrying out diffusion treatment, i.e., placing the immersion-plated part into a vacuum furnace, maintaining at 800 to 950° C. for 1 to 3 hours, and then cooling it down prior to discharge, such that atoms at an interface are diffused to form a diffusion layer on a substrate, achieving metallurgical bonding between the coating and the substrate. Treatment by the method of the present invention enables the part to have full resistance to corrosion and scouring erosion under marine climate.
US08871036B1 Carburization of metal articles
The present invention relates to a process for carburizing a metal article comprising: (1) heating the metal article to an elevated temperature, (2) coating the heated metal article with a graphite suspension to produce a graphite coated metal article, wherein the graphite suspension is comprised of graphite and an organic or inorganic liquid having a boiling point of at least 50° F. (28° C.) less than the elevated temperature to which the metal article is heated, (3) heat treating the graphite coated metal article under a non-oxidizing environment at a temperature which is sufficient to promote the diffusion of carbon into the metal structure of the article to produce a carburized metal article, and (4) cooling the carburized metal article to ambient temperature.
US08871034B2 Production washing assembly verification system and method
A master verification assembly includes a plurality of plunger devices. Each of the plunger devices includes a piston that is axially moveable between a raised position and a depressed position. When placed in a washing assembly, each of the plunger devices is aligned with a nozzle. The nozzles dispense a cleaning fluid under pressure. An axial force applied by the dispensed cleaning fluid depresses the pistons into the depressed position, whereupon the pistons disposed in the depressed position are axially secured in an indicator position. Upon removal of the master verification assembly from the washing assembly, depressed pistons in the indicator position indicate proper function of the nozzles, whereas pistons disposed in the raised position indicate improperly functioning nozzles.
US08871032B2 Wet processing apparatuses
A semiconductor apparatus includes a first tank configured to accommodate a first fluid. A second tank is configured to receive overflow of the first fluid into an upper portion of the second tank and to accommodate a second fluid. A cycling system including a first conduit is configured between the first tank and the second tank. The first conduit has an end substantially below a surface of the second fluid. A fluid providing system including a second conduit is fluidly coupled to the second tank and configured to provide the second fluid into the second tank. The second conduit has an end substantially below the surface of the second fluid. An overflow system is coupled to the second tank and configured to remove an upper portion of the second fluid when the surface of the second fluid is substantially equal to or higher than a pre-determined level.
US08871030B2 Cleaning path guidance method combined with dirt detection mechanism
A cleaning path guidance method combined with a dirt detection mechanism is performed in an automatic cleaning device to generate a cleaning path, so as to guide the automatic cleaning device to clean an area to be cleaned, in which plural grids are defined in the area. The method includes: moving the automatic cleaning device in the area to clear dirt away, and continuously detecting a flow of the dirt cleared away to obtain a dirt level of a current gird; if the dirt level of the current gird exceeds a threshold, marking the grid as a dirty grid; performing an algorithm and finding a shortest path passing through all dirty grids as a cleaning path according to the marked dirty grids; and moving the automatic cleaning device to pass through each dirty grid according to the clean path, so as to clean each dirty gird sequentially.
US08871028B2 Process and system for removing printing in metallic packages
Process and system for removing printing in metallic packages used in drinks, food and other applications in general, comprising the removal of ink before the cure thereof, by means of spray with ink remover liquid and non abrasive friction. Preferably, said liquid is an alkaline aqueous solution, which is sprayed onto the package surface simultaneously with the friction thereof with a soft and non abrasive element. In a preferred embodiment, said friction results in the rotation of the package around the longitudinal axis thereof, which is mounted on a rotative support, and the soft non abrasive element in contact with the surface thereof keeps motionless.
US08871026B2 Vitreous silica crucible for pulling single-crystal silicon and method of manufacturing the same
In order to provide a vitreous silica crucible which does not employ a crystallization accelerator but is difficult to deform during its use even under high temperature, and is easily manufactured, there is provided a vitreous silica crucible for pulling single-crystal silicon wherein the outer surface layer is formed of a bubble-containing vitreous silica layer, the inner surface layer is formed of a vitreous silica layer whose bubbles are invisible to the naked eye, a surface of the outer surface layer includes an unmelted or half-melted silica layer (abbreviated as a half-melted silica layer), and the center line average roughness (Ra) of the half-melted silica layer is 50 to 200 μm, also preferably, and the thickness of the half-melted silica layer is 0.5 to 2.0 mm.
US08871025B2 SiC single crystals with reduced dislocation density grown by step-wise periodic perturbation technique
In a crystal growth method, a seed crystal 8 and a source material 4 are provided in spaced relation inside of a growth crucible 6. Starting conditions for the growth of a crystal 14 in the growth crucible 6 are then established therein. The starting conditions include: a suitable gas inside the growth crucible 6, a suitable pressure of the gas inside the growth crucible 6, and a suitable temperature in the growth crucible 6 that causes the source material 4 to sublimate and be transported via a temperature gradient in the growth crucible 6 to the seed crystal 8 where the sublimated source material precipitates. During growth of the crystal 14 inside the growth crucible 6, at least one of the following growth conditions are intermittently changed inside the growth crucible 6 a plurality of times: the gas in the growth crucible 6, the pressure of the gas in the growth crucible 6, and the temperature in the growth crucible 6.
US08871022B2 Systems and methods for preparation of epitaxially textured thick films
The disclosed subject matter relates to the use of laser crystallization of thin films to create epitaxially textured crystalline thick films. In one or more embodiments, a method for preparing a thick crystalline film includes providing a film for crystallization on a substrate, wherein at least a portion of the substrate is substantially transparent to laser irradiation, said film including a seed layer having a predominant surface crystallographic orientation; and a top layer disposed above the seed layer; irradiating the film from the back side of the substrate using a pulsed laser to melt a first portion of the top layer at an interface with the seed layer while a second portion of the top layer remains solid; and re-solidifying the first portion of the top layer to form a crystalline laser epitaxial with the seed layer thereby releasing heat to melt an adjacent portion of the top layer.
US08871021B2 Concrete mixtures including carbon encapsulating admixture
Concrete mixtures for use with air entraining agents and supplementary cementitious materials are achieved using a carbon encapsulating agent. The carbon encapsulating agent can include a nitrilotrisethanol aliphatic soap.
US08871020B2 High elongation fibres
The invention relates to a steel fiber for reinforcing concrete or mortar. The fiber has a middle portion and two ends. The middle portion has a ensile strength of at least 1000 N and an elongation at maximum load Ag+e of at least 2.5%. The invention further relates to a concrete structure comprising such steel fibers.
US08871019B2 Composition for construction materials manufacturing and the method of its production
Disclosed is a system or method for efficiently manufacturing construction materials using carbon nanomaterials. In one or more embodiments, the method comprises creating a blend of carbon nanomaterials, wherein the blend of the carbon nanomaterials includes at least one of a carbon nanofiber, a carbon nanotube, a graphite nanoparticle and an amorphous carbon. The method also includes dispersing the carbon nanomaterials and adding a plasticizer and a sand to the dispersed mixture within 3 minutes. The method also includes adding at least one of water and a cement binding agent to the dispersed mixture after the plasticizer and the sand have been added.
US08871018B2 Use of polyethylenimines as additive in aqueous suspensions of calcium carbonate-comprising materials
Use of at least one polyethylenimine as an additive in an aqueous suspension, containing from 25 to 62 vol. % of at least one calcium carbonate-comprising material, wherein the use provides improved stability with regard to the conductivity of the suspension.
US08871017B2 Modeling compound
A modeling compound and methods for making the same are described. The modeling compound, in some embodiments, comprises about 20% to about 40% by weight starch-based binder, and about 0.15% to about 1.2% by weight microspheres dispersed throughout the compound.
US08871013B2 Ink, ink cartridge and ink jet recording method
The invention provides an ink containing a first coloring material and a second coloring material, wherein the first coloring material is a compound represented by a general formula (1), and the second coloring material is a compound represented by a general formula (2).
US08871012B2 Packed column
A packed column includes a regular packing (8) and a liquid distribution plate (5). The regular packing (8) is constructed by combining two or more hollow columns, and the hollow columns each have a cross section of circular shape, hexagonal shape or other shapes. The liquid distribution plate (5) has small holes under which liquid guide tubes (4) are connected. The liquid guide tubes (4) lead to all hollow columns of the packing (8). The liquid distribution plate (5) is horizontally installed above the regular packing (8) in a column body (2). Each gas guide plate (11) is made by rotating and twisting a rectangular plate and has several protrusions (12) on its side. The gas guide plates (11) are installed in the hollow columns of the regular packing (8) with the height slightly smaller than that of the hollow columns. A gas outlet (3) is located between the regular packing (8) and the liquid distribution plate (5).
US08871009B2 System for removal of organic contaminants from bio-gas for renewable energy production
A method of reducing siloxane contamination in an effluent gas is described, where one embodiment of the method comprises directing the effluent through a reactor comprising a dialkyl terminated glyme solvent having a molecular size less than about 300 Daltons, the dialkyl terminated glyme serving to physically absorb the siloxanes from the effluent; polymerizing the siloxanes by directing them through a packed bed of acidic resin catalyst media housed within the reactor and immersed within the solvent so as to create polymerized siloxanes having a molecular size greater than about 300 Daltons, that are soluble in the solvent; and separating the polymerized siloxanes from the solvent via nanofiltration; and recycling the solvent into the reactor for further physical absorption of incoming siloxanes.
US08871008B2 Target gas capture
Capturing a target gas includes contacting a gas mixture including a target species with an aqueous solution including a buffer species, and transferring some of the target species from the gas mixture to the aqueous solution. The target species forms a dissolved target species in the aqueous solution, and the aqueous solution is processed to yield a first aqueous stream and a second aqueous stream, where the equilibrium partial pressure of the target species over the second aqueous stream exceeds the equilibrium partial pressure of the target species over the first aqueous stream. At least some of the dissolved target species in the second aqueous stream is converted to the target species, and the target species is liberated from the second aqueous stream. The target species can be collected and/or compressed for subsequent processing or use.
US08870999B2 Apparatus and method for degassing cast aluminum alloys
A ladle that can melt and freeze castable metal in a specific manner so that high quality liquid metal and metal alloys may be produced with minimum oxide and hydrogen content. Upon introduction of a quantity of molten metal into the ladle, staged heating and cooling of the molten metal promotes the liberation of previously-dissolved gases from the castable metal, resulting in significant decreases in as-cast porosity.
US08870998B2 Method for producing metal nanoparticles and nanoparticles obtained in this way and use thereof
The invention relates to a method for producing metal nanoparticles, wherein metal ions are reduced by means of at least one reducing agent in the presence of at least one polymer stabilizer and are converted into metal nanoparticles. The invention further relates to metal nanoparticles obtained in this way and to the use thereof.
US08870997B2 Iron-based pre-alloyed powder
A pre-alloyed iron-based powder is provided including small amounts of alloying elements which make possible a cost efficient manufacture of sintered parts. The pre-alloyed iron-based powder comprises 0.2-1% by weight of Cr, 0.05-0.3% by weight of Mo, 0.1-1% by weight of Ni, 0.09-0.3% by weight of Mn, 0.01% by weight or less of C, less than 0.25% by weight of O, and less than 1% by weight of inevitable impurities, the balance being iron.
US08870995B1 Air filter system for use with an HVAC register
The air filter system includes a cover that is configured to secure itself adjacent to an HVAC register. The cover includes hanger members on distal ends, which hook onto screw holes of the HVAC register. The cover includes a plurality of openings forming a vent through which air is able to pass. The cover is configured to be loosely hung underneath the HVAC register such that air-conditioned air is able to blow through the cover as well as along a peripheral opening formed between the cover and the HVAC register. The cover includes a filter member that is selectively removed, and positioned in between the cover and the HVAC register. The filter has a rectangular shape that corresponds to the cover. The filter also has lipped peripheral portion that has a thickness greater than a center portion.
US08870994B2 Filter cassette, filter arrangement, and gas turbine with such filter cassette
A filter cassette for removing particles from an air stream is provided, The filter cassette has an upstream end, a downstream end, and includes a mounting frame to which a filter media is fitted. The filter has a mounting face positioned between the filter cassette's upstream and downstream ends at a first distance (Dup) from the upstream end and a second distance (Ddown) from the downstream end. The first and second distances each amount to more than 10% of an overall length of the filter cassette. The mounting face may be positioned centrally between the upstream and downstream ends, approximately in a barycenter line of the filter cassette. The filter cassette thus extends to both sides of the partition opening. Filter surface area is increased and torque induced by the filter cassette into the partition is reduced.
US08870993B2 System and method for coupling and sealing filters
In some embodiments, an air filter coupling device may include an elongated member, an elongated first cap, and an elongated second cap. The elongated member may include a substantially planar first side, a substantially planar second side positioned substantially opposite the first side, and a fourth side positioned substantially opposite the third side. In some embodiments, the elongated first cap may be coupled to the third side in a substantially orthogonal orientation relative to the elongated member. In some embodiments, the elongated second cap may be coupled to the fourth side in a substantially orthogonal orientation relative to the elongated member. A second distance between the first cap and the second cap adjacent each first end portion of the first and second caps inhibits removal of the first air filter. The air filter coupling device inhibits conveyance of materials between the first air filter and the second air filter.
US08870990B2 Filtration system for a particulate storage fracking trailer
A fracking trailer includes a particulate storage enclosure to receive a mixture of particulate and air in an interior of the particulate storage enclosure having a front end and a rear end. The fracking trailer also includes a filtration system connected to the particulate storage enclosure at the front end to filter particulate from the air in the mixture and exhaust filtered air.
US08870989B2 Air treatment device
An air treatment device for a commercial vehicle includes a housing set up for connecting to an air dryer device by way of a bayonet fitting. A retaining device that is or can be mounted on the housing for retaining the bayonet fitting is provided on the housing.
US08870984B2 Cold flow response of diesel fuels
The invention provides a blend of FT derived diesel, crude derived diesel, and CFPP improving additive, wherein the FT diesel is from 1 vol % to 50 vol % of the blend, said blend having a CFPP of below −18° C. The invention extends to use of FT diesel as a blend component for a compression ignition fuel blend, said blend including the FT diesel, a crude derived diesel fuel and a CFPP improver additive, wherein the FT diesel is from 1 vol % to 50 vol % of the blend, which blend has a CFPP of below −20° C.
US08870980B2 Process for producing enzymes
The present invention relates to a process for producing enzymes and single cell oil. The process comprises that microorganisms capable of producing both single cell oil and enzymes are cultivated under conditions suitable for single cell oil production and enzyme production in a single cell oil production process. A microorganism culture comprising single cell oil and enzymes is obtained and at least part of the microorganism culture, of the supernatant and/or microorganism cells separated from the microorganism culture, of protein fraction enriched from the supernatant, and/or of protein fraction obtained from the cells is used as an enzyme preparation or as a source of enzymes. Single cell oil is recovered from the microorganism cells and used as biofuel, component of biofuel or as a starting material for biofuel production. Enzymes produced according to the process are used in the same or in another industrial process.
US08870977B2 Rechargeable battery and method of manufacturing the same
A method of manufacturing a rechargeable battery includes continuously supplying a first electrode plate, the first electrode plate including a plurality of first active material portions with gaps therebetween on a first current collector, continuously supplying a first separator and a second separator to respective surfaces of the first electrode plate, bending the first electrode plate with the first and second separators to form a zigzag structure with bent portions, supplying a second electrode plate to an inside of each bent portion of the zigzag structure, the second electrode plate including a second active material portion on a second current collector, aligning and stacking the first electrode plate, the first separator, the second separator, and the second electrode plate, and taping the aligned and stacked first electrode plate, first separator, second separator, and second electrode plate at an outermost side thereof.
US08870976B2 Method for manufacturing a secondary battery
A secondary battery that can avoid reduction in battery capacity over the lapse of charge-discharge cycles and can exhibit high performance is provided. A method for manufacturing a secondary battery, the secondary battery including a laminated body having a pair of electrodes and an electrolyte layer provided between the pair of electrodes, the laminated body having an end portion, and a restrictor provided so as to cover at least the end portion of the laminated body for restricting expansion of the electrolyte layer in the plane direction thereof, the method includes preparing a mold, the pair of electrodes and electrolyte particles for forming the electrolyte layer, joining the pair of electrodes and the electrolyte layer together by pressing the electrodes and the electrolyte particles within the mold to form the laminated body, and providing the restrictor so as to cover at least the end portion of the laminated body removed from the mold.
US08870968B2 Prosthetic devices and methods of making and using the same
Prosthetic devices containing an artificial foot are disclosed. Methods of making and using prosthetic devices containing an artificial foot are also disclosed. The artificial foot may be in the form of a thermoformed foot member having at least one foot member curved section between a foot member first end and a foot member second end opposite the foot member first end such that (a) a first portion of a foot member inner surface overlaps and faces a second portion of the foot member inner surface, (b) a foot member second end surface is positioned (i) between and connecting a foot member outer surface and the foot member inner surface to one another and (ii) over and facing the foot member second end surface along the at least one foot member curved section.
US08870966B2 Intragastric balloon for treating obesity
A transorally implanted intragastric balloon or treating obesity and for weight control including a variable size balloon with one or interconnected regions acting to exert a pressure on the stomach, to provide a stomach volume occupying effect, and/or to anchor the balloon within the stomach.
US08870965B2 Devices and methods for bone alignment, stabilization and distraction
An embodiment of a bone stabilization and distraction system of the present disclosure includes a light-sensitive liquid; a light source for providing light energy; a light-conducting fiber for delivering the light energy from the light source to cure the light-sensitive liquid; a delivery catheter having a proximal end in communication with the light-conducting fiber and the light-sensitive liquid, an inner lumen for passage of the light-conducting fiber, and an inner void for passage of the light-sensitive liquid; and an expandable body removably engaging a distal end of the delivery catheter, wherein the expandable body has a closed end, a sealable open end, an inner cavity for passage of the light-sensitive liquid, an external surface and an internal surface, and wherein the expandable body has an insertion depth with a fixed dimension, a width with a fixed dimension, and a thickness with a changeable dimension.
US08870964B2 Prosthetic condylar joints with articulating bearing surfaces having a translating contact point during rotation thereof
A prosthetic joint according to the present invention includes a first implant component for attachment to a first bone and a second implant component for attachment to a second bone. The first implant component has a condylar portion that includes first and second condylar bearing surfaces and similarly, the second implant component has bearing surfaces that receive and are complementary to the first and second condylar bearing surfaces. Each of the first and second condylar bearing surfaces and each of the bearing surfaces of the second implant component has a cross-section in a coronal plane that exhibits two different radii and a contact point is established between the first and second condylar bearing surfaces and the bearing surfaces of the second implant component. The bearing surfaces of the respective implant components are configured such that varus and valgus rotation of the first implant component relative to the second implant component causes the contact point to move outwardly.
US08870963B2 System and method for fracture replacement of comminuted bone fractures or portions thereof adjacent bone joints
The system and method serves in facilitating replacement of comminuted bone fractures or portions thereof adjacent bone joints. The system and method employs a prosthesis to replace at least a portion of the comminuted bone fractures. The prosthesis serves in reproducing the articular surface of the portion or portions of the comminuted bone fractures that are replaced. In doing so, the prosthesis serves in restoring joint viability and corresponding articulation thereof.
US08870962B2 Reverse shoulder prosthesis
Various embodiments of the present invention relate to an apparatus and method for reverse shoulder arthroplasty (e.g., reverse total shoulder arthroplasty). In one specific example, a glenoid component used to resurface the scapula may be provided. Of note, unlike traditional total shoulder arthroplasty the glenoid component in a reverse shoulder is convex rather than concave; it acts as a physical stop to prevent the superior migration of the humeral head—a typical occurrence in patients suffering from rotator cuff tear arthropathy (CTA).
US08870960B2 Total disc replacement system and related methods
A total disc replacement (TDR) system for lateral insertion into the spine, involving a first anchor plate having a first surface for engaging a first vertebra and a second surface including a cutout region, a second anchor plate having a first surface for engaging a second vertebra and a second surface including a cutout region, at least one pair of intradiscal inserts, each insert having a first surface for engaging with said anchor plates and a second surface for engaging with an intradiscal element, and an intradiscal element including a first articular surface having a generally arcuate cross-section for articulating with said first intradiscal insert, and a second generally planar surface for interacting with a second intradiscal insert.
US08870959B2 Spinal fusion cage having post-operative adjustable dimensions
A spinal implant including first spinal attachment member for attaching to a first spinal portion, second spinal attachment member for attaching to a second spinal portion, and a post-implantation variable dimension device disposed between the first and second spinal attachment members, which is operable after completing surgery in which said spinal implant was installed into a patient, to cause relative movement between the first and second spinal attachment members.
US08870958B2 Spacer device and insertion instrument for use in anterior cervical fixation surgery
An intervertebral spacer has curvate upper and lower rough surfaces that stimulate bone growth and is formed from a porous material that facilitates bone growth thereinto. The spacer has a plurality of smooth linear grooves to facilitate insertion of the spacer into an intervertebral space using a spacer insertion tool that has a scissor-style body. Each of the insertion tool's arm's heads has an inner surface having a pair of smoothed linear protrusions that fit within the linear grooves of the spacer when the heads are closed about the spacer. When the spacer is held, spaces are present between the spacer's rough surfaces and the heads' inner surfaces so that when the protrusions are longitudinally slid from the grooves to leave the spacer in the intervertebral spacer, the rough surfaces are not disturbed.
US08870956B2 Barrel-shaped apparatus for fusing adjacent bone structure
A fusion implant apparatus for facilitating fusion of adjacent bone structures includes a threadless implant member which is positioned between adjacent opposed bone structures. The implant member defines a longitudinal axis and first and second longitudinal ends and has an outer wall which is dimensioned to engage the opposed bone structures upon positioning therebetween in supporting relation therewith. The outer wall defines an internal cavity for the reception of bone growth inducing substances and includes a plurality of apertures which extend therethrough in communication with the internal cavity to permit fusion of vertebral bone tissue. The implant member also includes an intermediate portion which defines a cross-sectional dimension transverse to the longitudinal axis which is greater than the respective cross-sectional dimensions of the first and second longitudinal ends of the implant member.
US08870954B2 Platelet-derived growth factor compositions and methods for the treatment of tendon and ligament injuries
The invention provides compositions and methods for treatment of tendon and ligament injuries and/or repair of damaged tendons and ligament. The invention provides compositions comprising a biocompatible matrix and platelet-derived growth factor (PDGF).
US08870953B2 Devices and methods for treating sleep disordered breathing
Devices and methods of treating sleep disordered breathing are provided herein. The devices are designed capable of preventing collapse of an oral airway tissue during sleep while maintaining normal velopharyngeal functions.
US08870950B2 Rotation-based anchoring of an implant
Apparatus and methods are provided for use with a prosthetic valve that is designated for implantation at a patient's native heart valve, including a valve ring having a plurality of ring segments, each of the segments being hingedly coupled to an adjacent segment at a pivot joint. The valve ring is placed adjacent to a surface of the native heart valve, the prosthetic valve having been coupled to the valve ring. In an expanded state thereof, the valve ring defines a ring, all of the pivot joints being disposed in a plane that is perpendicular to a longitudinal axis of the ring. The valve ring is foldable into a shape that has a generally circular cross-section that defines and surrounds at least in part a central lumen, by folding the segments with respect to each other, at the pivot joints. Other embodiments are also described.
US08870948B1 System and method for cardiac valve repair and replacement
A prosthetic mitral valve includes a proximal anchor, a distal anchor, and a central portion therebetween. The proximal and distal anchors each include a first outer frame and a second outer frame. The first outer frame includes a plurality of first arcs joined together, and the second outer frame includes a plurality of second arcs joined together. The plurality of first arcs are out of phase relative to the plurality of second arcs.
US08870942B2 Bioabsorbable polymeric medical device
In embodiments there is described a cardiovascular tube-shaped lockable and expandable bioabsorbable scaffold having a low immunogenicity manufactured from a crystallizable bioabsorbable polymer composition or blend.
US08870938B2 Vascular prostheses for treating aneurysms
An endovascular sealing stent-graft is configured to initially be positioned in a delivery catheter in a radially-compressed state, and to assume a radially-expanded state upon being deployed from the delivery catheter. The stent-graft includes a structural member, which includes a plurality of structural stent elements, and which, when the stent-graft assumes the radially-expanded state, has a generally tubular shape, and is shaped so as to define at least two elongated indentations, each of which extends rostrally to a rostral end of the structural member, and is tapered in a caudal direction until the indentation converges with the generally tubular shape of the structural member, and each of which has an axial length of at least 2 cm. The stent-graft further includes a fluid flow guide, which is coupled to at least a portion of the structural member, and covers at least a portion of each of the elongated indentations.
US08870935B2 Inlet opening device for inflating a warming blanket
A warming blanket is provided that includes a first sheet and a second sheet sealed at least along a common edge. An air inlet is provided on the warming blanket where the first sheet and the second sheet are not sealed. An inlet opening device is attached to the inlet opening. The inlet opening device separates the first sheet from the second sheet at the inlet opening in the absence of an opposing force. Methods of forming and using the warming blanket are also provided.
US08870932B2 Bone screw retaining system with pinned retainer
A bone screw retention system is provided for a plate which defines a plurality of transversely extending bores that are configured to receive a bone screw for engaging the plate to the cervical spine. The retention member has a body and two legs extending therefrom the body. The legs are moveable from a first position to a second position, thereby enabling or preventing movement of a bone screw therein the bores.
US08870930B2 Methods for stabilizing bone using spinal fixation devices
In one embodiment, the present invention includes a method of stabilizing a spine including providing a coupling element having an uppermost end defining a first plane, a lowermost end defining a second plane, and at least one bore extending from said uppermost end toward said lowermost end, wherein said first and second planes intersect one another, assembling said coupling element with an anchoring element, and after the assembling step, securing said anchoring element in bone.
US08870928B2 Helical guide and advancement flange with radially loaded lip
A spinal fixation device combines an open-headed anchor member, such as a bone screw or a hook, with a closure member to thereby clamp a spinal fixation rod to the anchor member. The anchor member has spaced apart arms forming a rod receiving channel. The closure member and inner surfaces of the arms and tabs have helical anti-splay guide and advancement interlocking flanges formed thereon which cooperate to prevent splaying the arms and extensions as the closure member is advanced into the rod receiving channel.
US08870926B2 Spinal fixation system
A fastener assembly for a spinal fixation system that is particularly useful in treatment of pediatric and small-statured patients includes a fastener, an attachment member, and a locking member. The fastener has a lower portion for contacting a bone and an upper portion integral with the lower portion. The upper portion has two open channels. Each channel is configured and dimensioned to receive a portion of a longitudinal or connecting member along its circumference. The attachment member is positionable on the fastener and at least partially covers the channel that receives the longitudinal or connecting member. The locking member is operatively associated with the upper portion of the fastener and secures the attachment member and the longitudinal or connecting member to the fastener.
US08870924B2 Dynamic vertebral fastener
A spinal fixation assembly for connecting an elongate member along a region of a spinal column with a plurality of fasteners is disclosed. The spinal fixation assembly comprises a threaded fastener having a first threaded end region and a second end region configured to receive an elongate member, such as a rod. A locking member is included for securing the elongate member within the second end region. The second end region and the locking member include elastomeric members that contact the elongate member to allow for a degree of dynamic movement.
US08870919B2 Stabilization system and method
The disclosure relates generally to embodiments of systems and methods of spinal stabilization. Embodiments include methods that use a dilator to displace tissue proximate to a sleeve. An embodiment of a surgical system can comprise a dilator that may define a working channel from a first opening to a second opening. The dilator can be positioned to displace tissue proximate to the sleeve. The dilator may be shaped to allow a first end of an elongated member to enter the working channel through the first opening and exit the dilator through the second opening to be percutaneously moved to another assembly.
US08870918B2 Adjustable-angle spinal fixation element
A spinal fixation device is provided having first and second elongate members that are angularly adjustable relative to one another. Each elongate member can include a connecting feature formed on a terminal end thereof, and each connecting feature can be coupled to one another to allow angular movement of the first and second elongate members. The device can also include a locking mechanism that is adapted to couple to the connecting feature on each of the first and second elongate members to lock the elongate members in a fixed position relative to one another.
US08870917B2 Deployment instrument for closure device for percutaneously sealing punctures
A deployment instrument for deploying a closure device for sealing a percutaneous puncture in a wall of a body passageway, the deployment instrument including a carrier assembly, wherein the carrier assembly is configured to hold the closure device in a pre-deployment state, and a tensioner assembly, wherein the filament is fixedly attached to the tensioner assembly, wherein the deployment instrument is configured to increase the tension in the filament upon linear movement of the deployment instrument away from the wall of the body passageway when the closure device is anchored to the wall via the anchor such that the tension is gradually increased as the deployment instrument is moved between a first linear distance and a second linear distance greater than the first linear distance from the wall of the body passageway.
US08870914B2 Medical device and a method for sealing a puncture or an opening
The invention is generally directed to devices and methods for sealing a puncture or an opening through a wall of a blood vessel or a body cavity. The device includes a structural member and a sealing material associated with the structural member. The structural member includes a rod, a first obstructing body and a second obstructing body. The first obstructing body is affixed against an inner surface of the wall of the blood vessel or body cavity and the second obstructing body is affixed against an outer surface of the wall of the blood vessel or body cavity once placed at the puncture or the opening. The sealing material includes a reconstituted or naturally-derived collagenous material, which can expand at the puncture or the opening to restore hemostasis and enhance sealing.
US08870910B1 Methods of decoupling joints
Vascular treatment and methods include a plurality of self-expanding bulbs and a hypotube including interspersed patterns of longitudinally spaced rows of kerfs. Joints between woven structures and hypotubes include solder. Woven structures include patterns of radiopaque filaments measurable under x-ray. Structures are heat treated to include at least shapes at different temperatures. A catheter includes a hypotube including interspersed patterns of longitudinally spaced rows of kerfs. Heat treating systems include a detachable flange. Laser cutting systems include a fluid flow system.
US08870909B2 Aneurysm treatment device and method of use
The present application discloses an apparatus for treating vascular aneurysms and includes a radially expandable substantially cylindrical structure formed from a plurality of support members and defining a plurality of openings, and at least one reactive material strand selectively integrated into the substantially cylindrical structure. The reactive material is configured to assume a non-reacted state and a reacted state. The reactive material in the reacted state is configured to restrict a flow of blood to an aneurysm.
US08870908B2 Twisted primary coil for vascular therapy
A flexible metal wire coil is formed with a twisted coil pattern, by forming a primary coil on a special mandrel formed from two or more strands of material twisted helically about a longitudinal axis to have a helical shape. The primary coil wire is wound around the mandrel to give the primary coil a twisted shape corresponding to the helical shape of the mandrel.
US08870905B2 Method for in vivo multiplication of hair
A method is described for the reproduction of hair by removing hair in the anagen phase in such a way that the hair stem cells which are responsible for hair growth are still attached to the hair removed, bringing these into contact with extracellular matrix components or substitutes therefor, and implanting the hair in the scalp. The application of extracellular matrix components or substitutes therefor for the reproduction of hair is also described.
US08870904B2 Access sealing apparatus and method
A surgical access device includes a seal housing and a roller disposed in the housing and defining a working channel. The roller may be stationary or movable within the seal housing to form both a zero seal in the absence of an instrument, and an instrument seal in the presence of an instrument. Rotation of the roller is contemplated and low-friction surfaces are discussed to reduce instrument insertion forces. Multiple rollers, wiper elements, low-friction braid, pivoting elements and idler rollers are contemplated. The rollers will typically be formed of a gel material in order to facilitate the desired compliance, stretchability and elongation desired.
US08870902B2 Combinational scissor-grasper for use in laparoscopy
Disclosed is a four-jawed combinational scissor-grasper surgical tool for use in laparoscopy. Cutting and grasping functionalities are respectively enabled via movement of a pair of such specially contoured jaw members sliding against or splaying apart from the other pair. Also disclosed are means for achieving selectable interlocking of jaw members and mechanical linkage for their actuation by human user.
US08870901B1 Two-way shape memory vascular treatment systems
Vascular treatment and methods include a plurality of self-expanding bulbs and a hypotube including interspersed patterns of longitudinally spaced rows of kerfs. Joints between woven structures and hypotubes include solder. Woven structures include patterns of radiopaque filaments measureable under x-ray. Structures are heat treated to include at least shapes at different temperatures. A catheter includes a hypotube including interspersed patterns of longitudinally spaced rows of kerfs. Heat treating systems include a detachable flange. Laser cutting systems include a fluid flow system.
US08870900B2 Endoscopic beating-heart stabilizer and vessel occlusion fastener
Devices, systems and methods related to endoscopic surgery, particularly related to robotic surgical operations, provide a tissue stabilizer for endoscopically stabilizing a target tissue within a patient's body. For stabilizing a beating heart during a closed-chest coronary artery bypass grafting procedure, a stabilizer is inserted through an endoscopic cannula and provides sufficient surface area to contact the heart and effectively stabilize the target tissue area. The stabilizer can straddle a blood vessel, such as a coronary artery, which is targeted for an anastomosis. Vessel occlusion fasteners may occlude the target blood vessel prior to the anastomosis procedure.
US08870898B2 Self-assembling magnetic anastomosis device having an exoskeleton
The invention is an implantable magnetic anastomosis device having an exoskeleton that directs self-assembly. The design allows the device to be delivered in a linear configuration using a minimally-invasive technique, such as endoscopy or laparoscopy, whereupon the device self-assembles into, e.g., a polygon. A coupled set of polygons define a circumscribed tissue that can be perforated, or the tissue can be allowed to naturally necrose and perforate. The device can be used to create anastomoses in a variety of tissues, such as tissues found in the gastrointestinal, renal/urinary, and reproductive tracts. New procedures for using anastomoses, e.g., surgical bypass are also disclosed.
US08870896B2 Hybrid epilator device
The present invention discloses a hybrid epilator device that comprises a razor blade having a sharp edge for cutting hairs, an epilation cylinder comprising at least a pair of clamping elements that during operation are actuated by an actuation system between an open position and a closed position so that hairs can feed into the gap between the pair of clamping elements in the open position and are gripped and plucked out from the skin surface when the pair of clamping elements is in the closed position, and a manually operable adjustment mechanism that has a least a first adjustment and a second adjustment such that in the first adjustment and the second adjustment the skin surface can be simultaneously contacted by the sharp edge of the razor blade and an circumferential area of the epilation cylinder. This allows switching between two different epilation efficiencies of the epilation cylinder.
US08870895B2 Medical retrieval device with independent rotational means
A medical retrieval device used to remove objects such as urinary and biliary calculi from the body comprising a handle assembly with an independent rotational means, a sheath, and an object entrapping assembly. In preferred embodiments, the handle assembly comprises a longitudinally stationary base portion with a handle base and a rotating means and a thumb slide actuation means located between the distal and proximal ends of the handle base portion. Actuation of the thumb slide relative to the handle base causes the object entrapping assembly to be actuated between the open and closed positions. Rotation of the rotating means relative to the handle base causes precise rotation of the object entrapping assembly. The thumb slide actuation means and rotating means are separate and independent of each other, preventing inadvertent adjustment of the degree of actuation during rotation and preventing inadvertent adjustment of rotational position during actuation.
US08870892B2 Device for puncturing body and introducing catheter
A device for puncturing body and introducing catheter includes a puncture needle, a guide wire, a peelable catheter sheath, and a puncture protector. The peelable catheter sheath includes an outer sheath and an inner sheath inserted into the outer sheath and having an expansible performance. The inner sheath has a hollow internal cavity, and the guide wire can pass through the hollow internal cavity. The puncture protector includes an inner core, a nut and a spring. The inner core has an inner core head, an inner core tail, and an inner core lumen. The nut has a nut lumen. The inner core is surrounded by the spring, one end of which abuts against the nut, and the other end of which is fastened to the inner core. The inner core tail lies within the nut lumen. The guide wire matches with the inner core lumen.
US08870891B2 ACL reconstruction tunnel gauge and method
A depth gauge and method provide for accurate measurement of a socket portion of a bone tunnel in an ACL reconstruction.
US08870889B2 Patient matching surgical guide and method for using the same
A system and method for developing customized apparatus for use in one or more surgical procedures is disclosed. The system and method incorporates a patient's unique anatomical features or morphology, which may be derived from capturing MRI data or CT data, to fabricate at least one custom apparatus. According to a preferred embodiment, the customized apparatus comprises a plurality of complementary surfaces based on a plurality of data points from the MRI or CT data. Thus, each apparatus may be matched in duplicate and oriented around the patient's own anatomy, and may further provide any desired axial alignments or insertional trajectories. In an alternate embodiment, the apparatus may further be aligned and/or matched with at least one other apparatus used during the surgical procedure.
US08870883B2 Method for less invasive knee resection
Various method and apparatuses may be used to perform a procedure, such as a resection of a portion of the anatomy for preparation of the implants of a prosthetic. Various resecting member, including saw blades having selected geometries and shapes, can be used to assist in the resection of an anatomy to provide for implantation of a prosthetic. In addition, a cutting block assembly may be used to guide the resecting member. The cutting block assembly may be moveably mounted relative to the portion to be resected.
US08870879B2 Minimally invasive spinal stabilization method
A spinal fixation assembly includes a pedicle rod and pedicle screws which secure the pedicle rod to the spine. Each pedicle screw includes a head configured to receive a portion of the pedicle rod, and a threaded portion extending from a first end of the head and configured to engage a vertebra. The pedicle rod is secured to the head by a fastener. The head includes a breakaway region that defines a location in which at least a first portion of the head can be easily separated from the remainder of the head upon application of sufficient force to the first portion. A minimally invasive method of implanting the spinal fixation assembly is disclosed.
US08870872B2 Impact-driven intraosseous needle
An apparatus for penetrating a bone marrow of a bone is provided. The apparatus includes a housing comprising a handle and a trigger mechanism, a spring-loaded assembly comprising a rod and a shaft; and a connector comprising a first end operable to connect to the drive shaft and a second end operable to attach to a penetrator hub. The penetrator hub includes a penetrator operable to access the bone marrow.
US08870871B2 Biodegradable bone plates and bonding systems
The invention relates to novel internal fixation devices, such as bone plates, generally and novel craniomaxillofacial bone plates more specifically and systems for bonding the same. More specifically, the invention relates to bone plates made of a polymer blend of (poly)lactic acid and Ecoflex as well as a novel hot-melt adhesive polymer blend of the same material.
US08870869B2 Fixing devices and stabilization systems using said fixing devices
A fixing device to connect a bone to a linking member (18) is characterized in that it comprises; —a connecting part (12, 20, 22) to be connected to said linking member (18), having an outer face; —a conformable elongate ligature (14) cooperating with said bone suitable for securing said connecting part to said bone; said ligature applying a portion of the outer surface of the connecting part against a portion of said bone; and—adjustable locking means (46) fastened to said connecting part (12) to maintain said ligature in a state which allows a controlled relative mobility between said bone and said connecting part (12).
US08870868B2 External ankle distraction and load bypassing system and method
Ankle distraction or load bypassing devices include a shoe or shoe insert that securely holds a patient's foot, and a cuff that securely holds the patient's lower leg. A load bypassing device can transmit force between the cuff and the shoe or shoe insert bypassing a portion of the load around the joint. A distraction force generating mechanism between the cuff and the shoe or shoe insert can distract the patient's ankle, while permitting the ankle to flex at a joint which joins together the cuff and the shoe.
US08870865B2 Medical tool for reduced penetration force with feedback means
A medical device for reducing the force necessary to penetrate living being tissue using a variety of reciprocating motion actuators, including piezoelectric, voice coil, solenoids, pneumatics or fluidics. The reciprocating actuator drives a penetrating member, such as a needle, through the tissue at a reduced force while the device detects the passage of the penetrating member through the tissue. Upon passage of the penetrating member through the tissue, electrical power to the reciprocating actuator is automatically terminated. One exemplary method for detecting this passage is via a fluid-containing syringe that is coupled to a channel within the penetrating member. Once the penetrating member tip has passed through the living tissue, the fluid within the syringe no longer experiences any pressure and a plunger within the syringe displaces indicating passage of the penetrating member tip. This motion can provide direct tactile feedback to an operator of the medical device or can automatically open a switch providing electrical power to the medical device. Alternatively, a pressure transducer can also monitor the pressure within the penetrating member channel and automatically activate the switch to cut off the electrical power.
US08870863B2 Catheter apparatuses, systems, and methods for renal neuromodulation
Catheter apparatuses, systems, and methods for achieving renal neuromodulation by intravascular access are disclosed herein. One aspect of the present application, for example, is directed to apparatuses, systems, and methods that incorporate a catheter treatment device comprising an elongated shaft. The elongated shaft is sized and configured to deliver an energy delivery element to a renal artery via an intravascular path. Thermal or electrical renal neuromodulation may be achieved via direct and/or via indirect application of thermal and/or electrical energy to heat or cool, or otherwise electrically modulate, neural fibers that contribute to renal function, or of vascular structures that feed or perfuse the neural fibers.
US08870862B2 Method to treat gastric reflux via the detection and ablation of gastro-esophageal nerves and receptors
A method of regulating temperature at a tissue site comprising measuring sphincter tissue temperature at or near a tissue site, comparing the measured sphincter tissue temperature to a desired temperature, increasing an existing flow rate of a cooling solution to the tissue site if the measured tissue temperature exceeds the desired temperature, and maintaining the existing flow rate of the cooling solution to the tissue site if the measured temperature does not exceed the desired temperature.
US08870859B2 Therapeutic apparatus having insulated region at the insertion area
Apparatus and methods for insulating tissue during therapeutic procedures.
US08870858B2 Methods and apparatus related to a side-fire assembly that has an optical grating
An apparatus may include an optical fiber having an angled grating aligned along a plane non-normal to a longitudinal axis of a distal end portion of the optical fiber. The angled grating may be configured to redirect a first laser energy propagated within the optical fiber and incident on the angled grating to a direction offset from the longitudinal axis. The apparatus may also include a metallic cap coupled to the optical fiber. The metallic cap may have an inner surface configured to redirect a second laser energy incident on the inner surface along the direction offset from the longitudinal axis. The second laser energy being different than the first laser energy.
US08870856B2 Method for heating skin using light to provide tissue treatment
A system and method for using a light source to treat tissue with NIR light. The operation provides for generating higher temperatures in deeper layers of tissue relative to higher layers of tissue. The increased temperature in dermal layers can operate to induce collagen shrinkage, or remodeling. One of the light sources for providing a broad spectrum of NIR light is a filament light. The light from the filament lamp can be selectively filtered, and after filtering this light is applied to the skin, where the selective filtering can enhance the ability to elevate the temperature of deeper layers of tissue, relative to layers of tissue which are closer to the surface of the skin.
US08870855B2 Release mechanism for releasing a medical implant from a catheter, and catheter having a release mechanism
A release mechanism (100, 100a) for releasing a medical implant (105) from an insertion device (110), comprising a body (10) having a proximal end (12) and a distal end (14), wherein between the proximal end and the distal end (12, 14) an actuator (16, 16a) is provided, wherein for generating a selective relative displacement between the first and second insertion elements (72; 74) of the insertion device (110), the actuator (16, 16a) has a first and at least a second direction of motion (18; 20), wherein in the first direction of motion (18), the first and second insertion elements (72; 74) can be displaced relative to one another in the longitudinal direction (18), and wherein in the at least second direction of motion (20), the actuator (16, 16a) effects a movement transversely to the longitudinal direction (18).
US08870852B2 Medical device for providing port-like access to a mammalian urinary bladder and methods of inserting and utilizing the same
A medical device for providing direct port-like endoscopic access to the urinary bladder of a patient and a method of utilizing and inserting the medical device. The medical device can include a hollow tube with a main channel and a separate channel, a cap with an inflation port and a hollow flexible stem fluidly connecting the inflation port and the separate channel. A method can include inserting a needle above the pubic symphysis of a mammal, threading a guide wire through the needle, removing the needle and inserting the medical device. The method can optionally include determining measuring the depth between the skin surface of the patient's suprapubic region and urinary bladder.
US08870851B2 Reduced pressure treatment system having blockage clearing and dual-zone pressure protection capabilities
A method of treating a tissue site is provided. The method includes applying a reduced pressure to a tissue site with a reduced pressure source. A source pressure is monitored at the reduced pressure source, and a differential pressure is determined between the source pressure and the desired tissue site pressure. If a blockage is present between the reduced pressure source and the tissue site, the differential pressure is limited to a first maximum differential pressure. If no blockage is present between the reduced pressure source and the tissue site, the differential pressure is limited to a second maximum differential pressure.
US08870839B2 Disposable article including a nanostructure forming material
A disposable treatment article or disposable cleaning article that includes a hydrophobic nanoporous material. The disposable treatment or cleaning article is configured to contact and apply the nanoporous material to a surface. The nanoporous material is configured to form hydrophobic nanostructures on a surface upon the application of an activation stimulus. The nanostructures provide an anti-contamination benefit to the surface upon which the nanostructures are disposed.
US08870838B2 Ostomy collecting device
An ostomy collecting device for attachment to the body comprising a collecting pouch and an adhesive wafer, the wafer comprises a soft adhesive layer and a backing layer wherein the wafer is more stretchable in a first direction than in a second direction. The anisotropic nature of the wafer facilitates a flexible wafer during use and secures easy removal.
US08870836B2 Method and device for delivering medicine to bone
A system for communicating fluid to or from a bone includes an insert. The insert includes a shaft extending between proximal and distal ends of the insert, a fenestration, and an expandable portion coupled to the shaft. The shaft is cannulated along at least a portion of the shaft between the proximal and distal ends. The fenestration is disposed along the cannulated portion of the shaft. Outer surfaces of the expandable portion are configured to expand laterally outward to increase the cross-sectional area of the expandable portion orthogonal to the shaft.
US08870833B2 Anti-rotation and removal resistant adapter for use with a syringe
An adapter and syringe assembly is described in this application which includes a syringe and an adapter for anti-rotation, removal resistant connection to the syringe. The syringe has a body defining a fluid reservoir, a plunger assembly including a plunger head dimensioned to be slidably received within the reservoir, a fluid outlet, and a first coupling member. The adapter has a body portion defining a fluid channel, a collar portion, and a second coupling member configured to engage the first coupling member to secure the adapter to the syringe. The syringe includes at least one rib and a surface of the collar includes at least one protrusion. The at least one rib and the at least one protrusion are configured and positioned to interact to facilitate attachment of the adapter to the syringe but to resist detachment of the oral dose tip adapter from the syringe. The body portion of the adapter may define an oral dose tip or a needle hub assembly.
US08870832B2 Vial adaptor and manufacturing method therefor
A vial adaptor for releasably holding a vial (1010) and releasable connection to an injector (1008) comprising: a dual-sleeved member (11) comprising a forward facing sleeve (12) and a rearward facing sleeve (16) adapted to operably engage a front end of a forward housing (1040) of said injector (1008), and comprising a vial stopper piercing member (46); and a vial engaging element (60) adapted to slidingly translate within said forward facing sleeve (12) and releasibly hold said vial (1010) in a position whereby said vial stopper piercing member (46) pierces a stopper of said vial (1010), said vial engaging element (60) adapted to allow forward movement of a plunger of said injector (1008) when said vial (1010) is in said position. In other aspects, a vial-stopper piercing spike and a method of manufacturing same is described.
US08870824B2 Aspiration catheter
An aspiration catheter includes an aspiration tube and an aspiration lumen extending between and opening to the distal and proximal ends of the aspiration tube, and a guidewire tube bonded to the outer surface of the aspiration tube on a distal end portion of the aspiration tube, with at least a portion of the guidewire tube being parallel to the aspiration tube. A guidewire lumen extends through the guidewire tube and opens at a proximal opening located at a proximal end of the guidewire tube. The outer surface of the aspiration tube has a flat portion where the outer surface is parallel to an axial direction of the aspiration lumen, and the proximal opening of the guidewire lumen is located at the flat portion of the outer surface of the aspiration tube.
US08870821B2 Device for tissue injection
A system for administering an injection is described. The invention consists of an adhesive patch with an integrated injector that controllably insets an injection needle and delivers the medication contained within the patch. Variations of the system may also subsequently and automatically retracts the needle, rendering the patch safely inert. In additional variations, a portion of the system may remain on the patient and function as a bandage.
US08870818B2 Systems and methods for alignment and detection of a consumable component
Systems and methods for alignment and detection of a consumable component are disclosed herein. For example, a method for determining if a consumable component is coupled to a durable component to enable dispersion of a medicine is provided. The method includes determining if a signal from an electrical contact coupled to a durable component has changed an electrical state, and comparing the signal to a reference signal from a second electrical component coupled to the durable component. The method includes sampling a sensor coupled to the durable component to acquire sensor data indicative of a magnetic field observed by the sensor, and outputting data that a consumable component is coupled to the durable component if the signal is different than the reference signal, and the sensor data is within an acceptable range.
US08870811B2 Peritoneal dialysis systems and related methods
Peritoneal dialysis systems and related methods are disclosed. The methods can include combining a first solution having a first concentration of a solute with a second solution having a second concentration of the solute to form a custom dialysate, e.g., according to a specific prescription for a patient.
US08870805B2 Method and apparatus for treating a carotid artery
One disclosed embodiment comprises a method for treating lesions in the carotid artery of a mammalian body. The method comprises transcervical access and blocking of blood flow through the common carotid artery (with or without blocking of blood flow through the external carotid artery), shunting blood from the internal carotid artery and treating the lesion in the carotid artery.
US08870801B2 Lower limb joint orthosis and control method therefor
A lower-limb joint orthosis includes a lower component supporting the foot region, an upper component attached to the lower-limb, and an orthotic joint coupling both components. The orthotic joint includes: a stator including some fluid chambers in which an MR fluid is enclosed; and a rotor including partition plates protruding into the respective fluid chambers. The stator includes a communication path between the anterior chamber and the posterior chamber. The rotor includes connection paths that connect the anterior chambers together, and connect the posterior chambers together. An electromagnet is placed on a side surface of the communication path so that a rotational resistance of the lower component and the upper component is adjusted by adjusting a magnetic force to control the viscosity of the MR fluid that flows through the communication path.
US08870800B2 Cervical collar
A cervical collar including an anterior portion having a rigid or semi-rigid shell anterior main support piece. The anterior portion defines resilient or flexible edges formed along the periphery of the main support piece. The flexible edges form a three-dimensional anatomically configured proximal support portion defined along a proximal area of the anterior portion. The anterior main support piece defines a supporting spring portion centrally located along the proximal area of the anterior portion. The proximal support portion is formed around and encompasses the supporting spring portion.
US08870797B2 Non-invasive device for synchronizing chest compression and ventilation parameters to residual myocardial activity during cardiopulmonary resuscitation
A method for improving the cardiac output of a patient who is suffering from pulseless electrical activity or shock and yet still displays some myocardial wall motion including sensing myocardial activity to determine the presence of residual left ventricular pump function having a contraction or ejection phase and a filling or relaxation phase. In such cases, a compressive force is repeatedly applied to the chest based on the sensed myocardial activity such that the compressive force is applied during at least some of the ejection phases and is ceased during at least some of the relaxation phases to permit residual cardiac filling, thereby enhancing cardiac output and organ perfusion. Also incorporated may be a logic circuit capable of utilizing multiple sensing modalities and optimizing the synchronization pattern between multiple phasic therapeutic modalities and myocardial residual mechanical function.
US08870795B2 Method and apparatus for analyzing gait pattern
A method and apparatus for recognizing a gait pattern are provided. The method for recognizing a gate pattern includes: obtaining a walker's foot pressure distribution values through a pressure sensor array disposed at the bottom of a pair of shoes; calculating valid pressure points among the foot pressure distribution values; calculating a center of pressure (COP) movement trace by using the valid pressure points; and recognizing the walker's gait pattern by using the COP movement trace.
US08870792B2 Physiological acoustic monitoring system
A physiological acoustic monitoring system receives physiological data from an acoustic sensor, down-samples the data to generate raw audio of breathing sounds and compresses the raw audio. The acoustic monitoring system has an acoustic sensor signal responsive to tracheal sounds in a person. An A/D converter is responsive to the sensor signal so as to generate breathing sound data. A decimation filter and mixer down-samples the breathing sound data to raw audio data. A coder/compressor generates compressed audio data from the raw audio data. A decoder/decompressor decodes and decompresses the compressed audio data into decompressed audio data. The decompressed audio data is utilized to generate respiration-related parameters in real-time. The compressed audio data is stored and retrieved so as to generate respiration-related parameters in non-real-time. The real-time and non-real-time parameters are compared to verify matching results across multiple monitors.
US08870781B2 Pressure-providing instrument and biosignal-measuring device including a pressure-providing instrument
A pressure supplier including an actuator that may be selectively expanded and contracted by applying a voltage, an elastic board being transformed in a predetermined direction to apply pressure upon a body part of a user when the actuator is contracted, and a guide member connecting with the actuator and the elastic board, and guiding the elastic board to be transformed in the predetermined direction while applying the pressure when the actuator is contracted.
US08870780B2 Systems and methods for monitoring heart function
Characteristics of a user's heart are detected. In accordance with an example embodiment, a ballistocardiogram (BCG) sensor is used to detect heart characteristics of a user, and provide a BCG output indicative of the detected heart characteristics. The BCG output is further processed using data from one or more additional sensors, such as to reduce noise and/or otherwise process the BCG signal to characterize the user's heart function.
US08870777B2 Ultrasound diagnostic apparatus
An ultrasonic diagnosis apparatus includes a frame data generation device configured to generate a frame data of a blood flow image based on echo signals obtained by transmissions and receptions of ultrasonic waves on a same acoustic ray, a processed frame data generation device configured to generate a processed frame data using current data excluding an error data having a higher brightness, a faster blood speed, or a higher blood flow power than a brightness, a blood speed, or a blood flow power of standard data within pixel data corresponding to each other in a plurality of frame data at different time phases, and an image display control device configured to display a blood flow image based on the processed framed data.
US08870775B2 Ultrasonic diagnostic apparatus and ultrasonic diagnostic processing method
A generating unit generates a plurality of ultrasonic cross-sectional images at a plurality of angles when an ultrasonic wave emitting surface in an ultrasonic probe is rotated. A regenerating unit saves information on the angle of the ultrasonic wave emitting surface when a predetermined condition is satisfied, and rotates the ultrasonic wave emitting surface from the angle of the ultrasonic wave emitting surface different from the saved angle information to the angle based on the saved angle information.
US08870773B2 Ultrasound-guided delivery of a therapy delivery device to a nerve target
A method for guiding a therapy delivery device to a sympathetic nerve chain target of a subject includes: (a) selecting a sympathetic nerve chain target; (b) using ultrasound imaging to obtain an ultrasound image of anatomical structures relevant to the sympathetic nerve chain target; (c) determining an implantation pathway based on the ultrasound image, the implantation pathway defining a trajectory that avoids the relevant anatomical structures and extends between an insertion point on the skin of the subject and a bony spinous target; (d) inserting an introducer into the insertion point, the introducer including a bevel located at a distal end thereof; (e) navigating the introducer through the implantation pathway until the distal tip is positioned adjacent or proximate to the bony spinous target; and (f) advancing the therapy delivery device through the introducer to the sympathetic nerve chain target. Steps (d)-(f) are performed using real-time ultrasound imaging.
US08870772B2 Method and system for tissue recognition
A method for characterizing body tissue, comprising: a) transmitting ultrasound into tissue of a body, heating the body tissue by less than 3 degrees Celsius; b) measuring temperature of the tissue, at one or more locations at one or more times during the ultrasound transmission, following the ultrasound transmission, or both; and c) using the temperature measurement to determine at least one property of the body tissue, based on differences in absorption of ultrasound, differences in cooling rate of the tissue following the ultrasound transmission, or both.
US08870771B2 Method and apparatus for categorizing breast density and assessing cancer risk utilizing acoustic parameters
A method for categorizing whole-breast density is disclosed. The method includes the steps of exposing breast tissue to an acoustic signal; measuring a distribution of an acoustic parameter by analyzing the acoustic signal; and obtaining a measure of whole-breast density from said measuring step. An apparatus is also disclosed.
US08870769B2 Inter dialytic monitoring device
An inter dialytic monitoring device is disclosed which monitors in vivo preselected parameters of blood content during an inter dialytic period to monitor patient health. The monitoring device is configured to extend through and releasably engage a catheter which has been placed within a patient to monitor a patient's blood. A sensor is provided to detect the presence of selected analytes and to identify when preselected parameters have been reached.
US08870767B2 Endocapsule
An endocapsule has a measurement chamber therein containing a sensor that detects at least one metabolic product of a specific bacterium in a hollow organ of a human or animal gastrointestinal tract. The endocapsule is introduced into the hollow organ wherein detection of the at least one metabolic product takes place.
US08870764B2 Multi-modal sleep system
Systems and methods are provided for a multi-modal sleep system comprising a data processor for operating in a plurality of operating modes. The data processor may detect at least one sensor providing data to the data processor and determine a sensor type associated with each of the at least one sensor. The data processor may select a mode of operation based on the determined sensor type of the detected at least one sensor and of each of the at least one sensor. A first of the plurality of operating modes may be selected in response to determining that the at least one detected sensor includes a first sensor type or combination of sensor types. The data processor may be configured to receive data from the at least one detected sensor and process the received data according to the selected mode of operation to output a characterization of a user's sleep.
US08870756B2 Hybrid apparatus for fluid supply for endoscopic irrigation and lens cleaning
A hybrid apparatus for delivery of fluid in connection with endoscopic irrigation and lens cleaning including a connector which is adaptable to a flexible or rigid container, a connector arranged at the end thereof and connected via a tubing supply to a fluid, air and or gas source and to an endoscope during a procedure.
US08870753B2 Imaging assembly with transparent distal cap
An imaging assembly for use in a medical imaging device such as an endoscope or the like. In one embodiment, the imaging assembly includes a transparent distal cap that is shaped to receive an image sensor insert. The image sensor insert has a cooling channel that supplies a cooling liquid or gas to one or more illumination sources.
US08870751B2 Endoscope system, endoscope image recording apparatus, endoscope image acquisition assisting method and computer readable medium
Plural predetermined examination parts of a patient are imaged sequentially and still images of the respective examination parts are thereby acquired by inserting an endoscope insertion unit having an imaging optical system in its tip portion into the body cavity of the patient. To this end, the number of still images taken is counted every time one of the predetermined examination parts. The counted number of still images taken is compared with a preset number of images which corresponds to the number of the plural predetermined examination parts. An alert is generated when non-coincidence is detected between the counted number of still images taken and the preset number. The operator is thus notified of occurrence of a failure to image.
US08870750B2 Imaging method for medical diagnostics and device operating according to this method
In an imaging method for medical diagnostics and a device operating according to this method, during an endoscopic examination of a body region of a patient with an endoscope, an image is generated with a non-endoscopic imaging method and the image field of the endoscope is determined and rendered in the image (28) with accurate position and orientation.