用于射频调制器的数字边带抑制

申请号 CN200510117188.9 申请日 2005-11-02 公开(公告)号 CN1773974A 公开(公告)日 2006-05-17
申请人 阿尔卡特公司; 发明人 海因茨·施莱辛格; 乌尔里希·魏斯;
摘要 本 发明 提供了用于I/Q 调制器 的边带抑制方法以及用于边带抑制的 电子 电路 、在无线电信和数字通信网络的 框架 中利用该 电子电路 的收发机、基站和移动台。本发明的边带抑制方法是基于两个步骤的调制方案,其中基带 信号 借助于两个调制器而调制成中频信号,其继而借助于模拟I/Q调制器而调制成RF信号。本发明优选地通过利用两个CORDIC模 块 作为由 相位 累加器驱动的调制器,来提供中频信号相位的自适应和动态调谐。此外,控制单元用以响应于在I/Q调制器的RF输出中检测到不希望的边带信号,来调谐中频信号的相位。
权利要求

1.一种调整I/Q调制器的复输入信号的相对相位,用于衰减所述I/Q调制器(106)的输出边带的方法,该方法包括步骤:借助第一和第二调制器(102,104)将基带信号调制成中频信号;将所述中频信号作为输入信号提供给所述I/Q调制器(106);调谐所述中频信号的所述相位以便最小化所述I/Q调制器的所述输出信号的边带幅度。
2.根据权利要求1的方法,其中所述第一和第二调制器被实施为第一和第二坐标旋转数字计算机(CORDIC)模(120,122)。
3.根据权利要求2的方法,其中所述第一和第二CORDIC模块由相位累加器(126)驱动,该相位累加器适于以可调谐的相位在所述中频处产生驱动信号。
4.根据权利要求1的方法,其中所述第一和第二调制器(102,104)由数值受控振荡器(NCO)(108)驱动,该数值受控振荡器适于以可调谐的相位在所述中频处产生驱动信号。
5.根据权利要求1的方法,其中调谐所述中频信号的所述相位进一步包括:确定所述I/Q调制器(106)的所述输出信号的所述边带的所述幅度,利用所确定的幅度作为反馈信号,以及/或者根据所述中频信号的所述频率,通过预定义的值来修改所述中频信号的所述相位。
6.一种适于调整I/Q调制器(106)的复输入信号的所述相位,用于衰减所述I/Q调制器的输出边带的电子电路(100;200),该电子电路包括:第一调制器(120)和第二调制器(122),用于将基带信号调制成中频信号;产生器模块(126),用于产生驱动信号;相位模块(124),用于通过利用所述驱动信号来调谐所述中频信号的所述相位。
7.根据权利要求6的电子电路,其中所述第一和第二调制器被实施为第一和第二坐标旋转数字计算机(CORDIC)模块(120,122),以及其中所述产生器模块由相位累加器(126)来实施。
8.一种用于无线通信网络的收发机,包括根据权利要求6的所述电子电路。
9.一种无线通信网络的基站,包括根据权利要求8的所述收发机。
10.一种无线通信网络的移动台,包括根据权利要求8的所述收发机。

说明书全文

用于射频调制器的数字边带抑制

技术领域

发明涉及电信领域,特别涉及基于I/Q信号处理的高级发射机体系结构。

背景技术

在无线电信尤其是数字无线通信系统的框架中,承载信息的边带信号在广播到自由空间中之前须被调制成射频(RF)。一般地,存在有用于将边带信号调制成射频(RF)信号的各种调制技术。
在一方面,单级调制技术通过利用高度线性和高度对称的混频器,比如具有很低的相位、幅度和DC偏移误差的I/Q调制器,来提供边带信号到RF信号的直接转换。这样的单级转换技术需要RF混频器的高性能。一般地,不实施某种误差补偿方案,这些RF混频器仅为边带应用提供有限的性能。此外,所实施的RF混频器的一般属性可能在其期望的生命周期中改变,还可能针对变化的环境条件比如温度转变等而改变。
模拟或数字产生中频信号的多级调制技术固有地会产生镜像频率,它们须借助中频或高频模拟滤波器来衰减。这些多级调制方案的附加滤波器和相当复杂的体系结构的实施在生产成本上是不利的。而且,通过产生须被滤除的不希望的镜像频率,调制过程所需能量的相当一部分被完全浪费掉了。
在原理上,任何分量固有误差,特别是相位和幅度误差,在所产生的RF信号的不充分边带抑制中有所反映。不希望的边带可能显著地破坏了移动通信网络系统中收发机的传输频谱。由于幅度误差而在传输频谱中产生的边带能够以可购买获得的数字模拟转换器-比如AnalogDevices公司的AD 9777-来有效地消除。关于进一步信息可查阅http://www.analog.com。
然而,由于相位误差带来的边带抑制仍遗留有问题。相位误差可能是由于所涉及的电子组件比如I/Q调制器的制造公差产生的。假设I/Q调制器和输入边带信号的幅度误差以及适当的DC偏移误差能够被补偿,则一般的相位误差可被拆分成输入I/Q信号φm的实部虚部之间的相位偏移以及代表I/Q调制器相位误差的相位误差φC,其例如可能是由于制造公差引起的。
进行I/Q调制,即以本地振荡器(LO)信号调制基带信号,不可避免地产生对称于RF或中频载波频率的下边带和上边带。当I和Q分路之间的幅度差,即用于I和Q分路的调制器的增益之差可被消除时,如果调制器固有相位误差准确地对应于输入信号的相位偏移,即φm=φC,则两个边带之一——下边带或上边带——可被完全地消除。
因此本发明的目的在于提供通过利用相位调整来有效抑制调制器输出的边带。

发明内容

本发明提供了调整I/Q调制器的复输入信号相位,用于优化I/Q调制器输出信号的边带抑制的方法。在第一步骤中,基带信号借助于第一和第二调制器而调制成中频信号,这些调制器适于转换初始I/Q信号的实分路和虚分路。例如,通过利用基带输入信号的相同分路I和Q,第一调制器将输入I/Q信号的调制提供给中频信号的实分路I’,第二调制器提供相应的虚分路Q’。这些第一和第二调制器被优选地实施为数字调制器。第一和第二调制器因此允许相对于基带输入信号的相位来手工地调整所产生的中频信号的相位。于是能够修改中频信号的I’或Q’分路的相位。
优选地,基带信号被转换成具有更高载波频率的中频信号。然而,该转换不必必须提供具有更高频率的信号。在具体情况中,中频信号的频率和基带信号的频率可以相等,其对应于中频为零。于是,对于零中频,中频信号的频谱保持位于零周围。
由第一和第二调制器产生的中频信号被作为输入信号提供给I/Q调制器。最后,该方法提供中频信号的相位调谐,以便最小化I/Q调制器输出的一个边带的幅度。根据优选的发射机配置,本发明提供下边带或上边带抑制。原理上,这使得可以选择衰减下边带还是上边带以及使得I/Q调制器的输出适应于需要上边带或下边带抑制的不同应用场合。I/Q调制器的数字输入信号的相位调谐一般是通过改变中频I/Q信号的实分路或虚分路的相位来实施的。
具体来说,基带信号到中频信号的数字调制有效地允许操作中频信号的相位,从而高准确度地操作I/Q调制器的输入信号相位。以此方式,能够动态地补偿I/Q调制器的固有相位误差,其可能是由于I/Q调制器的制造公差引起的。于是,本发明提供了I/Q调制器的输入信号的动态相位调节,用于抑制不利的和不希望的边带。
现有技术中已知的、利用例如滤除边带或者将不可避免的边带移至信号传输带之外的频带中的技术方案相比,本发明有效地禁止了不希望的边带的产生,因此提供了在调制过程中节约能量以及避免滤波器应用的有效手段。
此外,动态的相位调整机制允许以相当大的制造公差来实施低成本的电子部件,用于实现I/Q调制器。通过自适应地调谐I/Q调制器的输入信号相位,具有显著相位误差的标准的和低成本的I/Q调制器甚至可被实施用于宽带应用,比如宽带和多带收发机(如通用移动电信系统(UMTS)收发器)的结构中的应用。
在本发明的典型实施中,通过利用基带信号的I和Q分路二者,第一数字调制器接收基带信号的I和Q分路,并且为I/Q调制器产生I’输入分路,第二数字调制器为I/Q调制器的Q’输入分路产生信号。
按照本发明的又一优选实施例,第一和第二调制器被实施为第一和第二坐标旋转数字计算机(CORDIC)模。这些第一和第二CORDIC模块提供了将输入信号与三函数比如正弦或余弦相乘。CORDIC模块的基本思想是基于迭代算法,其通过与连续的恒定值相乘来提供复数的相位旋转。这些相乘可以都是二的幂,从而在二进制算术中它们可仅利用移位和相加来完成;不需要实际的硬件乘法。
当硬件乘法器不可用时,比如在微控制器中,或者当现场可编程阵列(FPGA)的适当门应当被保留用于其他应用时,该CORDIC方式是特另有利的。
此外,基于CORDIC的模块可在被适当地驱动时以任何想要的精确度来计算三角函数。以此方式,能够针对任何想要的准确度来操作中频信号的相位。
按照本发明的又一优选实施例,第一和第二CORDIC模块由相位累加器驱动,该相位累加器适于以可调谐的相位在中频处产生驱动信号。这里,具有任意长度的相位累加器的输入字控制着产生的正弦波的频率。产生的波的相位由模2π掌握。这允许以高精确度调谐CORDIC模块的输出信号的相位,以及由此以高精确度调谐I/Q调制器的输入信号的相位。驱动信号的频率一般在数MHz范围;这样它可借助于数字信号处理来产生。
按照本发明的又一优选实施例,第一和第二调制器由数值受控振荡器(NCO)驱动,该数值受控振荡器适于以可调谐的相位在中频处产生驱动信号。例如,NCO模块提供正弦和余弦振荡作为用于调制器的输入信号。调制器继而提供NCO输入信号与基带信号的I和Q分量的相乘。优选地,NCO提供用于第一调制器的第一输入信号和用于第二调制器的第二输入信号。可对第一或第二输入信号的任一个进行相位操作。
按照本发明的又一优选实施例,复中频信号的相位调谐进一步包括确定I/Q调制器的输出信号的边带幅度,以及利用所确定的幅度作为反馈信号用于操作中频信号的相位。以此方式,通过反馈信号的处理,I/Q调制器的输入信号的相位可被适当地修改,以便几乎完全地消除I/Q调制器的高频输出的不希望的边带。
按照本发明的又一优选实施例,中频信号的相位调谐还可通过借助预定值修改中频信号相位来实现,该预定值又依赖于中频信号的频率或I/Q调制器的频带。预定值可被存储于表中,以及可指定I/Q调制器的频带特有相位误差或相位偏移。然而,这需要在生成各表之前以及因而在进行本发明的边带抑制过程之前确定I/Q调制器的相位误差特性。
与借助于反馈信号来调谐中频信号相位相对照,借助于预定值的相位修改不需要确定输出信号的边带幅度以及后续的信号处理
借助于查找表的I/Q调制器输入信号的相位修改可针对I/Q调制器的特征突出的相位偏移行为来提供充分的边带抑制。由于不需要自适应反馈回路,因此它代表了边带抑制的成本有效方式。然而,为了产生反馈信号用于相位调谐而测量边带幅度,通常代表了用于边带抑制的更为复杂的方法,其考虑了实际的环境条件和实际存在的边带幅度。
在另一方面,本发明提供了一种电子电路,其适于通过调整I/Q调制器的复输入信号的相对相位,来抑制I/Q调制器的输出信号的不希望的边带。本发明的电子电路包括用于将基带信号调制成中频信号的第一和第二调制器。该电子电路还包括产生器模块,用于在中频处产生提供给第一和第二调制器的驱动信号。该电子电路还具有相位模块,其允许调谐中频信号的相位。通过调谐中频信号的相位——其可通过数字信号处理装置来进行——特定边带在I/Q调制器的输出信号中的产生可被有效地抑制、衰减或甚至消除。
而且,该电子电路包括控制单元,其适于测量和确定I/Q调制器的输出的边带信号幅度,以及适当地控制相位模块用于最小化边带幅度。以此方式,相位模块和控制单元有效地提供反馈机制,用于以如下方式来调谐I/Q调制器的输入的相位,即该方式使得I/Q调制器的输出的不希望或不需要的边带被有效地衰减。
在另一方面,本发明提供了用于无线通信网络的收发机,其包括本发明的电子电路。
在另一方面,本发明提供了无线通信网络的基站,其包括利用该电子电路的收发机。
在再一方面,本发明提供了无线通信网络的移动台,其包括利用本发明的电子电路的收发机。
附图说明
在下文中,通过参照附图将更为具体地描述本发明的优选实施例,在附图中:图1示意性地示出了本发明的电子电路的方框图;图2示出了利用CORDIC模块和相位累加器的该电子电路的方框图;图3图示了CORDIC模块和相位累加器的方框图。

具体实施方式

图1示出了本发明的电子电路100的示意性方框图,该电子电路用于抑制I/Q调制器106的输出信号的边带。电子电路100具有调制器102和104、I/Q调制器106、数值受控振荡器模块108、相位模块110、本地振荡产生器模块112以及控制单元114。
须被调制的基带信号是借助两个输入端口116和118来提供的。输出HF信号最终由I/Q调制器106的输出端口119提供。中频信号借助两个调制器104和102来产生,且被作为输入提供给I/Q调制器106。例如,基带信号的实部由输入端口116提供,基带信号的虚部由输入端口118提供。
如图1的方框图中所能看到的,基带信号的实部和虚部,即Q和I分路被提供给调制器102、104二者。调制器102、104二者可通过利用两个单独的乘法器和加法器来实施。以此方式,调制器104例如产生所调制的中频信号的实部,调制器102产生中频信号的虚Q部。
调制器102、104二者借助数值受控振荡器108来驱动。在所示实施例中,调制器102由NCO108直接驱动,而调制器104由NCO108的相应信号驱动,该信号的相位可借助相位模块110偏移。以此方式,中频信号的相位可被任意地调谐。因此其可代表用于I/Q调制器的预失真或预补偿信号。优选地,调制器102、104、NCO108以及相位模块110借助数字处理元件来实施。因此,一般在数MHz范围内的中频信号的产生可被数字化产生,其相位可被数字化操作。
分别由调制器104、102产生的中频信号的实部和虚部被分别地提供给I/Q调制器106作为输入信号。I/Q调制器106一般借助本地振荡(LO)产生器模块112来驱动。输入I/Q调制器106的两个单独的输入信号一般被分别乘以从LO模块112导出的正交信号。随后,两个调制信号被相加提供给I/Q调制器106的HF输出119。
控制单元114和相位模块110用作为控制回路,其用于调谐中频信号的相位。因此,控制单元114被耦合到I/Q调制器106的输出,以便确定I/Q调制器输出的边带幅度。响应于检测到显著的边带幅度,控制单元114适于借助控制相位模块110来改变中频信号的相位。通过测量以相位改变的输入信号为基础的I/Q调制器106的恰当输出信号,边带幅度可被迭代地最小化,或者I/Q调制器输出的整个边带可被完全地消除。
控制单元114和相位模块110的反馈回路提供了有效和准确的手段用以抑制HF信号的传输带中的边带信号以及提供了动态的方法用于补偿输入基带信号的相位偏移和I/Q调制器106的相位误差。
图2示出了电子电路200的优选实施的方框图,该电子电路利用两个CORDIC模块120和122作为图1中所示实施例的调制器102、104的替代。此外,与图1相比,NCO108也被相位累加器126替代。同时,相位模块124适于由相位累加器126驱动以及将相位偏移的驱动信号提供给CORDIC模块122。以此方式,由CORDIC模块122产生的信号相位可相对于CORDIC模块120所产生的信号相位来有效地偏移。
此外,I/Q调制器106的内部结构被示意性地示出。I/Q调制器106具有两个乘法器128、130、加法器134以及拆分模块132。由本地振荡器模块112产生的高频信号被提供给拆分模块132,其产生用于乘法器128的第一正弦信号并将90°相位偏移的信号提供给乘法器130。以此方式,由CORDIC模块122提供的中频信号的实部可借助乘法器128与正弦信号相乘,而由CORDIC模块120提供的中频信号的虚部可借助乘法器130与余弦信号相乘。两个形成的调制器信号然后借助加法器134来叠加,最终作为RF信号提供给输出端口119,该输出端口例如连接于用于移动电信网络的基站的功率放大器
例如假定提供给乘法器128的中频信号的实部可表示为Acos(ωt+φm),相应的虚部等于Asin(ωt)。I/Q调制器的两个乘法器128和130分别提供与Bcos(ωct+φc)和-Bsin(ωct)的相乘,而ωc代表由LO模块112提供的LO信号的频率,φm代表中频信号的相位,φc反映I/Q调制器106的相位偏移或相位误差。还假定实部和虚部的幅度以及LO信号和输入中频信号的幅度全部相等,则I/Q调制器的输出如下给出:12AB[cos(ωmt+φm-(ωct+φc))+cos(ωmt+φm+ωct+φc)]]]>+12AB[-cos(ωct-ωmt)+cos(ωct+ωmt)]]]>这可根据上边带(USB)表示为:12AB[cos(ωmt+φm+ωct+φc)+cos(ωct+ωmt)]]]>以及根据下边带(LSB)表示为:12AB[cos(ωmt-ωct-φm-φc)-cos(ωmt-ωct)]]]>可以看到,当两个相位φm和φc相等时,就是当φm-φc=0时,则LSB的两个分量相互补偿,下边带可完全消失。
控制单元114用以分析HF输出信号,以及用以一旦在HF输出119处检测到不希望的边带信号时则为相位模块124产生适当的反馈信号。
作为所示实施例的替代,相位模块124可被完全地集成到相位累加器126中。与图1的NCO模块108相对照,相位累加器126以任意准确度提供代表相位偏移的角度值,该角度值可由CORDIC模块加以利用以便计算三角函数,用于修改中频信号的相位。例如,利用16位字长,相位能够以约0.005°的准确度来调整。这令I/Q调制器的输入相位的调整非常精确。例如,对于优于60dB的边带抑制,相位调整的准确度应当低于0.1°。
图1中所示的替代实施例,利用了一般借助查找表来实施的NCO,相位调整位置极大地依赖于查找表的大小。例如,在具有92.16MHz采样率和200kHz步宽的UMTS系统中,至少2,304个值须被存储于查找表中以便具有整数数目的值。将2,304个离散值用于相位调谐,相位就能够以0.156°的准确度来调谐。因此,如图2中所示与相位累加器124相组合的CORDIC方式代表了比利用复杂调制器102、104和NCO108的实施更为准确的边带抑制。优选地,CORDIC模块可通过利用现场可编程门阵列(FPGA)来实现,该阵列提供了不同字长的任意选择。
图3图示了由相位累加器126驱动的CORDIC模块120的方框图。CORDIC模块120的两个输入端口140、142分别提供基带信号的实部和虚部。相位累加器126提供了与相位偏移相应的且可由CORDIC模块120利用的一系列相位角度。基于该相位偏移,CORDIC模块120适于修改其中间频率输出信号的相位,并由此修改I/Q信号的各分路。
例如,相位累加器126以2π为模产生相位信号,其继而用作为根据ωt产生RF频率信号的基础。基于输入端口140处的输入值I和输入端口142处的Q,CORDIC模块120用以乘以复基带信号,以及用以在输出端口144处提供相乘信号的虚部Q’和在输出端口146处提供相乘信号的实部I’。
当如图2所示将CORDIC模块120实施到电子电路200中时,输出端口144、146中的仅一个端口被耦合到调制器106的输入端口中的仅一个端口。例如,CORDIC模块120的虚输出端口144被耦合到I/Q调制器106的虚输入端口,以相应的方式,CORDIC模块122的实输出端口146被耦合到调制器106的实输入端口。于是,两个CORDIC模块120、122的剩余端口不耦合到I/Q调制器106。以此方式,中频信号的虚部和实部借助两个单独的CORDIC模块120、122来产生,所述模块之一提供了相位偏移的中频信号。
标号列表100           电子电路102           调制器104           调制器106I/Q        调制器108           数值受控振荡器(NCO)110           相位模块
112         产生器模块114         控制单元116         I输入118         Q输入119         RF输出120         CORDIC模块122         CORDIC模块124         相位模块126         相位累加器128         乘法器130         乘法器132         拆分模块134         加法器140         I输入142         Q输入144         Q’输出146         I’输出
QQ群二维码
意见反馈