Document Document Title
US08952605B2 Metal hexaboride cold field emitter, method of fabricating same, and electron gun
A metal hexaboride nanowire such as LaB6 with the formed metal-terminated (100) plane at the tip has a small work function, and can emit a very narrow electron beam from the (100) plane. In such emitters, contamination occurs in a very short time period, and the output current greatly decreases when used under low temperature. The cold field emitter of the present invention overcomes this problem with a stabilization process that exposes the metal-terminated (100) plane of the tip to hydrogen at low temperature, and can stably operate over extended time periods.
US08952604B2 Streak tube and streak device including same
A steak tube 1 has a container 2 with an entrance plate 2a and an output plate 2b; a photocathode 7 disposed in the container 2 and configured to emit electrons according to light to be measured, the light having been incident through the entrance plate 2a; a mesh electrode 3, a first focusing electrode 4, and an aperture electrode 5 forming an axially symmetric electron lens for focusing the electrons emitted from the photocathode 7, toward the output plate 2b; a sweep electrode 6 disposed in the container 2 and configured to sweep the electrons focused by the axially symmetric electron lens, in a sweep direction along the output plate 2b; and a second focusing electrode 9 disposed between the entrance plate 2a and the output plated 2b and forming a one-dimensional electron lens for focusing the electrons in the sweep direction.
US08952603B2 Spark plug having specific gasket structure and orientation
A spark plug includes a metal shell and a gasket. The metal shell includes a thread portion, a seat portion, and a thread root portion. The gasket has an inner diameter smaller than a thread size of the thread portion. The relationships 0.8L≦C≦L−2A and B≦0.75L are satisfied in a case where: in the seat portion, an outer diameter of a seating portion is L (mm); the maximum value of a relatively shiftable distance is A (mm), the gasket is shiftable along a direction orthogonal to the axis relative to the metal shell by the maximum value; in the gasket, an outer diameter is C (mm); and in the gasket, an inner diameter is B (mm).
US08952594B2 Actuator
An actuator includes an electrolyte membrane, first and second driving electrodes that are disposed on the opposite surfaces and that give a potential difference to the electrolyte membrane, a sensor that is formed on the electrolyte membrane and that detects a force acting on the electrolyte membrane, and a driving control circuit that supplies a drive voltage to the first and second driving electrodes on the basis of an instruction signal and a detection output of the sensor. The driving control circuit applies a PWM drive voltage with a duty ratio corresponding to a difference between the instruction signal and the detection output of the sensor across the first driving electrode and the second driving electrode.
US08952593B2 Motor assembly for housing a capacitor in a miniature brushed DC motor and method of making the same
A motor assembly for a direct current (DC) electric motor, including: a motor cover, a brush base, brush arms and a chip capacitor housed within a protective hollow space provided in the brush base. The brush arms are shaped to support or hold the capacitor within the hollow space and maintain a solderless electrical connection between the terminal ends of the capacitor and the brush arms. The capacitor filters electromagnetic noises in the motor input circuit.
US08952590B2 Transverse and/or commutated flux systems having laminated and powdered metal portions
Electrical machines, for example transverse flux machines and/or commutated flux machines, may be configured to achieve increased efficiency, increased output torque, and/or reduced operating losses via use of laminated materials in connection with powdered metal materials. For example, stacks of laminated materials may be coupled to powdered metal teeth to form portions of a stator in an electrical machine.
US08952586B2 Component positioning structure for components received in a motor's shaft tube
A component positioning structure for components received in a motor's shaft tube includes a base and a stator unit. The base has a shaft tube, and shaft tube has an opening end having a deformable portion. The stator unit is fitted to an outer circumferential wall of the shaft tube and has a pressing portion. The pressing portion abuts against the deformable portion and causes deformation of the deformable portion, allowing the deformable portion to reduce an inner diameter of the opening end.
US08952583B2 Bus ring, and fixing structure thereof
There is provided a bus ring attached to a stator having a plurality of stator teeth aligned in a circumferential direction and a winding wound around each of the stator teeth along an end face of the stator of a rotary electrical machine in an axial direction, and the bus ring is made by curving a conductive wire in an arc shape with a diameter smaller than that of the stator, and staple-shaped bent portions are formed at the conductive wire and the winding is connected to the bent portions. There is also provided a fixing structure of the bus ring configured such that an insulator is interposed between each of the stator teeth and the winding protruding in the axial direction from the end face of the stator to enter inside the conductive wire of the bus ring.
US08952581B2 Electric tools
An electric tool may include a motor housing and a motor disposed within the motor housing. The motor has a stator fixed in position relative to the motor housing and a rotor rotatable about a motor axis. Cooling air can flow within the motor housing across the motor in a direction substantially parallel to the motor axis. A baffle may be disposed within the motor housing for regulating the flow of cooling air across the motor. At least a part of the baffle may be positioned within a range of a length of the stator with respect to the axial direction of the motor axis.
US08952574B2 Safely deploying power
A first-switch-actuation-polarity power is applied to a first-switch actuation line, the first polarity being defined relative to a first voltage on a first-switch-actuation-enable line, causing the first switch to actuate and remain actuated after the applied first-switch-actuation-polarity power is removed. Actuating the first switch couples a first-switch power input line to a first-switch power output line and the first-switch power input line to the first-switch enable line. The first-switch enable line is coupled to a second-switch actuation enable line on a second switch. Second-switch-actuation polarity power is applied to the second-switch actuation line on the second switch, the second polarity being defined relative to a voltage on the second-switch actuation enable line and being opposite of the first polarity, causing the second switch to actuate and remain actuated after the applied second-switch-actuation-polarity power is removed and coupling a second-switch power input line to a second-switch power output line.
US08952573B2 Power generator and power generation system
A power generator according to the present invention includes: a power generating section (101) for outputting DC energy; an oscillator (103) for converting the DC energy into RF energy having a frequency f0; a first antenna (107) for transmitting the RF energy; a second antenna, which receives, by coupling a resonant magnetic field, at least a part of the RF energy transmitted by the first antenna (107); and an output converting section (120) for converting the RF energy supplied from the second antenna (109) into AC energy having a lower frequency than the RF energy. If the oscillator (103) has a voltage step-up ratio Voc, the output converting section (120) has a voltage step-up ratio Vtr, the first inductor (107a) of the first antenna (107) has an inductance L1, the second inductor (109a) of the second antenna (109) has an inductance L2, and the first and second antennas (107, 109) have a coupling coefficient k, the power generator satisfies (L2/L1)≧(k/(Voc×Vtr))2.
US08952567B2 Power line communications method and apparatus
System, for transmitting and receiving signals over residential electrical cables includes at least one active wire, one neutral wire and one ground wire. The system includes at least two power line modems, each one of the two power line modems including a processor, transmitters and at least one receiver, transmitters and the receiver being coupled with the processor, for respectively transmitting and receiving the signals. At least two of the wires form at least one receive wire pair and at least two of the wires form at least one transmit wire pair. Each one of the transmitters defines a respective carrier wave range over the transmit wire pair, the processor determining a frequency carrier wave for the signals when the signals are transmitted. A given one of the transmitters transmits the signals if the frequency carrier wave is in the carrier wave range of the given one of the transmitters.
US08952566B2 Chassis slots accepting battery modules and other module types
A chassis includes a component interconnect board having multiple multi-function slots coupled thereto. Each of the multi-function slots is configured to accept different types of modules, including a battery module and one or more other types of modules, such as server modules or storage modules. The component interconnect board also includes a power bus coupled to receive external power and route the external power to the multiple multi-function slots.
US08952564B2 Power source system for electric powered vehicle
A PLG-ECU for external charging is provided separately from an HV-ECU for vehicle running, and configured to be capable of controlling external charging relays to be turned on/off. A sub DC/DC converter converting the output voltage of a charger into an auxiliary system voltage at the time of external charging is provided separately from a main DC/DC converter of large capacity used at the time of vehicle running. At the time of external charging, PLG-ECU can execute external charging without starting a vehicle running system while sub DC/DC converter can generate an auxiliary system voltage. Since external charging can be executed while system main relays each are kept turned off, a high voltage is not applied to components at the succeeding stage. Consequently, a main battery can be externally charged without exerting any influence on the durability and the life of each component of the vehicle running system.
US08952562B2 Controller mounted in construction machine
A controller mounted in a construction machine such as a dump truck includes a case having an upper surface provided with a plurality of heat release fins and a connector receiver hole for receiving a receptacle connector. The heat release fin includes a first heat release fin and a second heat release fin that are provided mutually crosswise to define an intersection. A first connector disposition face including a corner defined by the intersection and facing an edge of the upper surface is provided on the upper surface. A height of the receptacle connector disposed on the first connector disposition face is lower than a height of the first heat release fin and the second heat release fin.
US08952550B2 Copper-containing C4 ball-limiting metallurgy stack for enhanced reliability of packaged structures and method of making same
The invention relates to a ball-limiting metallurgy stack for an electrical device that contains at least one copper layer disposed upon a Ti adhesion metal layer. The ball-limiting metallurgy stack resists Sn migration toward the upper metallization of the device.
US08952546B2 Integrated circuit designed and manufactured using diagonal minimum-width patterns
An integrated circuit comprising a plurality of standard cell circuit elements is disclosed, wherein for at least one layer of the integrated circuit, a majority of minimum-width patterns are in a preferred diagonal orientation.
US08952545B2 Electronic device including a carrier and a semiconductor chip attached to the carrier and manufacturing thereof
One aspect is a device including a carrier comprising a first conducting layer, a first insulating layer over the first conducting layer, and at least one first through-connection from a first face of the first insulating layer to a second face of the first insulating layer. A semiconductor chip is attached to the carrier and a second insulating layer is over the carrier and the semiconductor chip. A metal layer is over the second insulating layer. A second through-connection is through the second insulating layer electrically coupling the semiconductor chip to the metal layer. A third through-connection is through the second insulating layer electrically coupling the carrier to the metal layer.
US08952542B2 Method for dicing a semiconductor wafer having through silicon vias and resultant structures
The present invention provides a semiconductor device, a semiconductor package and a semiconductor process. The semiconductor process includes the following steps: (a) providing a semiconductor wafer having a first surface, a second surface and a passivation layer; (b) applying a first laser on the passivation layer to remove a part of the passivation layer and expose a part of the semiconductor wafer; (c) applying a second laser, wherein the second laser passes through the exposed semiconductor wafer and focuses at an interior of the semiconductor wafer; and (d) applying a lateral force to the semiconductor wafer. Whereby, the cutting quality is ensured.
US08952535B2 Semiconductor transistor device with barrier interconnects
A semiconductor device including a first insulation film including a first opening reaching a diffusion region of a transistor; a first barrier metal over the diffused region in the first opening; a first conduction layer formed over the first barrier metal in the first opening and formed of a first conductor; a second barrier metal formed over the first conduction layer in the first opening; a second conduction layer formed over the second barrier metal in the first opening and formed of a second conductor; a third barrier metal formed over the first gate electrode in the second opening; a fourth barrier metal formed in the second opening and contacting with the third barrier metal; and a third conduction layer formed of the second conductor contacting with the fourth barrier metal in the second opening.
US08952534B2 Semiconductor device and semiconductor assembly with lead-free solder
A semiconductor device includes a semiconductor substrate, a pad region on the semiconductor substrate, a passivation layer over the semiconductor substrate and at least a portion of the pad region, and a bump structure overlying the pad region. The passivation layer has an opening defined therein to expose at least another portion of the pad region. The bump structure is electrically connected to the pad region via the opening. The bump structure includes a copper layer and a SnAg layer overlying the copper layer. The SnAg layer has a melting temperature higher than the eutectic temperature of Sn and Ag.
US08952532B2 Integrated circuit package with spatially varied solder resist opening dimension
An integrated circuit (IC) package stack with a first and second substrate interconnected by solder further includes solder resist openings (SRO) of mixed lateral dimension are spatially varied across an area of the substrates. In embodiments, SRO dimension is varied between at least two different diameters as a function of an estimated gap between the substrates that is dependent on location within the substrate area. In embodiments where deflection in at least one substrate reduces conformality between the substrates, a varying solder joint height is provided from a fixed volume of solder by reducing the lateral dimensioning of the SRO in regions of larger gap relative to SRO dimensions in regions of smaller gap. In embodiments, the first substrate may be any of an IC chip, package substrate, or interposer while the second substrate may be any of another IC chip, package substrate, interposer, or printed circuit board (PCB).
US08952531B2 Packaging method using solder coating ball and package having solder pattern including metal pattern
A packaging method comprises steps of forming a plurality of pads and another circuit pattern on a substrate, forming a second dry film pattern including opening exposing the pad, mounting a solder coating ball in the opening of the second dry film pattern, performing a reflow process on the solder coating ball in order to allow the solder coating ball to have a modified pattern, delaminating the second dry film pattern, and forming a solder pattern including the modified pattern of the solder coating ball in a solder to mount a chip on the substrate using the solder pattern.
US08952530B2 Post passivation interconnect structures and methods for forming the same
A device includes a metal pad, a passivation layer overlapping edge portions of the metal pad, and a first polymer layer over the passivation layer. A Post-Passivation-Interconnect (PPI) has a level portion overlying the first polymer layer, and a plug portion that has a top connected to the level portion. The plug portion extends into the first polymer layer. A bottom surface of the plug portion is in contact with a dielectric material. A second polymer layer is overlying the first polymer layer.
US08952524B2 Re-workable heat sink attachment assembly
A re-workable heat dissipation assembly may include a non-removable adhesive layer. A first interposer layer may be adhered to a device via the non-removable adhesive layer. A removable adhesive layer may be adhered to the first interposer layer. A heat dissipation assembly may be adhered to the removable adhesive layer. Use of an interposer layer and a removable adhesive layer in combination with a non-removable adhesive layer, provides a high performance heat dissipation assembly while enabling re-working of the assembly following initial manufacture.
US08952522B1 Wafer level package and fabrication method
A method of forming an electronic component package includes coupling a first surface of an electronic component to a first surface of a first dielectric strip, the electronic component comprising bond pads on the first surface; forming first via apertures through the first dielectric strip to expose the bond pads; and filling the first via apertures with an electrically conductive material to form first vias electrically coupled to the bond pads. The bond pads are directly connected to the corresponding first vias without the use of a solder and without the need to form a solder wetting layer on the bond pads.
US08952520B2 Power semiconductor device
A power semiconductor device with improved productivity, reduced size and reduction of amounting area therefore is provided. In the provided power semiconductor device, an external terminal does not limit an increase in current. The power semiconductor device is sealed with transfer molding resin. In the power semiconductor device, a cylindrical external terminal communication section is arranged on a wiring pattern so as to be substantially perpendicular to the wiring pattern. An external terminal can be inserted and connected to the cylindrical external terminal communication section. The cylindrical external terminal communication section allows the inserted external terminal to be electrically connected to the wiring pattern. A taper is formed at, at least, one end of the cylindrical external terminal communication section, which one end is joined to the wiring pattern.
US08952514B2 Semiconductor package
A semiconductor package including a first package having a first semiconductor chip, a plurality of first inner leads electrically connected to the first semiconductor chip, and a plurality of first outer leads extending from the first inner leads and electrically connected to an external apparatus; and a second package having a second semiconductor chip and a plurality of second inner leads electrically connected to the second semiconductor chip, wherein an inactive surface of the first semiconductor chip and an inactive surface of the second semiconductor chip face each other, and the first inner leads contact the second inner leads to be electrically connected to each other.
US08952512B2 Wafer-level package structure of light emitting diode and manufacturing method thereof
A wafer-level package structure of a light emitting diode and a manufacturing method thereof are provided in the present invention. The wafer-level package structure of a light emitting diode includes a die, a first insulating layer, at least two wires, bumps, an annular second insulating layer on the wires and the insulating layer, the annular second insulating layer surrounding an area between the bumps and there being spaces arranged between the second insulating layer and the bumps; a light reflecting cup on the second insulating layer; at least two discrete lead areas and leads in the lead areas. The technical solution of the invention reduces the area required for the substrate; and the electrodes can be extracted in the subsequent structure of the package without gold wiring to thereby further reduce the volume of the package.
US08952510B2 Semiconductor chip and film and tab package comprising the chip and film
A semiconductor chip for a TAB package includes a surface including a set of input pads connected to internal circuitry of the chip and for receiving external signals The surface includes output pads. A plurality of input pads are adjacent a first edge and are in a first row substantially parallel to the first edge and extending in a first direction; a plurality of first output pads are adjacent a second edge, and are in a second row substantially parallel to the second edge and extending in the first direction; and a plurality of second output pads are located between the first row and the second row. The plurality of second output pads include first and second outermost pads located a certain distance from a respective third edge and fourth edge, and first and second inner pads located a greater distance from the respective third edge and fourth edge.
US08952508B2 Lead frame, semiconductor manufacturing apparatus, and semiconductor device
According to one embodiment, a lead frame includes a die pad having a mounting surface on which a semiconductor chip is mounted, plural leads having inner leads and outer leads, and a connecting member that extends from the die pad to both ends of a plurality of leads and connects the die pad and the plurality leads so that the ends of the inner leads are positioned above of the mounting surface.
US08952505B2 Semiconductor device
According to one embodiment, a semiconductor device includes a circuit substrate, a semiconductor element, a sealing resin layer, and a conductive shielding layer. The circuit substrate includes an insulating layer, a plurality of interconnections forming first interconnection layers provided on an upper surface side of the insulating layer, a plurality of interconnections forming second interconnection layers provided on a lower surface side of the insulating layer, and a plurality of vias penetrating from the upper surface to the lower surface of the insulating layer. The semiconductor element is mounted on the upper surface side of the circuit substrate. The conductive shielding layer covers the sealing resin layer and part of an end portion of the circuit substrate. Any of the plurality of vias and the conductive shielding layer are electrically connected.
US08952504B2 Small form factor magnetic shield for magnetorestrictive random access memory (MRAM)
Some implementations provide a die that includes a magnetoresistive random access memory (MRAM) cell array that includes several MRAM cells. The die also includes a first ferromagnetic layer positioned above the MRAM cell array, a second ferromagnetic layer positioned below the MRAM cell array, and several vias positioned around at least one MRAM cell. The via comprising a ferromagnetic material. In some implementations, the first ferromagnetic layer, the second ferromagnetic layer and the several vias define a magnetic shield for the MRAM cell array. The MRAM cell may include a magnetic tunnel junction (MTJ). In some implementations, the several vias traverse at least a metal layer and a dielectric layer of the die. In some implementations, the vias are through substrate vias. In some implementations, the ferromagnetic material has high permeability and high B saturation.
US08952503B2 Organic module EMI shielding structures and methods
Apparatus and methods for an electronic package incorporating shielding against emissions of electromagnetic interference (EMI). According to an integrated circuit structure, a substrate is on a printed circuit board. An integrated circuit chip is on the substrate. The integrated circuit chip is electrically connected to the substrate. An electromagnetic interference (EMI) shielding unit is on the integrated circuit chip and the substrate. The EMI shielding unit comprises a lid covering the integrated circuit chip and portions of the substrate outside the integrated circuit chip. A fill material can be deposited within a cavity formed between the lid and the substrate. The fill material comprises an EMI absorbing material. A periphery of the lid comprises a side skirt, the side skirt circumscribing the integrated circuit chip and the substrate. EMI absorbing material is on the printed circuit board, and a portion of the side skirt is embedded in the EMI absorbing material.
US08952502B2 Semiconductor patterning
One or more techniques or systems for forming a pattern during semiconductor fabrication are provided herein. In some embodiments, a photo resist (PR) region is patterned and a spacer region is formed above or surrounding at least a portion of the patterned PR region. Additionally, at least some of the spacer region and the patterned PR region are removed to form one or more spacers. Additionally, a block co-polymer (BCP) is filled between the spacers. In some embodiments, the BCP comprises a first polymer and a second polymer. In some embodiments, the second polymer is removed, thus forming a pattern comprising the first polymer and the spacers. In this manner, a method for forming a pattern during semiconductor fabrication is provided, such that a width of the spacer or the first polymer is controlled.
US08952499B2 Integrated circuit
An integrated circuit is provided with a substrate, an electrode, two diffusion areas, and a resistance heater. The substrate includes a first surface and second surface that are substantially parallel to each other. The electrode is laminated onto the first surface. The two diffusion areas are disposed within the substrate in the vicinity of the electrode to form one transistor with the electrode. The resistance heater is located on an area of the second surface across the substrate from the electrode. The resistance heater produces heat by allowing electric current to flow.
US08952498B2 Semiconductor device having plural stacked chips
Disclosed herein is a device including a substrate and first and second chips stacked on the substrate. The first and second chips have penetration electrodes that are penetrating therethrough. Power terminals of the first and second chips are connected to each other and arranged in a first arrangement pitch. Signal terminals of the first and second chips are connected to each other and arranged in a second arrangement pitch that is smaller than the first arrangement pitch.
US08952496B2 Semiconductor wafer and method of producing same
A wafer surface of a semiconductor wafer to be used as a device active region is mirror-polished, and an outer peripheral portion of the mirror-polished wafer surface is further polished, thereby forming an edge roll-off region between the device active region of the wafer surface and a beveled portion formed at the wafer edge. The edge roll-off region has a specific roll-off shape corresponding to an edge roll-off of the oxide film to be formed in a device fabrication process. Thus, a semiconductor wafer can be provided in which reduction in the thickness of an oxide film on the outer peripheral portion of the wafer in a CMP process can be prevented while maintaining high flatness of the wafer surface.
US08952490B2 Redox capacitor and manufacturing method thereof
To provide a redox capacitor that can be used at room temperature and a manufacturing method thereof. Amorphous semiconductor including hydrogen is used as an electrolyte of a redox capacitor. As a typical example of the amorphous semiconductor including hydrogen, an amorphous semiconductor including a semiconductor element such as amorphous silicon, amorphous silicon germanium, or amorphous germanium can be used. As another example of the amorphous semiconductor including hydrogen, oxide semiconductor including hydrogen can be used. As typical examples of the oxide semiconductor including hydrogen, an amorphous semiconductor including a single-component oxide semiconductor such as zinc oxide, titanium oxide, nickel oxide, vanadium oxide, and indium oxide can be given. As another example of oxide semiconductor including hydrogen, a multi-component oxide semiconductor such as InMO3(ZnO)m (m>0 and M is one or more metal elements selected from Ga, Fe, Ni, Mn, and Co) can be used.
US08952487B2 Electronic circuit arrangement
An electronic circuit arrangement in accordance with some embodiments has a substrate, the substrate including: a plurality of metallization layers located one above the other; a single fuse-link via coupled between a first metallization layer and a second metallization layer of the plurality of metallization layers, wherein the single fuse-link via is in the form of an electrical fuse link preferentially programmable by applying a sufficiently large current to melt or degenerate the fuse link; a plurality of through-contact vias coupled in parallel between a third metallization layer and a fourth metallization layer of the plurality of metallization layers, wherein the through-contact vias form a through-contact between the third and fourth metallization layers; and electrical circuit components, arranged in a circuit layer, which are electrically coupled to one another by means of the single fuse-link via and by means of the plurality of through-contact vias.
US08952486B2 Electrical fuse and method of making the same
An improved electrical-fuse (e-fuse) device including a dielectric layer having a first top surface, two conductive features embedded in the dielectric layer and a fuse element. Each conductive feature has a second top surface and a metal cap directly on the second top surface. Each metal cap has a third top surface that is above the first top surface of the dielectric layer. The fuse element is on the third top surface of each metal cap and on the first top surface of the dielectric layer. A method of forming the e-fuse device is also provided.
US08952485B2 Isolation trench fill using oxide liner and nitride etch back technique with dual trench depth capability
An oxide layer is formed over a substrate having a smaller isolation trench and a large isolation trench. A nitride layer is formed over the oxide layer such that it completely fills the smaller isolation trench and lines the larger isolation trench. The nitride layer is etched back to form a recess in the nitride layer in the smaller isolation trench while at least a portion of the nitride layer lining the larger isolation trench is completely removed. A layer of HDP oxide is deposited over the substrate, completely filling the smaller and larger isolation trenches. The HDP oxide layer is planarized to the upper surface of the substrate. The deeper larger isolation trench may be formed by performing an etching step after the nitride layer has been etched back, prior to depositing HDP oxide.
US08952484B2 Non-volatile memory having isolation structures in and above a substrate and manufacturing method thereof
A non-volatile memory and a manufacturing method thereof are provided. The non-volatile memory includes a substrate, a gate structure, a first doped region, a second doped region and a pair of isolation structures. The gate structure is disposed on the substrate. The gate structure includes a charge storage structure, a gate and spacers. The charge storage structure is disposed on the substrate. The gate is disposed on the charge storage structure. The spacers are disposed on the sidewalls of the gate and the charge storage structure. The first doped region and the second doped region are respectively disposed in the substrate at two sides of the charge storage structure and at least located under the spacers. The isolation structures are respectively disposed in the substrate at two sides of the gate structure.
US08952483B2 Semiconductor device
A potential isolation element is provided separately from a diode. An n-type low-concentration region is formed on a P-type layer. A first high-concentration N-type region is positioned in the n-type low-concentration region and is connected to a cathode electrode of the diode. A second high-concentration N-type region is positioned in the n-type low-concentration region, is disposed to be spaced from a first second-conduction-type high-concentration region, and is connected to a power supply interconnection of a first circuit. A first P-type region is formed in the n-type low-concentration region, and a bottom portion thereof is connected to the P-type layer. A ground potential is applied to the first P-type region, and the first P-type region is positioned in the vicinity of the first high-concentration N-type region.
US08952480B2 Electronic device including thermal sensor and peltier cooler and related methods
An electronic device may include a temperature sensing semiconductor substrate, that may include a thermal sensor at an upper surface thereof, and a cooling semiconductor substrate having an upper surface coupled to a lower surface of the temperature sensing semiconductor substrate. The cooling semiconductor substrate may include a Peltier cooler. At least one of the temperature sensing semiconductor substrate and the cooling semiconductor substrate may have a cavity therein beneath the thermopile and aligned therewith.
US08952477B2 Photoelectric conversion element having a plurality of layered semiconductors and method for manufacturing same
A photoelectric conversion element includes a first semiconductor layer that exhibits a first conductivity type and is provided in a selective area over a substrate, a second semiconductor layer that exhibits a second conductivity type and is disposed opposed to the first semiconductor layer, and a third semiconductor layer that is provided between the first and second semiconductor layers and exhibits a substantially intrinsic conductivity type. The third semiconductor layer has at least one corner part that is not in contact with the first semiconductor layer.
US08952476B2 Solid-state image pickup device
According to one embodiment, a solid-state image pickup device includes a photoelectric converter, transfer, reset and amplifier transistors and a floating diffusion layer formed on a semiconductor substrate. The photoelectric converter coverts incident light to a signal charge. The transfer transistor transfers the signal charge converted by the photoelectric converter. The floating diffusion layer stores the signal charge transferred by the transfer transistor. The reset transistor resets the signal charge stored in the floating diffusion layer. The amplifier transistor amplifies the signal charge stored in the floating diffusion layer. Source and drain regions of the reset transistor, and its channel region are formed in an L-shape on the semiconductor substrate.
US08952474B2 Method of fabricating backside-illuminated image sensor
Provided is a method of fabricating a backside illuminated image sensor that includes providing a device substrate having a frontside and a backside, where pixels are formed at the frontside and an interconnect structure is formed over pixels, forming a re-distribution layer (RDL) over the interconnect structure, bonding a first glass substrate to the RDL, thinning and processing the device substrate from the backside, bonding a second glass substrate to the backside, removing the first glass substrate, and reusing the first glass substrate for fabricating another backside-illuminated image sensor.
US08952470B2 Low cost high density nonvolatile memory array device employing thin film transistors and back to back Schottky diodes
An improved crosspoint memory array device comprising a plurality of memory cells, each memory cell being disposed at an intersection region of bit and word conductive lines, electrically coupled to one of the first conductive lines at a first terminal and to one of the second conductive lines at a second terminal, and comprising a controllable electrical resistance, wherein a back to back Schottky diode is located between each memory cell and one of the said conductive lines, and wherein each conductive line is electrically coupled to at least two thin film transistors (TFTs). The device is substantially produced in BEOL facilities without need of front end semiconductor production facilities, yet can be made with ultra high density and low cost.
US08952464B2 MEMS apparatus
A MEMS apparatus includes a pillar, a supporter, and a solder. The pillar has a first side and a second side opposite to the first side. The supporter supports the pillar. The supporter is adjacent to the pillar, but the supporter is not connected to the pillar. The supporter has a third side and a fourth side opposite to the third side. The supporter includes a plurality of first confined layers and a plurality of second confined layers. These first confined layers and these second confined layers are overlapped with each other. The second side and the third side are adjacent to each other. The solder is located between the second side and the third side. The solder is also located at the first side and the fourth side. The solder is utilized to combine the pillar and the supporter. The solder also isolates the pillar and the supporter.
US08952460B2 Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices
A semiconductor device including a germanium containing substrate including a gate structure on a channel region of the semiconductor substrate. The gate structure may include a silicon oxide layer that is in direct contact with an upper surface of the germanium containing substrate, at least one high-k gate dielectric layer in direct contact with the silicon oxide layer, and at least one gate conductor in direct contact with the high-k gate dielectric layer. The interface between the silicon oxide layer and the upper surface of the germanium containing substrate is substantially free of germanium oxide. A source region and a drain region may be present on opposing sides of the channel region.
US08952459B2 Gate structure having lightly doped region
A gate structure includes a gate dielectric over a substrate, and a gate electrode over the gate dielectric, wherein the gate dielectric contacts sidewalls of the gate electrode. The gate structure further includes a nitrogen-containing dielectric layer surrounding the gate electrode, and a contact etch stop layer (CESL) surrounding the nitrogen-containing dielectric layer. The gate structure further includes an interlayer dielectric layer surrounding the CESL and a lightly doped region in the substrate, the lightly doped region extends beyond an interface of the sidewalls of the gate electrode and the gate dielectric.
US08952458B2 Gate dielectric layer having interfacial layer and high-K dielectric over the interfacial layer
A semiconductor device includes a substrate having a first active region, a first gate structure over the first active region, wherein the first gate structure includes a first interfacial layer having a convex top surface, a first high-k dielectric over the first interfacial layer, and a first gate electrode over the first high-k dielectric.
US08952457B2 Electrostatic discharge protection circuit
An ESD protection circuit including a substrate of a first conductivity type, an annular well region of a second conductivity type, two first regions of the first conductivity type and at least one transistor of the second conductivity type is provided. The annular well region is disposed in the substrate. The first regions are disposed in the substrate and surrounded by the annular well region. The at least one transistor is disposed on the substrate between the first regions and including a source, a gate, and a drain. The annular well region and the drain are coupled to a first voltage source. The source and one of the first regions are coupled to a second voltage source, and the other of the first regions is coupled to a substrate triggering circuit.
US08952456B2 Electrostatic discharge circuit using inductor-triggered silicon-controlled rectifier
A representative electrostatic discharge (ESD) protection circuit includes a silicon-controlled rectifier comprising an alternating arrangement of a first P-type semiconductor material, a first N-type semiconductor material, a second P-type semiconductor material and a second N-type semiconductor material electrically coupled between an anode and a cathode. The anode is electrically coupled to the first P-type semiconductor material and the cathode is electrically coupled to the second N-type semiconductor material. The ESD protection circuit further includes an inductor electrically coupled between the anode and the second P-type semiconductor material or between the cathode and the first N-type semiconductor material.
US08952452B2 Semiconductor devices and method of manufacturing the same
Semiconductor devices, and a method of manufacturing the same, include a gate insulating film pattern over a semiconductor substrate. A gate electrode is formed over the gate insulating film pattern. A spacer structure is formed on at least one side of the gate electrode and the gate insulating film pattern. The spacer structure includes a first insulating film spacer contacting the gate insulating film pattern, and a second insulating film spacer on an outer side of the first insulating film spacer. The semiconductor device has an air gap between the first insulating film spacer and the second insulating film spacer.
US08952451B2 Semiconductor device having metal gate and manufacturing method thereof
A semiconductor device having a metal gate includes a substrate having a first gate trench and a second gate trench formed thereon, a gate dielectric layer respectively formed in the first gate trench and the second gate trench, a first work function metal layer formed on the gate dielectric layer in the first gate trench and the second gate trench, a second work function metal layer respectively formed in the first gate trench and the second gate trench, and a filling metal layer formed on the second work function metal layer. An opening width of the second gate trench is larger than an opening width of the first gate trench. An upper area of the second work function metal layer in the first gate trench is wider than a lower area of the second work function metal layer in the first gate trench.
US08952446B2 Semiconductor memory device and method for manufacturing same
According to one embodiment, a semiconductor memory device includes a substrate, a stacked body, a channel body, a memory film, first and second insulating separation films, a first and a second inter-layer insulating films, a selection gate, a conductive layer, and resistance elements. The substrate includes a memory cell array region and a peripheral region. The stacked body includes electrode films and insulating films. The channel body extends in a stacking direction. The memory film includes a charge storage film. The first insulating separation films divide the stacked body. The first and the second inter-layer insulating films are on the stacked body and on the conductive layer, respectively. The selection gate is on the first inter-layer insulating film. The conductive layer is on the peripheral region. The resistance elements are on the second inter-layer insulating film. The second insulating separation films divide the conductive layer.
US08952444B2 Semiconductor storage device and manufacturing method thereof
A semiconductor storage device according to an embodiment comprises active areas on a semiconductor substrate. An element isolation is arranged between the active areas and filled by an insulating film. A plurality of memory cells configured to store data are formed on the active areas. Air gaps are arranged between upper-end edge parts of the active areas where the memory cells are formed and an insulating film in the element isolation.
US08952441B2 Nonvolatile semiconductor memory device and method of manufacturing the same
According to one embodiment, a device includes a first fin structure having first to n-th semiconductor layers (n is a natural number equal to or more than 2) stacked in a first direction perpendicular to a surface of a semiconductor substrate, and extending in a second direction parallel to the surface of the semiconductor substrate, first to n-th memory cells provided on surfaces of the first to n-th semiconductor layers in a third direction perpendicular to the first and second directions respectively, and first to n-th select transistors connected in series to the first to n-th memory cells respectively.
US08952440B2 Memory device and method of forming the same
Provided is a memory device including a first dielectric layer, a T-shaped gate, two charge storage layers and two second dielectric layers. The first dielectric layer is disposed on a substrate. The T-shaped gate is disposed on the first dielectric layer and has an upper gate and a lower gate, wherein two gaps are present respectively at both sides of the lower gate and between the upper gate and the substrate. The charge storage layers are respectively embedded into the gaps. A second dielectric layer is disposed between each charge storage layer and the upper gate, between each charge storage layer and the lower gate and between each charge storage layer and the substrate.
US08952436B2 Integrated DRAM memory device
A DRAM memory device includes at least one memory cell including a transistor having a first electrode, a second electrode and a control electrode. A capacitor is coupled to the first electrode. At least one electrically conductive line is coupled to the second electrode and at least one second electrically conductive line is coupled to the control electrode. The electrically conductive lines are located between the transistor and the capacitor. The capacitor can be provided above a fifth metal level.
US08952434B2 Magnetic patterns and methods of forming magnetic patterns
In a method of forming a magnetic pattern, a lower electrode layer is formed on a substrate. An insulating interlayer is formed on the lower electrode layer. The insulating interlayer is partially removed to form an opening. A first pinned layer pattern filling the opening is formed. A second pinned layer, a tunnel barrier layer, a free layer and an upper electrode layer are formed on the insulating interlayer and the first pinned layer pattern. The upper electrode layer, the free layer, the tunnel barrier layer and the second pinned layer are patterned to form a second pinned layer pattern, a tunnel barrier pattern, a free layer pattern and an upper electrode. The second pinned layer pattern covers an upper surface of the first pinned layer pattern.
US08952432B2 Solid-state imaging device, method of manufacturing solid-state imaging device, and electronic apparatus
Disclosed herein is a solid-state imaging device including a photoelectric conversion element operable to generate electric charge according to the amount of incident light and to accumulate the electric charge in the inside thereof, an electric-charge holding region in which the electric charge generated through photoelectric conversion by the photoelectric conversion element is held until read out, and a transfer gate having a complete transfer path through which the electric charge accumulated in the photoelectric conversion element is completely transferred into the electric-charge holding region, and an intermediate transfer path through which the electric charge generated by the photoelectric conversion element during an exposure period and being in excess of a predetermined charge amount is transferred into the electric-charge holding region. The complete transfer path and the intermediate transfer path are formed in different regions.
US08952431B2 Stacked carbon-based FETs
Methods and systems for forming stacked transistors. Such methods include forming a lower channel layer on a substrate; forming a pair of vertically aligned gate regions over the lower channel layer; forming a pair of vertically aligned source regions and a pair of vertically aligned drain regions on the lower channel material, each pair separated by an insulator; forming an upper channel material over the source regions, drain regions, and gate regions; and providing electrical access to the source, drain, and gate regions.
US08952430B2 Semiconductor device and method for manufacturing semiconductor device
The present application relates to technology for improving a withstand voltage of a semiconductor device. The semiconductor device includes a termination area that surrounds a cell area. The cell area is provided with a plurality of main trenches. The termination area is provided with one or more termination trenches surrounding the cell area. A termination trench is disposed at an innermost circumference of one or more termination trenches. A body region is disposed on a surface of a drift region. Each main trench reaches the drift region. A gate electrode is provided within each main trench. The termination trench reaches the drift region. Sidewalls and a bottom surface of the termination trench are covered with a insulating layer. A surface of the insulating layer covering the bottom surface of the termination trench is covered with a buried electrode. A gate potential is applied to the buried electrode.
US08952426B2 Three dimensional stacked nonvolatile semiconductor memory
A three dimensional stacked nonvolatile semiconductor memory according to an example of the present invention includes a memory cell array comprised of first and second blocks disposed side by side in a first direction, and a driver disposed on one end of the memory cell array in a second direction orthogonal to the first direction. A source diffusion layer, which is common to the first and second blocks, is disposed in a semiconductor substrate, and a contact plug, which has a lower end connected to the source diffusion layer and an upper end connected to a source line disposed above at least three conductive layers, is interposed between the first and second blocks.
US08952425B2 Integrated circuit including at least four linear-shaped conductive structures having extending portions of different length
An integrated circuit includes at least four linear-shaped conductive structures formed to extend lengthwise in a parallel direction to each other and each respectively including a gate electrode portion and an extending portion that extends away from the gate electrode portion. The gate electrode portions of the linear-shaped conductive structures respectively form gate electrodes of different transistors, such that at least one of the linear-shaped conductive structures forms a gate electrode of a transistor of a first transistor type and does not form a gate electrode of any transistor of a second transistor type, and such that at least one of the linear-shaped conductive structures forms a gate electrode of a transistor of the second transistor type and does not form a gate electrode of any transistor of the first transistor type. Extending portions of the at least four linear-shaped conductive structures include at least two different extending portion lengths.
US08952424B2 RF CMOS transistor design
An improved RF CMOS transistor design is described. Local, narrow interconnect lines, which are located substantially above the active area of the transistor, are each connected to either a source terminal or a drain terminal. The source and the drain terminal are arranged orthogonally to the local interconnect lines and each terminal is significantly wider than a local interconnect line. In an example, the local interconnect lines are formed in a first metal layer and the source and drain terminals are formed in one or more subsequent metal layers.
US08952419B2 Semiconductor devices and methods of manufacturing the same
A semiconductor device includes a substrate, a buffer layer on the substrate, and a plurality of nitride semiconductor layers on the buffer layer. The semiconductor device further includes at least one masking layer and at least one inter layer between the plurality of nitride semiconductor layers. The at least one inter layer is on the at least one masking layer.
US08952417B2 Light emitting device
A light emitting device includes a package constituted by a molded article having a light emitting face, a bottom face, and a rear face, and a pair of leads partially embedded in the molded article, protrude from the bottom face, and have ends that bend toward either the light emitting face or the rear face. The molded article has a front protruding part that protrudes from the bottom face and includes a surface continuous with the light emitting face, the front protruding part being spaced apart from the rear face, and a rear protruding part that protrudes from the bottom face and includes a surface continuous with the rear face, the rear protruding part being spaced apart from the light emitting face, between the leads on the bottom face, the front protruding part being spaced apart from the rear protruding part.
US08952415B2 Semiconductor light emitting element, method of manufacturing the same, and vehicle lighting unit utilizing the same
There is provided a highly reliable semiconductor light emitting element and vehicle lighting unit as well as associated methods. The semiconductor light emitting element can include a support substrate, a semiconductor stacked body including a first semiconductor layer of a first conductivity type, an active layer formed on the first semiconductor layer, and a second semiconductor layer of a second conductivity type formed on the active layer. The element can further include a bonding layer configured to bond the support substrate and the semiconductor stacked body, the bonding layer having a side surface that forms an angle exceeding 90° with a surface of the bonding layer on the side of the semiconductor stacked body, and an interconnection layer configured to extend from the upper surface of the semiconductor stacked body to cover the side surface of the bonding layer.
US08952413B2 Etched trenches in bond materials for die singulation, and associated systems and methods
Etched trenches in a bond material for die singulation, and associated systems and methods are disclosed. A method for solid state transducer device singulation in accordance with one embodiment includes forming a plurality of trenches by etching through a metallic bond material forming a bond between a carrier substrate and a plurality of the dies and singulating the carrier substrate along the trenches to separate the dies. In particular embodiments, the trenches extend into the carrier substrate. In further particular embodiments, the dies are at least partially encapsulated in a dielectric material.
US08952408B2 Light-emitting element mounting package, light-emitting element package, and method of manufacturing these
A light-emitting element mounting package includes a light-emitting element mounting portion that includes a plurality of wiring portions arranged interposing a predetermined gap between the wiring portions facing each other, and an insulating layer on which the light-emitting element mounting portion is mounted, wherein an upper surface of the light-emitting element mounting portion is exposed on the insulating layer, wherein cutout portions are formed on lower sides of side edges of the wiring portions and contact the insulating layer.
US08952406B2 Lighting devices including patterned optical components and associated devices, systems, and methods
Lighting devices including light-emitting diodes and associated devices, systems, and methods are disclosed herein. A lighting device configured in accordance with a particular embodiment includes a lighting-emitting diode and an optical component along a radiation path of the lighting-emitting diode. The optical component includes a color-converting material with walls defining a pattern, the walls extending generally entirely through a thickness of the color-converting material. A total surface area of the walls within a primary zone of the optical component is greater than a total surface area of color-converting features at a major side of the color-converting material. A method for making a lighting device in accordance with a particular embodiment includes combining an optical component and a light-emitting diode, and shaping a color-converting material of the optical component to have a thickness and a pattern of walls selected to control the color of light output from the lighting device.
US08952404B2 Light-emitting device package and method of manufacturing the light-emitting device package
A light-emitting device package having improved connection reliability of a bonding wire, heat dissipation properties, and light quality due to post-molding and a method of manufacturing the light-emitting device package. The light-emitting device package includes, for example, a wiring substrate having an opening; a light-emitting device that is disposed on the wiring substrate and covers the opening; a bonding wire electrically connecting a bottom surface of the wiring substrate to a bottom surface of the light-emitting device via the opening; a molding member that surrounds a side surface of the light-emitting device and not a top surface of the light-emitting device, which is an emission surface, is formed on a portion of a top surface of the wiring substrate, and is formed in the opening of the wiring substrate to cover the bonding wire; and a solder resist and a bump formed on the bottom surface of the wiring substrate.
US08952401B2 Semiconductor light emitting device, wafer, and method for manufacturing nitride semiconductor crystal layer
According to one embodiment, a semiconductor light emitting device includes a first semiconductor layer, a light emitting layer, a second semiconductor layer, and a low refractive index layer. The first semiconductor layer has a first major surface and a second major surface being opposite to the first major surface. The light emitting layer has an active layer provided on the second major surface. The second semiconductor layer is provided on the light emitting layer. The low refractive index layer covers partially the first major surface and has a refractive index lower than the refractive index of the first semiconductor layer.
US08952400B2 Light emitting diode and light emitting device package including the same
A light emitting diode is disclosed. The disclosed light emitting diode includes a light emitting structure including a first-conductivity-type semiconductor layer, an active layer, and a second-conductivity-type semiconductor layer. The first-conductivity-type semiconductor layer, active layer, and second-conductivity-type semiconductor layer are disposed to be adjacent to one another in a same direction. The active layer includes well and barrier layers alternately stacked at least one time. The well layer has a narrower energy bandgap than the barrier layer. The light emitting diode also includes a mask layer disposed in the first-conductivity-type semiconductor layer, a first electrode disposed on the first-conductivity-type semiconductor layer, and a second electrode disposed on the second-conductivity-type semiconductor layer. The first-conductivity-type semiconductor layer is formed with at least one recess portion.
US08952393B2 Silicon carbide semiconductor device
A first drift layer has a first surface facing a first electrode and electrically connected to a first electrode, and a second surface opposite to the first surface. The first drift layer has an impurity concentration NA. A relaxation region is provided in a portion of the second surface of the first drift layer. The first drift layer and the second drift layer form a drift region in which the relaxation region is buried. The second drift layer has an impurity concentration NB, NB>NA being satisfied. A body region, a source region, and a second electrode are provided on the second drift layer.
US08952392B2 Semiconductor structure and process thereof
A semiconductor structure includes a substrate, a resist layer, a dielectric material, two U-shaped metal layers and two metals. The substrate has an isolation structure. The resist layer is located on the isolation structure. The dielectric material is located on the resist layer. Two U-shaped metal layers are located at the two sides of the dielectric material and on the resist layer. Two metals are respectively located on the two U-shaped metal layers. This way a semiconductor process for forming said semiconductor structure is provided.
US08952391B2 Silicon carbide semiconductor device and its manufacturing method
A silicon carbide vertical MOSFET having low ON-resistance and high blocking voltage. A first deposition film of low concentration silicon carbide of a first conductivity type is formed on the surface of a high concentration silicon carbide substrate of a first conductivity type. Formed on the first deposition film is a second deposition film that includes a high concentration gate region of a second conductivity type, with a first region removed selectively. A third deposition film is formed on the second deposition film, which includes a second region that is wider than the selectively removed first region, a high concentration source region of a first conductivity type, and a low concentration gate region of a second conductivity type. A low concentration base region of a first conductivity type is formed in contact with the first deposition film in the first and second regions.
US08952390B2 Optoelectronic component
An optoelectronic component can be used for mixing electromagnetic radiation having different wavelengths, in particular in the far field. The optoelectronic component includes a carrier. A first semiconductor chip has a first radiation exit surface for emitting electromagnetic radiation in a first spectral range is provided on the carrier and a second semiconductor chip as a second radiation exit surface for emitting electromagnetic radiation in a second spectral range is provided on the carrier. A diffusing layer is provided on the radiation exit surfaces of the semiconductor chips which face away from the carrier.
US08952388B2 Display device including at least six transistors
By applying an AC pulse to a gate of a transistor which easily deteriorates, a shift in threshold voltage of the transistor is suppressed. However, in a case where amorphous silicon is used for a semiconductor layer of a transistor, the occurrence of a shift in threshold voltage naturally becomes a problem for a transistor which constitutes a part of circuit that generates an AC pulse. A shift in threshold voltage of a transistor which easily deteriorates and a shift in threshold voltage of a turned-on transistor are suppressed by signal input to a gate electrode of the transistor which easily deteriorates through the turned-on transistor. In other words, a structure for applying an AC pulse to a gate electrode of a transistor which easily deteriorates through a transistor to a gate electrode of which a high potential (VDD) is applied, is included.
US08952384B2 TFT, mask for manufacturing the TFT, array substrate and display device
Embodiments of the invention relate to a TFT, a mask for manufacturing the TFT, an array substrate and a display device. A channel of the TFT is formed by using a single slit mask. The channel of the TFT has a bent portion and extension portions provided on both sides of the bent portion, and a channel width of the bent portion is larger than a channel width of the extension portion.
US08952379B2 Semiconductor device
Provided is a semiconductor device in which an oxide semiconductor layer is provided; a pair of wiring layers which are provided with the gate electrode layer interposed therebetween are electrically connected to the low-resistance regions; and electrode layers are provided to be in contact with the low-resistance regions, below regions where the wiring layers are formed.
US08952376B2 Thin film transistor, and method of manufacturing the same
A thin film transistor and a method of manufacturing the same are provided. The thin film transistor includes a first gate electrode and an active layer including a crystalline oxide semiconductor which is insulated from the first gate electrode by a first insulating layer and the active layer is arranged to overlap the first gate electrode. A source electrode is formed including at least a portion overlaps the active layer, and a drain electrode is arranged being spaced apart from the source electrode and at least a portion of the drain electrode overlaps the active layer, wherein the source electrode and the drain electrode are insulated from the first gate electrode by the first insulating layer.
US08952370B2 Organic light emitting display panel and method of manufacturing the same
An organic light emitting display panel includes a first pixel and a second pixel respectively disposed in first and second light emitting areas. A portion of a first hole transport layer and a portion of a first light emitting layer of the first pixel are disposed in the second light emitting area. The portions of the first hole transport layer and the first light emitting layer overlap a second hole transport layer and a second light emitting layer, which are successively stacked. The second hole transport layer and the second light emitting layer block holes and electrons from moving to the portion of the first light emitting layer.
US08952367B2 Thioxanthone compound and organic light emitting element having the same
Provided is an organic light emitting element having a high light emission efficiency and a low drive voltage. In the organic light emitting element including a positive electrode, a negative electrode and an organic compound layer disposed between the positive electrode and the negative electrode, the organic compound layer includes a thioxanthone compound represented by the following general formula [1].
US08952359B2 Electronic device and method of manufacturing the same, and semiconductor device and method of manufacturing the same
Disclosed herein is an electronic device, including: (A) a control electrode; (B) a first electrode and a second electrode; and (C) an active layer composed of an organic semiconductor material layer provided between the first electrode and the second electrode so as to face the control electrode through an insulating layer, wherein a portion of the insulating layer contacting at least the active layer is composed of a layer obtained by curing a material expressed by the general structural formula (1), (2) or (3):
US08952358B2 Organic light-emitting display apparatus and method of manufacturing the same
An organic light-emitting display apparatus including a substrate; a black matrix layer formed over the substrate; an insulating layer formed over the black matrix layer; a thin film transistor (TFT) formed over the insulating layer; a pixel electrode connected to the TFT; and an organic layer formed over the pixel electrode. At least one hole is formed in at least one of the black matrix layer and the insulating layer, in a region where the black matrix layer and the insulating layer overlap each other.
US08952352B2 III-nitride power device
A III-nitride semiconductor device which includes a barrier body between the gate electrode and the gate dielectric thereof.
US08952351B1 Programmable impedance memory elements with laterally extending cell structure
A memory device can include a plurality of memory elements formed over a substrate, including a plurality of first electrodes, each having a top surface and opposing side surfaces, a plurality of second electrodes formed on different vertical levels, each aligned with a corresponding first electrode in a lateral direction, and a memory material formed between each first electrode and an adjacent second electrode, the memory material being in contact with the opposing side surfaces of each first electrode and not in contact with the top surface of the first electrodes; wherein the memory material is electrically programmable between at least two different resistance states, and the lateral direction is parallel to a top surface of the substrate.
US08952349B2 Switching device having a non-linear element
A switching device includes a substrate; a first electrode formed over the substrate; a second electrode formed over the first electrode; a switching medium disposed between the first and second electrode; and a nonlinear element disposed between the first and second electrodes and electrically coupled in series to the first electrode and the switching medium. The nonlinear element is configured to change from a first resistance state to a second resistance state on application of a voltage greater than a threshold.
US08952348B2 Nonvolatile memory device, nonvolatile memory device group, and manufacturing method thereof
A nonvolatile memory device group includes: (A) a first insulating layer; (B) a second insulating layer that has a first concavity and a second concavity communicating with the first concavity and having a width larger than that of the first concavity and that is disposed on the first insulating layer; (C) a plurality of electrodes that are disposed in the first insulating layer and the top surface of which is exposed from the bottom surface of the first concavity; (D) an information storage layer that is formed on the side walls and the bottom surfaces of the first concavity and the second concavity; and (E) a conductive material layer that is filled in a space surrounded with the information storage layer in the second concavity.
US08952342B2 Support and positioning structure, semiconductor equipment system and method for positioning
The invention relates to a charged particle system provided with a support and positioning structure for supporting and positioning a target on a table, the support and positioning structure comprising a first member and a second member and at least one motor so as to move the first member relative to the second member, wherein a shield is present to shield at least one charged particle beam from electromagnetic fields generated by said at least one motor, the support and positioning structure further comprising a spring mechanically coupling the first member and the second member for at least partially bearing the weight of the first member, table and target.
US08952341B2 Low rare earth mineral photoluminescent compositions and structures for generating long-persistent luminescence
A low rare earth mineral photoluminescent structure for generating long-persistent luminescence that utilizes at least a phosphorescent layer comprising one or more phosphorescent materials having substantially low rare earth mineral content of less than about 2.0 weight percent, and one or more fluorescent layers is disclosed. Further disclosed are methods for fabricating and using the inventive low rare earth mineral photoluminescent structure. A low rare earth mineral photoluminescent composition for generating long-persistent luminescence that utilizes at least one or more phosphorescent materials having substantially low rare earth mineral content of less than about 2.0 weight percent and one or more fluorescent materials is also disclosed, as well as, the methods for fabricating and using the inventive low rare earth mineral photoluminescent composition.
US08952333B2 Methods for improved single photon emission computed tomography using exact and stable region of interest reconstructions
The present invention provides systems, methods, and devices for improved computed tomography (CT) and, more specifically, to methods for improved single photon computed tomography (SPECT) using exact and stable region of interest (ROI) reconstructions. This technology can be extended across all tomographic modalities. Embodiments provide a method and a system for reconstructing an image from projection data provided by a single photon emission computed tomography scanner comprising: identifying a region of interest in an object; defining an attenuation coefficient and object boundary; computing the generalized Hilbert transform of the data through the defined region of interest and a known subregion; and reconstructing the image with improved temporal resolution at lower radiation doses, wherein the reconstructing comprises performing a reconstruction method that yields an exact and stable reconstruction. Embodiments also provide a method and a system for reconstructing an image from projection data provided by a single photon emission computed tomography scanner comprising: identifying a region of interest in an object; defining an attenuation coefficient and object boundary; and reconstructing the images by minimizing the high order total variation while minimizing the data discrepancy.
US08952332B2 Radiation imaging apparatus and control method
A radiation imaging apparatus connected to a radiation generation apparatus which generates radiation and a sensor which accumulates a charge corresponding to an irradiating dose on a detection surface acquires a radiation image by driving the sensor upon generation of radiation by the radiation generation apparatus and acquires a dark image by driving the sensor without generation of radiation by the radiation generation apparatus. The radiation imaging apparatus adjusts a driving timing of the sensor so as to set a time difference between two starts of reading charge from the sensor to acquire the radiation image and reading charge from the sensor to obtain the dark image to an integer multiple of a predetermined time.
US08952331B2 Infrared sensor module
An infrared sensor module includes: an infrared sensor device disposed on a substrate and configured to receive infrared signals; a signal processing circuit device configured to process an output from the infrared sensor device; a metal case which is provided at a predetermined distance from the infrared sensor device, which includes a light incident window provided with an optical system for coupling an image on the infrared sensor device from external infrared signals, and which accommodates the infrared sensor device and the signal processing circuit device; and a sensor cover which is disposed between the infrared sensor device and the case and the signal processing circuit device, and which includes a light-transmitting portion configured to guide the infrared signals entering via the optical system to the infrared sensor device.
US08952328B2 Charged particle detector system comprising a conversion electrode
The invention relates to a charged particle detector system comprising a conversion plate (110) to convert incoming radiation to secondary electrons. These secondary electrons are then detected by a secondary electron detector (120), thereby providing information of the incoming radiation. Often this information is limited to, in first approximation, the flux of incoming radiation. In the case of, for example, backscattered electrons this is the current of the incoming backscattered electrons. The invention proposes to form the conversion plate as, for example, an energy dependent detector, for example a photodiode to detect electrons, so that the detector system simultaneously provides information of, for example, current (S1) and mean energy (S2) of the incoming radiation. The detector system is especially suited for use in a SEM or a DualBeam apparatus.
US08952326B1 Atmospheric pressure interface with improved ion transfer for spectrometry, and related systems and methods
An atmospheric pressure (AP) interface for a spectrometer includes wall for separating an ionization chamber from a reduced-pressure region of the spectrometer, an ion inlet defining an ion path from the ionization chamber to the reduced-pressure region, and a passage defining a gas path from the ionization chamber to a gas outlet external to the reduced-pressure region. The passage may have a greater gas conductance than the ion inlet such that most gas into the passage and not the ion inlet. The interface device is configured for applying a static electric field effective for focusing ions in the ionization chamber preferentially into the ion inlet.
US08952324B2 Mass analyzing apparatus, analyzing method and calibration sample
The mass analyzing apparatus of the present invention can achieve the speed-up and simplification of the formation of a calibration curve for quantifying an analysis object in a mass analyzing apparatus. The mass analyzing apparatus is provided with: a sample storage-dilution unit 1 for storing samples of the analysis object including a quantitative calibrator in which, with respect to one analysis object to be quantified, two or more kinds of compounds selected from the analysis object, a plurality of stable isotope compounds of the analysis object and a plurality of analogue compounds of the analysis object are mixed at respectively different concentrations; an ionizing unit 5 for ionizing a sample; a mass analyzing unit 6 for analyzing the ionized sample; and a data processing unit 7 in which, based on results of analysis of the quantitative calibrator carried out by the mass analyzing unit 6, two or more concentrations are measured, and the analysis object is quantified based on information of the measurement.
US08952322B2 FAIMS apparatus and method comprising an ion separation channel of helical shape
A method and apparatus for high resolution separation of ions based on their high field and low-field mobility properties is described.An elongate ion separation channel is defined by a plurality of channel walls. First and second channel walls have first and second spaced ion separation electrode assemblies respectively. A power supply applies a periodic asymmetric potential to one or both of the electrode assemblies so as to generate a periodically asymmetric electric field in the channel for ion mobility separation. The channel walls define an ion separation channel of substantially helical shape.
US08952318B2 Radiation detector and method of using a radiation detector
A radiation detector can include a photosensor to receive light via an input and to send an electrical pulse via an output in response to receiving the light. The radiation detector can also include a pulse analyzer to send an indicator to a pulse counter when the electrical pulse corresponds to a scintillation pulse and to not send the indicator to the pulse counter when the electrical pulse corresponds to a noise pulse. The pulse analyzer can be coupled to the output of the photosensor. A method can include receiving an electrical pulse at a pulse analyzer from an output of a photosensor and determining whether the electrical pulse corresponds to a scintillation pulse or a noise pulse, based on a pulse shape of the electrical pulse. The method can also include sending the electrical pulse to a pulse counter when the electrical pulse corresponds to a scintillation pulse.
US08952315B2 Solid-state imaging device having a vertical transistor with a dual polysilicon gate
A solid-state imaging device includes: a pixel part having a photoelectric conversion part photoelectrically converting incident light to obtain signal charge; and a peripheral circuit part formed on a periphery of the pixel part on a semiconductor substrate. The pixel part having a vertical transistor that reads out the signal charge from the photoelectric conversion part and a planar transistor that processes the signal charge read out by the vertical transistor. The vertical transistor has a groove part formed on the semiconductor substrate; a gate insulator film formed on an inner surface of the groove part; a conducting layer formed on a surface of the gate insulator film on the semiconductor substrate within and around the groove part; a filling layer filling an interior of the groove part via the gate insulator film and the conducting layer; and an electrode layer connected to the conducting layer on the filling layer.
US08952314B2 Two-step analog-digital converting circuit and method
A two-step analog-digital converting circuit includes a comparator, an upper bit counter and a pulse residue conversion unit. The comparator is configured to compare a ramp signal and an input signal, and to output a resulting comparative signal. The upper bit counter is configured to receive the comparative signal and a clock signal, and to output upper bit values corresponding to a first time interval between a generation time point of the ramp signal and a first edge of the clock signal, the first edge of the clock signal immediately preceding a state transition time point of the comparative signal. The pulse residue conversion unit is configured to receive the comparative signal and the clock signal, and to output lower bit values corresponding to a second time interval between the first edge of the clock signal and the state transition time point of the comparative signal.
US08952311B2 Imaging systems with column current mirror circuitry
Electronic devices may include image sensors having image pixel arrays with image pixels arranged in pixel rows and pixel columns. Each pixel column may be coupled to an active and an inactive current supply circuit. Each active current supply circuit may form a portion of a current mirror circuit that includes a common current source and a common input transistor. Each active current supply circuit may include a mirror transistor for mirroring current that flows through the common input transistor and a permanently enabled enabling transistor for activating that mirror transistor. Mirrored current that flows through a particular active mirror transistor may be supplied to image pixels in the pixel column associated with that particular mirror transistor. Each inactive current supply circuit may include a mirror transistor coupled to the input transistor and a permanently disabled enabling transistor.
US08952310B2 Plasmonic light sensors
An electronic device may be provided a plasmonic light sensor. Plasmonic light sensors may include arrays of plasmonic image pixels that detect evanescent electron density waves, or plasmons, generated in the plasmonic image pixel through an interaction with incoming light. Plasmonic image pixels may include microlenses that focus the light onto conducting wires in the plasmonic image pixel. Plasmons generated on the surface of the conducting wire may propagate along the conducting wire. Detector circuitry may be coupled to the wire on which the plasmons propagate to detect the light through detection of the evanescent electron density wave. Detector circuitry may include a biasing component for biasing a photodiode such that a small amount of light results in an avalanche of charge, or a sudden increase in current, produced in the detector circuitry in response to the evanescent wave.
US08952307B2 Spatial structure assemblies
A sun tracking system for tracking the sun in at least two axes, including a base, a rotating system mounted on the base, a spatial structure assembly having a lower portion at a first peripheral end thereof, and an upper portion at a second peripheral end thereof, the lower portion being more proximal to the base than the upper portion, and an anchoring location at the lower portion, and a torque box assembly at the anchoring location for resisting a torque applied to the spatial structure assembly.
US08952304B2 Rocket nozzle assembly
In one example, a rocket nozzle assembly is disclosed that has stowed and deployed positions, and includes a casing including an outer wall and an inner surface, at least a portion of the inner surface defining a diverging region, wherein the casing defines a plurality of fin slots, and wherein each of the plurality of fin slots extend through the outer wall of the casing and the inner surface of the casing, and wherein the diverging region defines a bell-shaped contour. The assembly further includes a plurality of fins pivotally engaged to the casing, wherein in the stowed position, each of the plurality of fins extends into the diverging region through a respective one of the fin slots, and wherein in the deployed position, each of the plurality of fins extend outwardly from the casing.
US08952303B2 Cooking device, especially domestic cooking device
A cooking device having an electrical heating element is arranged on a carrier element. At least one electrical wire is connected with the carrier element. The connection is facilitated by a reception element having two recesses forming undercuts and a connection member with a basis structure and an electrical contact for engagement with the wire. The connection member has two protrusions extending from the basis structure of the connection member and can be inserted into the recesses.
US08952300B2 Heating cable
According to a first aspect of the present invention, there is provided a self-regulating electrical heating cable comprising: a first power supply conductor extending along the length of the cable; a second power supply conductor extending along the length of the cable; a third power supply conductor extending along the length of the cable; the first and second power supply conductors being in electrical connection with each other via a first electrically conductive heating element body having a positive temperature coefficient of resistance, and the second and third power supply conductors being in electrical connection with each other via a second electrically conductive heating element body having a positive temperature coefficient of resistance, and wherein, in use, the first, second and third power supply conductors are not physically connected to one another.
US08952295B2 Welding wire with perovskite coating
The electrical resistance between a welding wire used for arc welding and the welding gun contact tip through which it passes is reduced by providing on the surfaces of the welding wire a solid conductor comprising an electrically conductive perovskite or other thermally stable, electrically conductive particulate solid.
US08952294B2 Welding torch handle utilizing slot for trigger attachment
The present technique relates to a welding torch handle that has an unenclosed slot extending from one end thereof. This unenclosed slot provides a mechanism through which insulated signal leads of a conductor can extend from the interior of the handle to connect with a trigger disposed outside the handle. As one advantage, the unenclosed nature of the slot facilitates this coupling without the need for threading of the leads, which can damage the leads.
US08952292B2 Adjustable welding head for multiple electrode cladding
A welding head is provided. The welding head includes a bracket and a plurality of blocks coupled to the bracket. Each of the plurality of blocks has a contact tip. The contact tips are adapted to receive an electrode. Further, at least one of the plurality of blocks is capable of variable positioning relative to the bracket.
US08952291B2 System and method for controlling a hybrid welding process
A system and method for controlling a hybrid welding process. An integrated hybrid welding power source includes a first power supply for providing a first welding output and a second power supply for providing a second welding output. The first power supply and the second power supply are operatively connected to coordinate the first welding output with the second welding output in real time while operating concurrently.
US08952289B2 Laser crystallization apparatus and method for manufacturing thin film transistor array panel using the same
Laser crystallization equipment includes a laser generator generating a laser beam, the laser beam being directed toward a processing target substrate, and a blade member over the processing target substrate, the blade member being configured to chop the laser beam with a predetermined width in two directions, wherein two ends of the laser beam chopped by the blade member are irradiated to the processing target substrate as diffraction light.
US08952287B2 Apparatus for supporting workpieces for welding
A laser welding tool comprises a first and a second tool part for fixing a first welding article to a second welding article. The two welding articles are arranged at least partially between the two tool parts. The second tool part comprises at least two partial elements which are displaceable independently of one another toward the first tool part for pressing the second welding article against the first welding article.
US08952283B2 Slide switch
The slide switch includes a body, a slider, a terminal, and an armature. The slider is slidably held in the body and is pushed by insertion of the object to slidingly move. The terminal is provided with a fixed contact point disposed on a surface, facing the slider, of the body along a sliding movement direction. The armature is attached to the slider and is provided with a moving contact point that slides on a fixed contact point disposition surface of the body in association with sliding movement of the slider. The slider is provided with an engagement part to receive an action of a moving part that moves in the sliding movement direction in association with extraction and insertion of the object, and the engagement part is in shape of generating a free running distance in which the slider does not act even when the moving part acts.
US08952282B2 Push-on switch having improved actuator
A push-on switch includes an insulating housing (1) defining a recess (10) at its top, a first fixed contact (21) and a second fixed contact (22) received at the bottom of the recess (10), a dome shaped movable contact (3) with the bottom end placed on the first fixed contact and the bottom face facing the second fixed contact, an actuator (4) over the movable contact, and a metal shell (6) shielding over the insulating housing. The actuator is made of an elastomer and includes a tubular periphery part (41), an operation part (43), a thin-walled part (42) inclining downwardly from the bottom of the operation part and connecting the operation part with the periphery part, a pressing part (431) downwardly protruding from the operation part and resisting on the top surface of the movable contact, and a retaining part (44) extending from the top of the periphery part.
US08952281B2 Slide switch device
In a slide switch device in which a tactile-feel generation portion generates a tactile feel when a slider is operated to slide via an operation knob, the tactile-feel generation portion includes cam portions formed at a back surface of a flat plate portion of the slider, coil springs held at a guide base, and driving bodies that are elastically urged to the coil springs and are pressed to the cam portions. Elastic arms that elastically contact a guide flat surface of the guide base are provided at the flat plate portion of the slider. The elastic arms and the cam portions are arranged by the same number at positions separated from a shaft portion of the slider.
US08952280B2 Switch case having flanges that fold over clearance between case and cover
A switch device has an operating unit, a circuit board having a contact unit that is switched according to the operation of the operating unit, a case having the operating unit at an upper section thereof, an opening at a lower section thereof, and the circuit board inside thereof, and a cover that is fitted to the opening of the case to close the opening. A thin hinge and a flange continuous to the hinge are formed integrally with the case along a side wall at a lower end of the side wall of the case. The flange is folded and bent on the hinge, so that a clearance defined between the case and the cover is covered with the flange.
US08952279B2 Slide switch
A slide switch is provided that is more excellent in reliability than conventional techniques. A slide switch senses an extracted state and an inserted and engaged state of an object. The slide switch includes a body, a slider, a terminal, and an armature. The slider is slidably held in the body and is pushed by insertion of the object to slidingly move. The terminal is provided with a fixed contact point disposed on a surface, facing the slider, of the body along a sliding movement direction. The armature is attached to the slider and is provided with a moving contact point that slides on a fixed contact point disposition surface of the body in association with sliding movement of the slider. In the entire range of the sliding movement, the body and the slider cover all around the fixed contact point and the armature.
US08952274B2 Sleeve for protecting wire or cable
A sleeve is provided for protecting wire or cable which is run through an aperture in a stud. The sleeve includes a slit which runs longitudinally along the length of the sleeve for receiving a wire or cable and an opening at each end to allow for entrance and exit of the wire or cable. Extended portions of the sleeve on each end further protect the enclosed wire or cable. A weakened bend line which runs longitudinally along the length of the sleeve and opposite the slit in the sleeve allows the sleeve to be easily bent by hand compression along the bend line so that the sleeve can be easily installed around the wire or cable without the use of any hand tools.
US08952272B2 Molded EMI and thermal shield
One embodiment of a molded shield can include a cavity to conform to and receive an electrical component, a slit to conform to and receive a metal frame and a metal layer coupled to the top and lateral sides of the molded shield. In one embodiment, the molded shield can be formed from silicon and can include material to enhance electrical conductivity. The molded shield can couple to the metal frame which in turn can be coupled to ground with the resulting configuration acting to reduce electrical emissions. The molded shield can transfer heat away from the electrical component through conduction.
US08952270B2 Multilayer wiring board having lands with tapered side surfaces
A multilayer wiring board has a structure in which vias are formed on an inner wiring layer in directions toward both surfaces of the inner wiring layer, respectively, and lands are each defined in the inner wiring layer at a position to be connected to one of the vias, each of the lands having a side surface formed in a tapered shape. The lands include first lands and second lands, and the vias include a via connected to a surface on a smaller diameter side of the first land, and a via connected only to a surface on a larger diameter side of the second land. The size of the surface of the larger diameter side of the second land is equal to the size of the surface of the smaller diameter side of the first land.
US08952269B2 Wiring substrate, multi-piece wiring substrate array, and manufacturing method therefor
Provided are a wiring substrate; a multi-piece wiring substrate array; and a method for reliably producing the multi-piece wiring substrate array. The wiring substrate includes a substrate main body, which has first and second main surfaces, side surfaces, a groove surface, and a fracture surface; and a notch which has a concave shape in plan view, and which is provided on a side surface on a side toward the first main surface, wherein, in the side surface having the notch, the boundary between the groove surface and the fracture surface has first curved portions on opposite sides of the notch, the first curved portions being convex toward the first main surface in side view; and also has a second curved portion on a second-main-surface side of the notch, the second curved portion being convex toward the second main surface of the substrate main body in side view.
US08952267B2 Electric connecting structure comprising preferred oriented Cu6Sn5 grains and method for fabricating the same
An electric connecting structure comprising preferred oriented Cu6Sn5 grains and a method for fabricating the same are disclosed. The method of the present invention comprises steps: (A) providing a first substrate; (B) forming a first nano-twinned copper layer on part of a surface of the first substrate; (C) using a solder to connect the first substrate with a second substrate having a second electrical pad, in which the second electrical pad comprises a second nano-twinned copper layer, and the solder locates between the first nano-twinned copper layer and the second nano-twinned copper layer; and (D) reflowing at the temperature of 200° C. to 300° C. to transform at least part of the solder into an intermetallic compound (IMC) layer, in which the IMC layer comprises plural Cu6Sn5 grains with a preferred orientation; wherein at least 50% in volume of the first and second nano-twinned copper layer comprises plural grains.
US08952265B2 Electromagnetic interference noise reduction package board
An EMI noise reduction package board, having a top layer and a bottom layer, one of which having a semiconductor device mounted thereon, can include: a first area having a signal layer arranged on one surface thereof; and a second area placed on a lateral side of the first area and having unit structures arranged repeatedly therein, the unit structures configured for inhibiting EMI noise from being radiated to an outside through the lateral side of the first area. The unit structure can include: a top conductive plate and a bottom conductive plate, formed, respectively, on the top layer and the bottom layer of the second area to face each other in a pair; and a via, connecting the top conductive plate with the bottom conductive plate.
US08952256B2 Stator bar
A high voltage stator bar includes a substantially quadrangular conductive element made of a plurality of interwoven strands, and an electric insulation applied around the conductive element. The permittivity at the corners of the electric insulation decreases by less than 60% from an inner insulation zone facing the conductive element towards an outer insulation zone.
US08952252B2 Arc resistant electrical enclosure
Electrical enclosures are provided that include arc resistant features designed to add structural strength for arc containment, to inhibit arc propagation, and/or to direct the release of pressure within and/or from the enclosure in order to provide arc resistant electrical enclosures. In general, the arc resistant features may be designed to provide enclosures where in the event of an arc fault, the doors and covers remain closed, parts are not ejected from the enclosure, holes are not produced in the enclosure, indicators located in close proximity to the enclosure do not ignite, and/or grounding connections remain effective. Further, the foregoing features may be designed to provide electrical enclosures that comply with industry guides and standards for arc resistant ratings.
US08952251B2 High-capacity/efficiency transmission line design
A transmission tower structure for suspending from an arched crossarm a three phased circuit arranged in a compact delta configuration that improves the surge impedance loading (SIL) of a transmission line, reduces its series impedance, lowers both resistive and corona losses, and moderates electromagnetic fields and audible noise effects at the ground level—all achieved in a cost effective manner. The structure further has a low overall height and aesthetic appearance enhancing the public acceptance of the embodiments.
US08952250B2 Connection structure and connection method for suprressing radiation of common noise in a superimposed wiring member
When a GND electrode of a main board is connected to a GND electrode of a daughter board by a flat cable, an end portion of one side of a first GND line is connected to the GND electrode of the main board and an end portion of the other side of the first GND line is connected to the GND electrode of the daughter board. An end portion of one side of a second GND line is insulated from a circuit of the main board and an end portion of the other side of the second GND line is connected to the GND electrode of the daughter board.
US08952245B2 Conductive thick film paste for solar cell contacts
The invention relates to an inorganic reaction system used in the manufacture of electroconductive pastes. The inorganic reaction system comprises a lead containing composition and elemental tellurium, where in the lead containing composition is between 5-95 wt. % of the inorganic reaction system, and the elemental tellurium is between 5-95 wt. % of the inorganic reaction system. More preferably, the lead containing composition is between 40-90 wt. % of the inorganic reaction system, and the elemental tellurium is between 10-40 wt. % of the inorganic reaction system. The lead containing composition can be a glass frit and can further comprise lead oxide. Another aspect of the invention relates to an electroconductive paste composition that comprises metallic particles, an inorganic reaction system as disclosed, and an organic vehicle. Another aspect of the invention relates to an organic vehicle that comprises a binder, a surfactant, a solvent, and a thixatropic agent. Another aspect of the invention relates to a solar cell printed with an electroconductive paste composition as disclosed, as well as an assembled solar cell module. Another aspect of the invention relates to a method of producing a solar cell.
US08952243B2 Stacked structure including vertically grown semiconductor, p-n junction device including the stacked structure, and method of manufacturing thereof
A stacked structure may include semiconductors or semiconductor layers grown on an amorphous substrate. A light-emitting device and a solar cell may include the stacked structure including the semiconductors grown on the amorphous substrate. A method of manufacturing the stacked structure, and the light-emitting device and the solar cell including the stacked structure may involve growing a crystalline semiconductor layer on an amorphous substrate.
US08952242B2 Nanostructured quantum dots or dashes in photovoltaic devices and methods thereof
A photovoltaic device includes one or more structures, an array of at least one of quantum dots and quantum dashes, at least one groove, and at least one conductor. Each of the structures comprises an intrinsic layer on one of an n type layer and a p type layer and the other one of the n type layer and the p type layer on the intrinsic layer. The array of at least one of quantum dots and quantum dashes is located in the intrinsic layer in at least one of the structures. The groove extends into at least one of the structures and the conductor is located along at least a portion of the groove.
US08952239B2 Solar modules with enhanced efficiencies via use of spectral concentrators
Described herein are solar modules including spectral concentrators. In one embodiment, a solar module includes a set of photovoltaic cells and a spectral concentrator optically coupled to the set of photovoltaic cells. The spectral concentrator is configured to: (1) collect incident solar radiation; (2) convert the incident solar radiation into substantially monochromatic, emitted radiation; and (3) convey the substantially monochromatic, emitted radiation to the set of photovoltaic cells.
US08952236B2 Hybrid type roof panel having solar cell
A hybrid type roof panel of a vehicle has a solar cell mounted on the roof panel, in which two types of solar cell modules are simultaneously mounted, in order to provide a sense of openness of the roof panel and simultaneously maximize performance of the solar cell. In the roof panel covered with roof glass, two types of solar cells are disposed over an entire area of the roof glass in a hybrid manner, including: a first solar cell having transparent characteristics disposed in a center of the roof glass; and a second solar cell having better photovoltaic performance than the first solar cell disposed in an edge part of the roof glass.
US08952233B1 System for calculating the tempo of music
A music tempo calculation system uses a microphone to input ambient music; the input is then processed in real time to display beats per minute (BPM). Input from the microphone is decomposed by a detector into a plurality of digital signals ranging from a most sensitive signal to a least sensitive signal. A software-implemented algorithm is applied to the time between peak music values to determine tempo. BPM is then output to a display to provide feedback to performing musicians regarding tempo. The sensitivity of the detector adjusts automatically to compensate for changes in music volume. In another embodiment, the calculated tempo is used to control motors used to animate toys. Once BPM is known, the moment of an upcoming beat can be anticipated. It then becomes possible to start, stop, and reverse directions of a motor in anticipation of an upcoming beat thereby providing the appearance the animated toy is responding to music beat.
US08952231B1 Compact gearless tuning mechanism for stringed instruments
A tuning mechanism for a stringed instrument consists of a plurality of levers, one for each string, each lever having a pivoting point at one end, and a tuning screw at the opposite end with its tip pressing against a structural point of the instrument. The tension of the string is applied on the central portion of the lever. Each lever has a rotatable capstan to which the string is anchored and winded until it acquires tension as a sort of coarse tuning. A locking device prevents the capstan to turn backwards and the tuning screw is used for fine tuning. The levers can be placed parallel to each other and receive the strings in a compact arrangement that makes possible their placement as a block behind the nut, with a sizable reduction of length and bulk of the instrument by the virtual elimination of the peg head.
US08952228B2 Tomato line PSQ 24-2147
The invention provides seed and plants of tomato line PSQ 24-2147. The invention thus relates to the plants, seeds and tissue cultures of tomato line PSQ 24-2147, and to methods for producing a tomato plant produced by crossing such plants with themselves or with another tomato plant, such as a plant of another genotype. The invention further relates to seeds and plants produced by such crossing. The invention further relates to parts of such plants, including the fruit and gametes of such plants.
US08952226B2 Tomato line CHI 18-2101
The invention provides seed and plants of tomato line CHI 18-2101. The invention thus relates to the plants, seeds and tissue cultures of such plants, and to methods for producing a tomato plant produced by crossing such plants with themselves or with another tomato plant, such as a plant of another genotype. The invention further relates to seeds and plants produced by such crossing. The invention further relates to parts of such plants, including the fruit and gametes of such plants.
US08952225B2 Soybean variety XB31C13
A novel soybean variety, designated XB31C13 is provided. Also provided are the seeds of soybean variety XB31C13, cells from soybean variety XB31C13, plants of soybean XB31C13, and plant parts of soybean variety XB31C13. Methods provided include producing a soybean plant by crossing soybean variety XB31C13 with another soybean plant, methods for introgressing a transgenic trait, a mutant trait, and/or a native trait into soybean variety XB31C13, methods for producing other soybean varieties or plant parts derived from soybean variety XB31C13, and methods of characterizing soybean variety XB31C13. Soybean seed, cells, plants, germplasm, breeding lines, varieties, and plant parts produced by these methods and/or derived from soybean variety XB31C13 are further provided.
US08952223B2 Corn event DAS-59122-7 and methods for detection thereof
The invention provides DNA compositions that relate to transgenic insect resistant maize plants. Also provided are assays for detecting the presence of the maize DAS-59122-7 event based on the DNA sequence of the recombinant construct inserted into the maize genome and the DNA sequences flanking the insertion site. Kits and conditions useful in conducting the assays are provided.
US08952217B2 Process for decreasing verbascose in a plant by expression of a chloroplast-targeted fimD protein
The present invention relates to a process for decreasing verbascose in a plant by expression of a chloroplast-targeted polypeptide which is a member of the fimD superfamily in a plant cell, plant, or a part thereof. The invention furthermore relates to a process for producing a plant cell, plant, or part thereof with a decrease in the amount of verbascose. Also provided are nucleic acid constructs and vectors useful for practicing the methods as well as plants, plant tissues, propagation materials and harvested materials thus obtained. Agricultural compositions comprising the plant materials thus obtained is also provided.
US08952216B2 Plant promoter operable in basal endosperm transfer layer of endosperm and uses thereof
The present invention provides an isolated promoter or an active fragment or derivative thereof capable of conferring selective expression on a gene to which it is operably connected in the endosperm of a developing plant seed and preferably in the basal endosperm transfer layer (BETL) of endosperm. The present invention also provides expression vectors and constructs and transgenic plant cells, plant parts and whole plants comprising the promoter, active fragments and derivatives, and well as methods of modulating one or more plant phenotypes employing the promoter, active fragments and derivatives.
US08952213B2 Neuronal activation in a transgenic model
The disclosed invention provides compositions and methods for the identification of cells that are functionally activated after stimulation or during an activity while maintaining the viability of the identified cells.
US08952210B2 Solids removal from bio-oil using biomass filter aid
A green process and system are disclosed for utilizing a biomass filter aid in the filtration of a bio-oil. The process comprises filtering a bio-oil containing residual solids from a conversion reaction in the presence of the biomass filter aid to produce a filtered bio-oil. The biomass filter aid facilitates efficient removal of residual solids from the bio-oil. The spent biomass filter aid containing the residual solids may be recycled as a conversion feedstock or used as a combustion heat source in the biomass conversion system.
US08952209B2 Method and apparatus for continuously producing 1,1,1,2,3-pentafluoropropane with high yield
A method and apparatus for method of continuously producing 1,1,1,2,3-pentafluoropropane with high yield is provided. The method includes (a) bringing a CoF3-containing cobalt fluoride in a reactor into contact with 3,3,3-trifluoropropene to produce a CoF2-containing cobalt fluoride and 1,1,1,2,3-pentafluoropropane, (b) transferring the CoF2-containing cobalt fluoride in the reactor to a regenerator and bringing the transferred CoF2-containing cobalt fluoride into contact with fluorine gas to regenerate a CoF3-containing cobalt fluoride, and (c) transferring the CoF3-containing cobalt fluoride in the regenerator to the reactor and employing the transferred CoF3-containing cobalt fluoride in Operation (a). Accordingly, the 1,1,1,2,3-pentafluoropropane can be continuously produced with high yield from the 3,3,3-trifluoropropene using a cobalt fluoride (CoF2/CoF3) as a fluid catalyst, thereby improving the reaction stability and readily adjusting the optimum conversion rate and selectivity.
US08952203B2 Method for manufacturing hydroxyl group-containing acetal compound
A method for manufacturing an acetal compound represented by the formula [1] in which the steps (A) to (D) as defined herein are performed sequentially, and an acetal compound of the formula [1] which is produced by the method: wherein n is 0 or 1 provided that R1 groups may be bonded or may not be bonded to each other when n is 0; R1 is an alkyl group having 1 or 2 carbon atoms or an alkylene group having 1 or 2 carbon atoms and the R1 groups may be same or different from each other; and R2 is an alkylene group having 1 or 6 carbon atoms.
US08952198B2 Amination process for manufacturing amines using catalyst
Disclosed is a process for the preparation of an amine (particularly diamines and polyamines) by reacting an alkanolamine or a polyol with ammonia in the presence of a catalyst composed of two active metals from the group of transition metals, namely nickel and chromium supported on a microporous refractory substrate, in a hydrogenated, trickle bed reactor.
US08952195B2 Process for oxidizing alkyl aromatic compounds
A process for oxidizing an alkyl-aromatic compound is described. The process includes contacting the alkyl-aromatic compound, a solvent comprising an ionic liquid, a bromine source, a catalyst, and an oxidizing agent in a first reaction zone to produce a first product comprising a first mother liquor and at least one of an aromatic alcohol, an aromatic aldehyde, an aromatic ketone, and an aromatic carboxylic acid.
US08952192B2 Phosphorylated and branched dihydroxy-pentane-dione (DPD) analogs as quorum sensing inhibitors in bacteria
Provided are compositions and methods for modulating quorum sensing in microbes. The compounds are AI-2 analogs and as such have structures similar to 4,5-dihydroxy-2,3-pentanedione that can act as agonists/antagonists of quorum sensing. The compounds are useful for modulating quorum sensing in bacteria and can be used in methods for prophylaxis or therapy of bacterial infections and for reduction of biofilms.
US08952190B2 Synergists
Compounds of formula (I): wherein Ar represents an, optionally substituted, aryl or heteroaryl group, R represents an, optionally substituted, aryl or heteroaryl group, an optionally substituted straight or branched chain C1.10-alkyl, R1 is H or methyl, X is an extender group, n is 0 or an integer between 1 and 12 inclusive, A is a polyol residue wherein the unsubstituted polyol from which the residue is derived has at least y OH groups, and y is an integer>1, can easily be prepared, and are useful as synergists in radiation curing.
US08952187B2 Method and apparatus for processing vegetable oils
The present invention provides certain improvements in methods for processing vegetable oils and apparatus for carrying out such methods. One embodiment provides a method for processing a partially processed oil including glycerides and a volatilizable impurity fraction. The partially processed oil may processed by driving off a first volatiles stream comprising a portion of the glycerides and at least a portion of the volatilizable impurity fraction, leaving a deodorized oil. The first volatiles stream may be introduced into a first condensing chamber and a glyceride-rich, impurity-poor first condensate may be condensed from the first volatiles stream, leaving a glyceride-poor, impurity-rich second volatiles stream. The second volatiles stream may be passed into a second condensing chamber and a glyceride-poor, impurity-rich second condensate may be condensed from the second volatiles stream.
US08952185B2 Production method of optically active dihydrobenzofuran derivative
Provided is a production method of an optically active dihydrobenzofuran derivative. A production method of an optically active form of a compound represented by the formula: wherein each symbol is as defined in the specification, or a salt thereof and the like.
US08952178B2 Thiophene derivatives
Disclosed is a compound of formula (I), wherein R1, R2, R3, R4, R5, R6, R7 and R8 are as defined in the present application.
US08952175B2 Method for production of 3-hydroxypropan-1-one compound, method for production of 2-propen-1-one compound and method for production of isoxazoline compound
There is provided a novel intermediate for producing pesticides. A method for producing the compound of Formula (3) comprises reacting an aromatic ketone compound of Formula (4) and a substituted acetophenone compound of Formula (5) as starting raw materials in an organic solvent or water in the presence or absence of an additive in the presence of a base in a suspended state. A method may comprise dehydrating the compound of Formula (3). A method for producing compound (2) in one step comprises reacting compound (4) and compound (5) to obtain compound (3). Further, a method for producing an isoxazoline compound of Formula (1) comprises reacting compound (2) and a hydroxylamine in an aliphatic or an aromatic hydrocarbon solvent which is optionally substituted by a halogen atom by adding an additive selected from a phase-transfer catalyst, a C1-C6 alcohol and an aprotic polar solvent in the presence of a base and water.
US08952173B2 Method for the resolution of 2-amino-6-propylamino-4,5,6,7-tetrahydrobenzothiazol and intermediate compounds
The invention relates to a novel method for the resolution of the racemic mixture of compound (R,S)-2-amino-6-propylamino-4,5,6,7-tetrahydrobenzothiazole, or the enrichment of same with in one of its enantiomers, and to intermediate compounds which can be used to perform said method.
US08952171B2 Compounds and uses thereof for the modulation of hemoglobin
Provide herein are compounds and pharmaceutical compositions suitable as modulators of hemoglobin, methods and intermediates for their preparation, and methods for their use in treating disorders mediated by hemoglobin and disorders that would benefit from tissue and/or cellular oxygenation.
US08952167B2 Pseudorotaxanes, rotaxanes and catenanes formed by metal ions templating
A pseudorotaxane, a rotaxane and a catenane are provided. The pseudorotaxane includes at least a macrocyclic host molecule, a guest molecule, and a metal ion. The host molecule contains at least a binding unit and an aromatic linking spacer. The guest molecule has at least a recognition unit. The metal ion is used to template the threading of the guest molecule through the macrocycle host molecule by coordinating to a binding pocket formed from the binding unit of the macrocycle and the recognition moiety of the guest molecule. Rotaxanes or catenanes can be synthesized from the pseudorotaxane complexes, with or without the metal template ion in their molecular structures.
US08952165B2 Pharmaceutical composition comprising pyridone derivatives
A pyridone derivative compound and a pharmaceutically acceptable salt, isomer, solvate or hydrate thereof, and a preventive or therapeutic pharmaceutical composition for cognitive disorders that includes the pyridone derivative compound or a pharmaceutically acceptable salt, isomer, solvate or hydrate thereof.
US08952162B2 Triazolopyridines as phosphodiesterase inhibitors for treatment of dermal diseases
The present invention relates to a compound according to formula I, wherein R1, R2 and A are as defined herein, which exhibit PDE4 inhibitory activity and may be used in the treatment of inflammatory diseases or autoimmune diseases, in particular inflammatory or proliferative dermal diseases.
US08952161B2 Gonadotropin-releasing hormone receptor antagonists and methods relating thereto
GnRH receptor antagonists are disclosed which have utility in the treatment of a variety of sex-hormone related conditions in both men and women. The compounds of this invention have the structure: wherein R1a, R1b, R1c, R1d, R2, R2a, and A are as defined herein, including stereoisomers, esters, solvates and pharmaceutically acceptable salts thereof. Also disclosed are compositions containing a compound of this invention in combination with a pharmaceutically acceptable carrier, as well as methods relating to the use thereof for antagonizing gonadotropin-releasing hormone in a subject in need thereof.
US08952158B2 Tricyclic compound and use thereof
The present invention relates to the compound represented by formula (I): (wherein, all the symbols have the same meaning as that of the specification), a salt thereof, a solvate thereof or a prodrug thereof. Since the compound of the present invention has an anti stress action, it is useful for preventive and/or therapeutic agent for a disease caused by stress, especially a digestive system disease caused by stress and is superior to oral absorption.
US08952156B2 Process for working up reaction outputs from the hydrogenation of EDDN or EDMN
A process is disclosed for separating the output from the reaction of EDDN or EDMN with hydrogen in the presence of THF, a catalyst, TETA or DETA, water, and optionally organic compounds having higher and lower boiling points than TETA or DETA. Hydrogen is removed, and the output is supplied to a distillation column DK1 in which an azeotrope, optionally comprising organic compounds with a boiling point lower than TETA or DETA, is removed from the top. A product comprising TETA or DETA is removed from the bottom and passed into a distillation column DK2, removing THF. A stream comprising TETA or DETA passes from the bottom of DK2. The DK1 azeotrope is condensed. Phase separation is induced by the addition of an organic solvent essentially immiscible with water, and the mixture is separated. The organic phase is recycled into DK1 and the water phase is discharged.
US08952155B2 Rilpivirine process
Disclosed is process for the preparation of a key Rilpivirine intermediate namely, (E)-4-(2-cyanoethenyl)-2,6-dimethylphenylamine hydrochloride (II) by a process comprising reaction of the tetrafluoroborate salt of the diazonium ion of 2,6-dimethyl-4-amino-1-carboxybenzyl phenylamine (VI) with acrylonitrile in presence of palladium acetate, followed by treatment with an acid and its subsequent conversion to the hydrochloride salt (II), wherein the undesired Z isomer is less than 0.5% and provides Rilpivirine hydrochloride having Z isomer less than 0.1%.
US08952153B2 One pot process for preparing pemetrexed disodium
This invention relates to one pot process for preparing antifolate agent pemetrexed disodium in pure form.
US08952152B2 Methods for purifying phycotoxins, pharmaceutical compositions containing purified phycotoxins, and methods of use thereof
Phycotoxins are purified from a mixture of phycotoxins produced in a continuous process. Cyanobacteria are produced in a continuous culture, then lyzed, the cells pelleted and extracted, and the extract purified using an organic solvent-aqueous mixture and repeated passage through a diatomaceous earth column. The column is washed with acetic acid, then the neosaxitoxin extracted with an alcohol-water mixture. The eluate is passed through activated charcoal columns, which are washed with distilled water to remove the retained pigments and impurities, the further purified by HPLC. In one embodiment, the process produces only neosaxitoxin and saxitoxin. In another embodiment, the process produces only GTX2/3.
US08952145B2 Compositions and their uses directed to huntingtin
Disclosed herein are compounds, compositions and methods for modulating the expression of huntingtin in a cell, tissue or animal. Further provided are methods of slowing or preventing Huntington's Disease (HD) progression using an antisense compound targeted to huntingtin. Additionally provided are methods of delaying or preventing the onset of Huntington's Disease (HD) in an individual susceptible to Huntington's Disease (HD). Also provided are uses of disclosed compounds and compositions in the manufacture of a medicament for treatment of diseases and disorders.
US08952142B2 Elite event A5547-127 and methods and kits for identifying such event in biological samples
Tools are provided which allow rapid and unequivocal identification of elite event A5547-127 in biological samples.
US08952140B2 Compound amycolose derivative, method for producing the same, and use of the same
A compound having a structure expressed by the following General Formula (1) or a salt thereof where “R” denotes a hydrogen atom or an alkyl group.
US08952139B2 Process for the preparation of β-C-aryl glucosides
The present invention provides processes (e.g., arylation reaction) for stereoselectively preparing C-arylglucosides that can be useful as synthetic building block or drugs, including SGLT2 inhibitors. A representative process of the present application includes the preparation of the compound of formula IV starting from a compound of the formula II with a metalated aryl compound, as disclosed below:
US08952138B2 Refolding proteins using a chemically controlled redox state
A method of refolding proteins expressed in non-mammalian cells present in concentrations of 2.0 g/L or higher is disclosed. The method comprises identifying the thiol pair ratio and the redox buffer strength to achieve conditions under which efficient folding at concentrations of 2.0 g/L or higher is achieved and can be employed over a range of volumes, including commercial scale.
US08952136B2 Antibodies to human programmed death receptor PD-1
Antibodies which block binding of hPD-1 to hPD-L1 or hPD-L2 and their variable region sequences are disclosed. A method of increasing the activity (or reducing downmodulation) of an immune cell through the PD-1 pathway is also disclosed.
US08952133B2 Nonviral vectors for delivering polynucleotides to target tissue
Methods and compositions for delivering polynucleotides are provided. One embodiment provides a non-viral vector comprising a recombinant polynucleotide-binding protein comprising a protein transduction domain operably linked to a targeting signal.
US08952128B2 Somatostatin-dopamine chimeric analogs
The present invention relates to novel somatostatin-dopamine chimeric analogs and their therapeutic uses for the inhibition, prevention, and/or treatment of neoplasia, neuroendocrine tumors, Cushing's disease/syndrome, and other conditions.
US08952126B2 Purification of functionalized triblock copolymers via methanol trituration
A method of making a pure block copolymer includes forming a crude block copolymer; heating a solution of the crude block copolymer and alcohol; and cooling the solution to promote precipitation of a purified block copolymer, wherein an amount of impurities remaining in the purified block copolymer is from about 0 to about 5 wt % based on a total weight of the purified block copolymer; a ratio of a polydispersity index of the crude block copolymer to a polydispersity index of the purified block copolymer is from about 1.02 to about 1.25; a ratio of a molecular weight of the crude block copolymer to a molecular weight of the purified block copolymer is from about 0.75 to about 1.0; and a ratio of a number average molecular weight of the crude block copolymer to a number average molecular weight of the purified block copolymer is from about 0.65 to about 1.
US08952123B1 Dioxanone-based copolymers for implantable devices
The present invention is directed to polymeric materials comprising biodegradable, dioxanone-based copolymers and implantable devices (e.g., drug-delivery stents) formed of such materials. The polymeric materials can also contain at least one additional biocompatible moiety, at least one non-fouling moiety, at least one biobeneficial material, at least one bioactive agent, or a combination thereof. The polymeric materials are designed to improve the mechanical, physical and biological properties of implantable devices formed thereof.
US08952117B2 Process for producing solvent-soluble reactive polysiloxanes
The present invention is a method for producing solvent-soluble polysiloxanes which includes a condensation process of subjecting a raw material having siloxane-bond-forming groups to hydrolytic copolycondensation in the presence of a catalyst to synthesize a reactive polysiloxane represented by general formula (1), the raw material containing both an organosilicon compound (S1) having a (meth)acryloyl group and siloxane-bond-forming groups and at least one silicon compound (S2) selected from among tetraalkoxysilanes and tetrahalogenosilanes, wherein in the condensation process, the organosilicon compound (S1) and silicon compound (S2) are used at a (S2)/(S1) molar ratio of 1.8 or less, and the condensation process is conducted by adding gradually a mixture of the silicon compound (S2) and the catalyst to a raw material liquid containing the organosilicon compound (S1) and water, while keeping the molar ratio of the amount of the silicon compound (S2) to the amount of the organosilicon compound (S1) within the range of 0.001/min to 0.3/min.
US08952111B2 Modified phosphinimine catalysts for olefin polymerization
Olefin polymerization is carried out with a supported phosphinimine catalyst which has been treated with a long chain substituted amine compound.
US08952110B2 Moisture-curable, amine-catalyzed sulfur-containing polymer compositions
Disclosed are moisture-curable, amine-catalyzed compositions containing sulfur-containing polymers and blocked basic catalysts having extended pot life. The use of the moisture-curable, amine-catalyzed compositions in aerospace sealant applications is also disclosed.
US08952109B2 Process for preparing a block copolymer
The present invention relates to a process for preparing a block copolymer comprising poly-arylene ether and polyalkylene oxide blocks, comprising the reaction of an HO-terminated poly-arylene ether with a monomeric alkylene oxide.The present invention also relates to a block copolymer obtainable from this process.The invention additionally relates to a triblock polymer with polyalkylene oxide-polyaryl ether-polyalkylene oxide blocks.
US08952107B2 Thermoplastic melt-mixed composition with polyetherol heat stabilizer
Disclosed is a thermoplastic melt-mixed composition including: a) a polyamide resin; b) a polyetherol compound provided by reacting: b1) one or more polyepoxy compound having at least two or more epoxy groups; and b2) one or more polyhydric alcohols having two or more hydroxyl groups; c) 10 to 60 weight percent of reinforcing agent; d) 0 to 30 weight percent polymeric toughener; and e) 0 to 10 weight percent further additives. Also disclosed are molded parts derived from the composition.
US08952103B2 Copolymers having amide units and ether units with improved optical properties
The present invention is a copolymer based on amide units and ether units, wherein the amide units being comprise a major portion of an equimolar combination of at least one diamine and at least one dicarboxylic acid, the diamine(s) is/are mainly cycloaliphatic and the dicarboxylic acid(s) is/are mainly linear and aliphatic, the amide units optionally comprise, but in a minor proportion, at least one other polyamide comonomer, the respective proportions of ether and amide unit monomers are selected in such a way that said copolymer is highly transparent to such an extent that the transmittance at 560 nm on a plate 2 mm thick is greater than 75%; the crystallinity of said copolymer is such that the enthalpy of fusion during the first heating of a ISO DSC (delta Hm(2)) is at least 30 J/g, where the mass is related to the number of amide units contained or of polyamide contained, which fusion corresponds to that of the amide units; and said copolymer has a glass transition temperature of at least 75° C.
US08952100B2 Process to remove silanol from the preparation of a modified polymer
The invention provides a method for a preparation of a polymer selected from the following: (a) modified interpolymer comprising, in polymerized form, a conjugated diene and an aromatic alpha-olefin, or (b) a modified polymer comprising, in polymerized form, a conjugated diene; and wherein said method comprising at least the following steps: polymerizing monomers comprising at least one conjugated diene monomer in at least one polymerization reactor, in the presence of at least one solvent, to form the polymer, optionally coupling a fraction of the polymer chains using at least one coupling agent, modifying the polymer with at least one modifying agent, in at least one reactor, in the presence of the at least one solvent, to form a modified polymer solution; optionally, contacting the modified polymer solution with at least one proton donating compound; contacting the modified polymer solution with water; removing the at least one solvent; contacting the removed solvent with at least one material that will react with, and/or adsorb, silanol to form a purified solvent, recirculating the purified solvent back to the at least one polymerization reactor or to a storage container.
US08952098B2 Rubber composition for sidewall or base tread, and pneumatic tire
The present invention provides a rubber composition for a sidewall or base tread, which offers good flex crack growth resistance, crack initiation resistance, durability, and fuel economy despite the use of a softener derived from non-petroleum resources; and also provides a pneumatic tire formed from the rubber composition. The present invention relates to a rubber composition for a sidewall or base tread, containing a rubber component including at least two kinds of diene rubbers, and a myrcene polymer, wherein the rubber component includes at least one kind of diene rubber selected from the group consisting of natural rubber, epoxidized natural rubber, and butadiene rubber, and the myrcene polymer has a weight average molecular weight of 1000 to 500000.
US08952096B2 Graft copolymers and use thereof as low-profile additives
The invention provides graft copolymers obtainable by A) free-radically initiated polymerization of one or more vinyl esters a), one or more further ethylenically unsaturated monomers b) comprising at least one further functional group, B) subsequent polymer-analogous reaction of the copolymers from stage A) by joining the monomer units b) thereof to one or more further monomers b) such that at least one free-radically polymerizable group is introduced into the copolymers from stage A), characterized in that C) one or more ethylenically unsaturated monomers are polymerized onto the products from stage B) by free-radically initiated polymerization.
US08952095B2 Cross-linking agents for hydrogels that contain cleavable peptides and short-chain polymers
A peptide cross-linking agent in the form of a linear molecule has a molecular mass of 3 to approximately 60 kDa. The peptide cross-linking agents are used for cross-linking functionalized polymers to form hydrogels having two or more components.
US08952094B2 Reversible polymer composition
A composition includes a reversible polymer material, which can reversibly transition between a liquid state and a solid state by reversible cycloaddition reactions, wherein upon cooling, the reversible polymer material transitions from a liquid state to a solid state by reversible cycloaddition reactions within a time period of less than about 10 seconds.
US08952092B2 Non-carboxylated styrene-butadiene copolymers, preparation method and use thereof
A non-carboxylated styrene-butadiene copolymer, preparation method and use thereof are provided. The non-carboxylated styrene-butadiene copolymer is prepared by hot polymerization in the absence of acid monomers and is used in asphalt-based systems such as asphalt emulsions.
US08952091B2 Rubber composition for inner liner and pneumatic tire
The present invention provides a rubber composition for an inner liner, which can achieve both excellent low heat build-up and high flex crack growth resistance while having processability excellent enough to eliminate the need for mastication, and further has good air impermeability, and also provides a pneumatic tire produced using the rubber composition. The present invention relates to a rubber composition for an inner liner, including: a rubber component that contains a modified natural rubber with a phosphorus content of 200 ppm or less, and carbon black and/or a white filler.
US08952086B2 Polyolefin rubber composition having surface lubricity
A polyolefin rubber composition comprising (A) an ethylene/α-olefin/nonconjugated polyene random copolymer, (B) an organohydrogenpolysiloxane containing on average from 1 to less than 2 Si—H groups in a molecule, and (C) an addition reaction catalyst can be compression molded or steam vulcanized into a cured product having heat resistance and surface lubricity. The cured product is especially suited as weatherstrips and windshield wiper blades.
US08952084B1 Silicone treated carbon black compositions
A composition that includes a polyalkylene glycol silicone phosphate and carbon black, and where there is formed between the carbon black and the silicone phosphate an ionic attachment and processes thereof.
US08952083B2 Fluorocopolymer composition and its production process
To provide a composition comprising a fluorocopolymer such as an ethylene/tetrafluoroethylene copolymer which can be produced at a relatively low temperature, and its production process.A fluorocopolymer composition which comprises a fluorocopolymer such as an ethylene/tetrafluoroethylene copolymer and a fluorinated aromatic compound having a melting point of at most 230° C. and having a fluorine content in the compound of from 5 to 75 mass %, and is in a solution state at a temperature of at most the melting point of the fluorocopolymer, and a process for producing the fluorocopolymer composition, which comprises a step of dissolving the fluorocopolymer in a solvent containing the fluorinated aromatic compound at a temperature of at most the melting point of the fluorocopolymer.
US08952081B2 Process for preparing latex paints containing biocides
A process for incorporating water insoluble biocides in latex paint products. The process comprises adding the biocide to the dispersion phase.
US08952080B2 Material for contact lenses, method for manufacturing contact lenses and contact lenses obtained thereby
The invention provides a material for contact lenses, including a first siloxane macromer with the number average molecular weight of about 1,000 to 10,000, wherein the first siloxane macromer has a crosslinking function and is represented by the following formula (I): a second siloxane macromer is selected from the group consisting of a siloxane macromer represented by the following formula (II) and a siloxane macromer represented by the following formula (III): at least one hydrophilic monomer; and an initiator.
US08952077B2 Silicone rubber composition, silicone rubber molded article, and production method thereof
A UV curable silicone rubber composition is provided. The composition does not undergo curing failure, foaming, and other undesirable conditions even if a water-containing inorganic filler such as zeolite were added. A UV curable silicone rubber composition comprising (A) 100 parts by weight of an organopolysiloxane having at least 2 alkenyl groups per molecule represented by the average compositional formula (I): R1aSiO(4-a)/2 (I) (wherein R1 is independently a substituted or unsubstituted monovalent hydrocarbon group, and a is a positive number of 1.95 to 2.05); (B) 1 to 300 parts by weight of an inorganic filler having a water content of at least 0.5% by weight; (C) 0.1 to 50 parts by weight of an organohydrogenpolysiloxane having at least 2 silicon-bonded hydrogen atoms per molecule; and (D) a catalytic amount of a photoactive platinum complex curing catalyst.
US08952071B2 (Het)aryl-p-quinone derivatives for treatment of mitochondrial diseases
Methods of treating or suppressing mitochondrial diseases, such as Friedreich's ataxia (FRDA), Leber's Hereditary Optic Neuropathy (LHON), mitochondrial myopathy, encephalopathy, lactacidosis, stroke (MELAS), Kearns-Sayre Syndrome (KSS), are disclosed, as well as compounds useful in the methods of the invention, such as 2-(3-hydroxy-3-methyl-butyl)-6-(het)aryl-p-quinone or as 2-(3-hydroxy-3-methylbutyl)-3-(het)aryl-p-quinone derivatives. Energy biomarkers useful in assessing the metabolic state of a subject and the efficacy of treatment are also disclosed. Methods of modulating, normalizing, or enhancing energy biomarkers, as well as compounds useful for such methods, are also disclosed.
US08952068B2 Compositions for the treatment of diabetes and pre-diabetes
The invention relates to the compositions of formula I or its pharmaceutical acceptable polymorphs, solvates, enantiomers, stereoisomers and hydrates thereof. The pharmaceutical compositions comprises a salt of metformin and the methods for treating or preventing metabolic syndrome, prediabetes and diabetes may be formulated for oral, buccal, rectal, topical, transdermal, transmucosal, intravenous, parenteral administration, syrup, or injection. Such compositions may be used to treatment of diabetes mellitus, obesity, lipid disorders, hypertriglyceridemia, hyperglycemia, hyperinsulinemia and insulin resistance.
US08952066B2 Pharmaceutical/cosmetic, E.G., anti-acne compositions comprising at least one naphthoic acid compound, benzoyl peroxide and at least one film-forming agent
Stable pharmaceutical/cosmetic compositions for topical application, notably for the treatment of acne vulgaris include, formulated into a physiologically acceptable medium, at least one naphthoic acid compound, benzoyl peroxide and at least one film-forming agent, the at least one naphthoic acid compound and the benzoyl peroxide advantageously being in a dispersed form therein.
US08952065B2 Acetylcysteine composition and uses thereof
This invention relates to novel acetylcysteine compositions in solution, comprising acetylcysteine and which are substantially free of metal chelating agents, such as EDTA. Further, this invention relates to methods of making and using the acetylcysteine compositions. The present compositions and methods are designed to improve patient tolerance and compliance, while at the same time maintaining the stability of the pharmaceutical formulation. The compositions and methods of this invention are useful in the treatment of acetaminophen overdose, acute liver failure, various cancers, methacrylonitrile poisoning, reperfusion injury during cardio bypass surgery, and radiocontrast-induced nephropathy, and can also be used as a mucolytic agent.
US08952063B2 Satiety-inducing composition
The present invention relates to the field of weight management. The invention particularly relates to a method for inducing satiety. In one of its embodiments, the present invention provides a method for inducing satiety in a human or an animal comprising administering to said human or animal an effective amount of a composition comprising a lipid of which at least part is in a crystal form in the small intestine.
US08952061B2 Methods and compounds regulating the erythroid response to iron deficiency
The present invention discloses the signaling pathway involved erythroid repression by iron deficiency. Further disclosed is anon-toxic small-molecule compound which potently reverses the erythroid repression caused by iron deficiency. The present invention further encompasses novel compounds for inhibition of red cell production, useful, for example, in the treatment of polycythemia vera, a malignancy causing uncontrolled red cell production. These inhibitory compounds also promote megakaryocytic lineage commitment and may therefore be useful for augmentation of platelet production. The present invention further discloses isocitrate reversal of iron deprivation.
US08952059B2 Acetyl L-carnitine for the preparation of a medicament for the prevention of painful peripheral neuropathy in patients with type 2 diabetes
Acetyl L-carnitine, or of one of its pharmaceutically acceptable salts, is useful for the prevention of painful peripheral neuropathy in patients suffering from type 2 diabetes, in which symptoms are pain, paraesthesia or hyperaesthesia. The acetyl L-carnitine is administered orally at a dose of at least 3 grams/day.
US08952057B2 Compositions for anorectal use and methods for treating anorectal disorders
Compositions containing polymetal complexes are useful in treating anorectal disorders.
US08952056B2 Methods for inhibiting drug degradation
Methods of inhibiting cytochrome P450 enzymes are provided that can be used for improving the treatment of diseases by preventing degradation of drugs or other molecules by cytochrome P450. Pharmaceutical compositions are provided that can act as boosters to improve the pharmacokinetics, enhance the bioavailability, and enhance the therapeutic effect of drugs that undergo in vivo degradation by cytochrome P450 enzymes.
US08952055B2 Membrane fusion inhibitor
The present invention provides a pharmaceutical composition that has excellent safety and targets a different step in the virus propagation cycle than conventional pharmaceutical compositions, applicable as an antiviral agent. A pharmaceutical composition containing an epigallocatechin gallate derivative represented by the following chemical formula (1), an isomer thereof, or a salt thereof is prepared. This can be used as a membrane fusion inhibitor that inhibits viral membrane fusion. In the following formula, R1 to R6 are each a hydrogen atom, halogen, sodium, potassium, or a straight-chain or branched, saturated or unsaturated acyl group and may be identical to or different from one another. The acyl group may be substituted further with one or more substituents. At least one of R1 to R6 is the acyl group. R7 to R16 are each a hydrogen atom, halogen, sodium, or potassium and may be identical to or different from one another.
US08952051B2 Ophthalmic formulations containing substituted gamma lactams and methods for use thereof
The invention provides ophthalmic formulations containing well-defined substituted gamma lactams. The formulations described herein are useful in treating a variety of ocular diseases related to ocular hypertension, such as for example, glaucoma.
US08952049B2 Modulators of ATP-binding cassette transporters
Compounds of the present invention and pharmaceutically acceptable compositions thereof, are useful as modulators of ATP-Binding Cassette (“ABC”) transporters or fragments thereof, including Cystic Fibrosis Transmembrane Conductance Regulator (“CFTR”). The present invention also relates to methods of treating ABC transporter mediated diseases using compounds of the present invention.
US08952044B2 Antimycotic pharmaceutical composition
A pharmaceutical composition which comprises 1) a compound represented by the following general formula (1) and/or a salt thereof; and 2) a ketone such as methyl ethyl ketone. Preferably, the compound represented by the following general formula (1) is luliconazole, where R1═R2=a chlorine atom: where R1 and R2 each independently represents a hydrogen atom or a halogen atom, and at least one of R1 and R2 represents a halogen atom. The present invention provides a preparation excellent in solubilization stability for a compound represented by the general formula (1) and/or a salt thereof in low-temperature or high-temperature storage.
US08952037B2 Heteroaryloxycarbocyclyl compounds as PDE10 inhibitors
Heteroaryloxycarbocyclyl compounds, and compositions containing them, and processes for preparing such compounds. Provided herein also are methods of treating disorders or diseases treatable by inhibition of PDE10, such as obesity, non-insulin dependent diabetes, schizophrenia, Huntington's Disease, bipolar disorder, obsessive-compulsive disorder, and the like.
US08952036B2 Benzoic acid derivative MDM2 inhibitor for the treatment of cancer
The present invention provides a MDM2 inhibitor compound, or a pharmaceutically acceptable salt thereof, which compound is useful as a therapeutic agent, particularly for the treatment of cancers. The present invention also relates to pharmaceutical compositions that contains the MDM2 inhibitor.
US08952035B2 Combination of anti-angiogenic substance and anti-tumor platinum complex
The problems of the present invention are to find a pharmaceutical composition and a method for treating cancer that exhibit excellent anti-tumor effect. Excellent anti-tumor effect is achieved when 4-(3-chloro-4-(cyclopropylaminocarbonyl)aminophenoxy)-7-methoxy- 6 quinolinecarboxamide or an analogous compound thereof, a pharmacologically acceptable salt thereof or a solvate thereof is used in combination with an anti-tumor platinum complex.
US08952031B2 Amino- and amido-aminotetralin derivatives and related compounds as mu opioid receptor antagonists
The invention provides amino- and amido-aminotetralin compounds of formula (I): wherein R1, R2, R3, R4, R5, R6, R7, and n are defined in the specification, or a pharmaceutically-acceptable salt thereof, that are antagonists at the mu opioid receptor. The invention also provides pharmaceutical compositions comprising such compounds, methods of using such compounds to treat conditions associated with mu opioid receptor activity, and processes and intermediates useful for preparing such compounds.
US08952027B2 Inhibitors of syk and JAK protein kinases
The present invention is directed to compounds of formula I-V and tautomers thereof or pharmaceutically acceptable salts, esters, and prodrugs thereof which are inhibitors of syk kinase. The present invention is also directed to intermediates used in making such compounds, the preparation of such a compound, pharmaceutical compositions containing such a compound, methods of inhibition syk kinase activity, methods of inhibition the platelet aggregation, and methods to prevent or treat a number of conditions mediated at least in part by syk kinase activity, such as undesired thrombosis and Non Hodgkin's Lymphoma.
US08952025B2 Multifunctional radical quenchers and their uses
The present disclosure provides biologically active compounds of formula (I): and pharmaceutically acceptable salts thereof: compositions comprising these compounds, and methods of using these compounds in a variety of applications, such as treatment or suppression of diseases associated with decreased mitochondrial function resulting in diminished ATP production and/or oxidative stress and/or lipid peroxidation.
US08952024B2 Herbicidal pyrimidone derivatives
Disclosed are compounds of Formula 1, including all stereoisomers, N-oxides, and salts thereof, X is CH or N; Y is C(O) or S(O)2; provided that when Y is S(O)2, then X is CH; A is a radical selected from the group consisting of and B1, B2, B3, T, R1, R2 R3, R4, R5, R6, R7, R8, R9, R10, R11, R12 and R13 are as defined in the disclosure. Also disclosed are compositions containing the compounds of Formula 1 and methods for controlling undesired vegetation comprising contacting the undesired vegetation or its environment with an effective amount of a compound or a composition of the invention.
US08952017B2 Acyclovir formulations
The present invention relates to an acyclovir formulation having improved bioavailability resulting in better efficacy and/or requiring less frequent administration.
US08952015B2 Inhibitors of Bruton's tyrosine kinase
Disclosed herein are compounds that form covalent bonds with Bruton's tyrosine kinase (Btk). Also described are irreversible inhibitors of Btk, such as those having the structure of Formula (A) Methods for the preparation of the compounds are disclosed. Also disclosed are pharmaceutical compositions that include the compounds. Methods of using the Btk inhibitors are disclosed, alone or in combination with other therapeutic agents, for the treatment of autoimmune diseases or conditions, heteroimmune diseases or conditions, cancer, including lymphoma, and inflammatory diseases or conditions.
US08952010B2 Amino group-containing pyrrolidinone derivative
A compound represented by the following formula (I) or a salt thereof: wherein R represents a hydrogen atom, a hydroxy group, or a halogen atom Ar1 represents a bicyclic heterocyclic group represented by the following formula:  and Ar2 represents a bicyclic heterocyclic group represented by the following formulae:
US08952009B2 Chroman derivatives as TRPM8 inhibitors
Chroman compounds and derivatives of Formula I are useful inhibitors of TRPM8. Such compounds are useful in treating a number of TRPM8 mediated disorders and conditions and may be used to prepare medicaments and pharmaceutical compositions useful for treating such disorders and conditions. Examples of such disorders include, but are not limited to, migraines and neuropathic pain. Compounds of Formula I have the following structure: where the definitions of the variables are provided herein.
US08952008B2 Chemical compounds
The present invention relates to imidazopyridazine derivatives. More particularly, it relates to 4-(biphenyl-3-yl)-7H-imidazo[4,5-c]pyridazine derivatives of formula (I): and pharmaceutically acceptable salts thereof, wherein R1, R2, R3, R4 and R5 are as defined in the description. The imidazopyridazine derivatives of the present invention modulate the activity of the GABAA receptor. They are useful in the treatment of a number of conditions, including pain.
US08952007B2 Fused heterocyclic compounds as ion channel modulators
The present invention relates to sodium channel inhibitors of Formula I: in which R1, R2, R3, R4, R5, R6, and R7 are as defined above, and to their use in the treatment of various disease states, including cardiovascular diseases and diabetes.
US08952000B2 Cholesterol absorption inhibitor and omega 3 fatty acids for the reduction of cholesterol and for the prevention or reduction of cardiovascular, cardiac and vascular events
A composition and a method of treatment utilizing a combination of lipid lowering cholesterol absorption inhibitors, e.g. azetidinones, with mixtures of an omega-3 fatty acid formulation containing about 90% or more omega 3 fatty acids by weight including a combination of Eicosapentaenoic acid (EPA), Docosapentaenoic acid (DPA) and Docosahexaenoic acid (DHA) in a weight ratio of EPA:DHA of from 5.7 to 6.3, wherein the sum of the EPA, DHA and DPA represent about 82% by weight of the total formulation and about 92% of the total omega 3 fatty acid content of the composition are taught. EPA+DHA are about 80% of the total formulation and about 89% of the total omega 3 fatty acid content of the composition. The formulation may further contain specific amounts of arachidonic acid (AA), stearidonic acid (SDA), and alpha-linolenic acid (ALA).
US08951997B2 Substituted isoquinoline derivative
The present invention provides an isoquinoline-6-sulfonamide derivative that is useful as a novel pharmaceutical agent. The present invention provides an isoquinoline-6-sulfonamide derivative represented by Formula (1), a salt thereof, or a solvate of the derivative or the salt: wherein X and Y each independently represent a direct bond, NH, CH═CH, O, or S; R1 and R2 each independently represent a hydrogen atom, a halogen atom, a cyano group, an alkyl group, or the like; R3 and R4 each independently represent a hydrogen atom, an alkyl group, or the like, or R3 and R4 together form an alkylene group or an alkenylene group, which may be bridged between two carbon atoms to an arbitrary position; and l, m, and n represent an integer number of 1 to 4.
US08951993B2 Phosphorus containing compounds as protein kinase inhibitors
The present invention relates to compounds represented by formula (I), which can modulate the activity of protein kinases. The invention also relates to a composition containing a compound of formula (I), and a method for synthesizing and using such compound for preventing or treating ALK or cMet mediated disorders or conditions.
US08951990B2 Use of alkylated semi-synthetic glycosaminoglycosan ethers for the treatment of dental disorders
Described herein are methods for treating a dental disorder in a subject comprising administering to the subject an effective amount of a modified hyaluronan or a pharmaceutically acceptable salt or ester thereof, wherein said hyaluronan or its pharmaceutically acceptable salt or ester comprises at least one sulfate group and the primary C-6 hydroxyl proton of at least one N-acetyl-glucosamine residue is substituted with a C1-C10 unsubstituted alkyl group or fluoroalkyl group.
US08951988B2 Azidothymidine quinoline conjugated compound, preparation method therefor and application thereof in anti-hepatoma therapy
The invention provides the Zidovudine-conjugated quinoline compound N-((1-(2-(hydroxy-methyl)-5-(5-methyl-2,4-dioxo-3,4-dihydropyrimidin-1(2H)-yl)-tetrahydrofuran-3-yl)-1H-1,2,3-triazol-4-yl)methyl)-6-(4b,8,8-trimethyl-4b,5,6,7,8,8a-hexahydrodibenzo[a,c]phenazin-2-yloxy)hexanamide. The compound can selectively kill hepatoma cells, especially hepatoma cells with hepatitis B, and inhibit the growth of subcutaneous tumors in mice, but has no significant toxicity to normal liver cells. Experiments confirm that the compound has an anti-hepatoma effect and can be used in preparation of anti-hepatoma drugs.
US08951983B2 Methods and compositions for the treatment of insulin-associated medical conditions
A method of increasing insulin content in a pancreatic beta cell is disclosed. The method comprising expressing in the pancreatic beta cell an exogenous polynucleotide encoding at least one microRNA or a precursor thereof, wherein the microRNA is selected from the group consisting of miR-15, miR-16, miR-24, miR-26, miR-27, miR-29, miR-30, miR-129, miR-141, miR-148, miR-182, miR-200, miR-376 and Let-7, thereby increasing the insulin content in the pancreatic beta cell.
US08951975B2 ECT2 peptides and vaccines including the same
Isolated peptides derived from SEQ ID NO: 42 and fragments thereof that bind to an HLA antigen and induce cytotoxic T lymphocytes (CTL) and thus are suitable for use in the context of cancer immunotherapy, more particularly cancer vaccines, are described herein. The inventive peptides encompass both the afore-mentioned amino acid sequences and modified versions thereof, in which one, two, or several amino acids are substituted, deleted, inserted or added, provided such modified versions retain the requisite HLA binding and/or CTL inducibility of the original sequences. Further provided are nucleic acids encoding any of the aforementioned peptides as well as pharmaceutical agents, substances and/or compositions that include or incorporate any of the aforementioned peptides or nucleic acids. The peptides, nucleic acids, pharmaceutical agents, substances and compositions of this invention find particular utility in the treatment of cancers and tumors, including, for example, bladder cancer, breast cancer, cervical cancer, cholangiocellular carcinoma, CML, colorectal cancer, esophageal cancer, NSCLC, lymphoma, pancreatic cancer, prostate cancer, renal carcinoma and SCLC.
US08951974B2 Self-assembling peptide and peptide gel with high strength
Provide are a peptide gel with practically sufficient mechanical strength and a self-assembling peptide capable of forming the peptide gel. The self-assembling peptide is formed of the following amino acid sequence: a1b1c1b2a2b3 db4a3b5c2b6a4 where: a1 to a4 each represent a basic amino acid residue; b1 to b6 each represent an uncharged polar amino acid residue and/or a hydrophobic amino acid residue, provided that at least five thereof each represent a hydrophobic amino acid residue; c1 and c2 each represent an acidic amino acid residue; and d represents a hydrophobic amino acid residue.
US08951960B2 Synergistic combination of DBNPA and polycyclic antibacterial peptide as biocide in bioethanol production
Methods for controlling the growth of bacteria in ethanol fermentation systems with antibiotic alternatives, which can be nonoxidizing biocides, stabilized oxidizers, or any combinations thereof, are described. As an option, a process or composition of the present invention can include one or more polycyclic antibacterial peptides. The methods can provide improvements, such as increased ethanol yields with minimal carryover of biocide into co-products of the processes.
US08951958B2 Purification of caspofungin intermediates
The present invention relates to a method for the purification of cyclopeptides of general formula (3) by means of a silicate.
US08951957B2 Delivery agent
A composition and method comprising a polycationic agent and a polyanionic agent for delivering a peptide or protein into a cell.
US08951954B2 Low volatile organic compounds cleaner composition
Disclosed herein are low volatile organic compound cleaner compositions which include a diethylene glycol monoalkyl ether, benzyl alcohol, and a fluorosurfactant. Also disclosed are low volatile organic compound cleaner compositions which include a diethylene glycol monoalkyl ether, benzyl alcohol, and an ethanolamine. Methods of using the compositions are also provided.
US08951951B2 Solvent compositions for removing petroleum residue from a substrate and methods of use thereof
Water-soluble solvent compositions, including from about 10% to about 60% by weight of an aromatic ester; from about 30% to about 60% by weight of an aliphatic ester; from 0% to about 15% by weight of a co-solvent; from 0% to about 20% of one of a cyclic terpene and a terpenoid; from 0% to about 1% by weight of an odor-masking agent; and from 0% to about 20% by weight of a nonionic surfactant, for removing petroleum residue from a substrate, and methods of use thereof. The composition can further comprise water. The composition also can comprise an aqueous solution. The method for removing petroleum residue from a substrate can further comprise recycling the solvent composition by using a countercurrent separation column charged with compressed ammonia and/or carbon dioxide and a spinning band distillation column to separate the solvent composition from the petroleum residue.
US08951948B2 Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
A liquid removal composition and process for removing sacrificial anti-reflective coating (SARC) material from a substrate having same thereon. The liquid removal composition includes at least one fluoride-containing compound, at least one organic solvent, optionally water, and optionally at least one chelating agent. The composition achieves at least partial removal of SARC material in the manufacture of integrated circuitry with minimal etching of metal species on the substrate, such as aluminum, copper and cobalt alloys, and without damage to low-k dielectric materials employed in the semiconductor architecture.
US08951947B2 Multi-phase personal cleansing compositions comprising a lathering cleansing phase and a non-lathering structured aqueous phase
The present invention relates to multi-phase personal cleansing compositions containing a lathering cleansing phase and a separate non-lathering structured aqueous phase wherein the two phases are packaged in physical contact while remaining stable over time.
US08951941B2 Low temperature hydrocarbon gel II
A composition useful for crosslinking phosphate esters in hydrocarbon gels used in formation fracturing performs especially well in cold temperatures, such as temperatures lower than (−)20° C. Methods of making the crosslinking composition and the gel are described; also methods of fracturing subterranean formations. Specific forms of ferric sulfate and ferric ammonium citrate are useful as ingredients of the crosslinking composition.
US08951939B2 Digital assays with multiplexed detection of two or more targets in the same optical channel
System, including methods and apparatus, for performing a digital assay with multiplexed detection of two or more distinct targets in the same optical channel.
US08951936B2 Method of manufacturing superconducting accelerator cavity
Provided is a method of manufacturing a superconducting accelerator cavity in which a plurality of half cells having opening portions (equator portions and iris portions) at both ends thereof in an axial direction are placed one after another in the axial direction, contact portions where the corresponding opening portions come into contact with each other are joined by welding, and thus, a superconducting accelerator cavity is manufactured, the half cells to be joined are arranged so that the axial direction thereof extends in a vertical direction; and concave portions that are concave towards an outer side are also formed at inner circumferential surfaces located below the contact portions of the half cells positioned at a bottom; and the contact portions are joined from outside by penetration welding.
US08951933B2 Polysiloxane-modified polyhydroxy polyurethane resin, method for producing same, heat-sensitive recording material using the resin, imitation leather, thermoplastic polyolefin resin skin material, material for weather strip, and weather strip
Disclosed are a polysiloxane-modified polyhydroxy polyurethane resin characterized by being derived from a reaction between a 5-membered cyclic carbonate polysiloxane compound represented by the below-described formula (1) and an amine compound, and its production process; and a resin composition, thermal recording medium, imitation leather, thermoplastic polyolefin resin skin material, weather strip material, and weather strip, all of which make use of the resin. wherein A means
US08951931B2 Noble metal fine particle supported catalyst and method for producing the catalyst, and purifying catalyst
The noble metal fine particle supported catalyst of the present invention includes a substrate, and a porous membrane formed on the substrate. The porous membrane contains support particles, noble metal fine particles, and an inorganic binder. In the porous membrane, the noble metal fine particles are supported on surfaces of the support particles, and the support particles form secondary particles each having a porous structure. The porous membrane is formed by binding, with the inorganic binder, the secondary particles formed of the support particles so that a gap is present at least partly between the secondary particles adjacent to each other.
US08951930B2 Catalysts and methods for polymer synthesis
The present invention provides unimolecular metal complexes having increased activity in the copolymerization of carbon dioxide and epoxides. Also provided are methods of using such metal complexes in the synthesis of polymers. According to one aspect, the present invention provides metal complexes comprising an activating species with co-catalytic activity tethered to a multidentate ligand that is coordinated to the active metal center of the complex.
US08951929B2 Catalyst preparation and methods of using such catalysts
A process for the pre-treatment of Mo/ZSM-5 and Mo/MCM-22 catalysts is provided, which process comprises heating the catalyst at 500° C. in the presence of propane. The treated catalyst, when used in the non-oxidative dehydrogenation of methane demonstrates improved benzene yield and catalyst stability as compared to catalysts pre-treated with He, methane or H2.
US08951924B2 Ballistic laminate structure having tubular sleeves containing bundles of unidirectional filaments and method of manufacturing the same
A ballistic-resistant laminate assembly having a pair of films with an array of stacked pairs of first and second of unidirectionally-oriented bundles of high strength filaments therebetween, with the stacked filament bundles being arranged substantially interlinear with adjacent unidirectionally-oriented adhesions between the pair of films. The adhesions form continuous tubular sleeves between the pair of films with the stacked bundles of high strength filaments being substantially free floating yet contained therein. Optionally, the high strength filaments are coated or soaked in a liquid-to-solid phase change material or PCM.
US08951923B2 Hybrid composite structure having damped metallic fibers
A damped composite structure is formed from a matrix material and a plurality of shape memory alloy wire fibers held in the material matrix for damping the structure. The wire fibers may be embedded in a viscoelastic interlayer to increase damping of the structure. The wire fibers may be interspersed with reinforcement such as carbon fibers, in tows or in a mesh of fibers. The wire fibers have an inherent material loss factor greater than approximately 0.10, and may be formed from superelastic metal alloys, such as Ni—Ti, Cu—Zn—Al, Cu—Al—Ni, OR Cu—Al—Be.
US08951921B2 Method of forming thin film poly silicon layer and method of forming thin film transistor
A method of forming a thin film poly silicon layer includes following steps. Firstly, a substrate is provided. A heating treatment is then performed. A thin film poly silicon layer is then directly formed on a first surface of the substrate by a silicon thin film deposition process. A method of forming a thin film transistor includes following steps. Firstly, a substrate is provided. A heating treatment is then performed. A thin film poly silicon layer is then directly formed on a first surface of the substrate by a silicon thin film deposition process. A first patterning process is performed on the thin film poly silicon layer to form a semiconductor pattern. Subsequently, a gate insulation layer, a gate electrode, a source electrode and a drain electrode are formed.
US08951911B2 Process for damascene structure with reduced low-k damage
Embodiments described herein generally provide methods for reducing undesired low-k damages during a damascene process using a sacrificial dielectric material and optionally a barrier/capping layer. In one embodiment, a damascene structure is formed through a sacrificial dielectric material deposited over a dielectric base layer. The damascene structure is filled with a suitable metal such as copper. The sacrificial dielectric material filled in trench areas between the copper damascene is then removed, followed by a barrier/cap layer which conformally or selectively covers exposed surfaces of the copper damascene structure. Ultra low-k dielectric materials may then fill the trench areas that were previously filled with sacrificial dielectric material. The invention prevents the ultra low-k material between the metal lines from exposing to various damaging processes during a damascene process such as etching, stripping, wet cleaning, pre-metal cleaning or CMP process.
US08951910B2 Methods for fabricating and forming semiconductor device structures including damascene structures
A method and apparatus for providing a conductive structure adjacent to a damascene conductive structure in a semiconductor device structure. The semiconductor device structure includes an insulation layer with at least one damascene conductive structure formed therein, wherein the at least one damascene conductive structure includes an insulative, protective layer disposed thereon. The insulative material of the protective layer is able to resist removal by at least some suitable etchants for the insulative material of the insulation layer adjacent to the at least one damascene conductive structure. A self-aligned opening is formed by removing a portion of an insulation layer adjacent the at least one damascene conductive structure. The self-aligned opening is then filled with a conductive material to thereby provide another conductive structure adjacent to the at least one damascene conductive structure.
US08951909B2 Integrated circuit structure and formation
One or more integrated circuit structures and techniques for forming such integrated circuit structures are provided. The integrated circuit structures comprise a conductive structure that is formed within a trench in a dielectric layer on a substrate. The conductive structure is formed over a barrier layer formed within the trench, or the conductive structure is formed over a liner formed over the barrier layer. At least some of the dielectric layer, the barrier layer, the liner and the conductive structure are removed, for example, by chemical mechanical polishing, such that a step height exists between a top surface of the substrate and a top surface of the dielectric layer. Removing these layers in this manner removes areas where undesired interlayer peeling is likely to occur. A conductive cap is formed on the conductive structure.
US08951907B2 Semiconductor devices having through-contacts and related fabrication methods
Apparatus for semiconductor device structures and related fabrication methods are provided. One method for fabricating a semiconductor device structure involves forming a layer of dielectric material overlying a doped region formed in a semiconductor substrate adjacent to a gate structure and forming a conductive contact in the layer of dielectric material. The conductive contact overlies and electrically connects to the doped region. The method continues by forming a second layer of dielectric material overlying the conductive contact, forming a voided region in the second layer overlying the conductive contact, forming a third layer of dielectric material overlying the voided region, and forming another voided region in the third layer overlying at least a portion of the voided region in the second layer. The method continues by forming a conductive material that fills both voided regions to contact the conductive contact.
US08951903B2 Graded dielectric structures
Graded dielectric layers and methods of fabricating such dielectric layers provide dielectrics in a variety of electronic structures for use in a wide range of electronic devices and systems. In an embodiment, a dielectric layer is graded with respect to a doping profile across the dielectric layer. In an embodiment, a dielectric layer is graded with respect to a crystalline structure profile across the dielectric layer. In an embodiment, a dielectric layer is formed by atomic layer deposition incorporating sequencing techniques to generate a doped dielectric material.
US08951902B2 Methods of removing contaminant impurities during the manufacture of a thin film transistor by applying water in which ozone is dissolved
The present invention is provided in order to remove contamination due to contaminant impurities of the interfaces of each film which forms a TFT, which is the major factor that reduces the reliability of TFTs. By connecting a washing chamber and a film formation chamber, film formation can be carried out without exposing TFTs to the air during the time from washing step to the film formation step and it becomes possible to maintain the cleanliness of the interfaces of each film which form the TFT.
US08951901B2 Superior integrity of a high-K gate stack by forming a controlled undercut on the basis of a wet chemistry
In sophisticated semiconductor devices, the encapsulation of sensitive gate materials, such as a high-k dielectric material and a metal-containing electrode material, which are provided in an early manufacturing stage may be achieved by forming an undercut gate configuration. To this end, a wet chemical etch sequence is applied after the basic patterning of the gate layer stack, wherein at least ozone-based and hydrofluoric acid-based process steps are performed in an alternating manner, thereby achieving a substantially self-limiting removal behavior.
US08951900B2 Contact elements of a semiconductor device formed by electroless plating and excess material removal with reduced sheer forces
The present disclosure is directed to, among other things, an illustrative method that includes forming an opening in a dielectric material of a contact level of a semiconductor device, and selectively depositing a conductive material in the opening to form a contact element therein, the contact element extending to a contact area of a circuit element and having a laterally restricted excess portion formed outside of the opening and above the dielectric material. The disclosed method further includes forming a sacrificial material layer above the dielectric material and the contact element, the sacrificial material layer surrounding the laterally restricted excess portion. Additionally, the method includes planarizing a surface topography of the contact level in the presence of the sacrificial material so as to remove the laterally restricted excess portion from above the dielectric material.
US08951899B2 Method for manufacturing semiconductor device
To provide a semiconductor device including an oxide semiconductor which is capable of having stable electric characteristics and achieving high reliability, by a dehydration or dehydrogenation treatment performed on a base insulating layer provided in contact with an oxide semiconductor layer, the water and hydrogen contents of the base insulating layer can be decreased, and by an oxygen doping treatment subsequently performed, oxygen which can be eliminated together with the water and hydrogen is supplied to the base insulating layer. By formation of the oxide semiconductor layer in contact with the base insulating layer whose water and hydrogen contents are decreased and whose oxygen content is increased, oxygen can be supplied to the oxide semiconductor layer while entry of the water and hydrogen into the oxide semiconductor layer is suppressed.
US08951898B2 Method for manufacturing a silicon carbide DIMOSFET
According to one embodiment, a semiconductor device includes a first, a second, a third, a fourth, and a fifth semiconductor region, an insulating film, a control electrode, and a first and a second electrode. The first, the second, the third, the fourth and the fifth semiconductor region include silicon carbide. The first semiconductor region has a first impurity concentration, and has a first portion. The second semiconductor region is provided on the first semiconductor region. The third semiconductor region is provided on the second semiconductor region. The fourth semiconductor region is provided between the first portion and the second semiconductor region. The fourth semiconductor region is provided between the first portion and the third semiconductor region. The fifth semiconductor region includes a first region provided between the first portion and the second semiconductor region, and has a second impurity concentration higher than the first impurity concentration.
US08951894B2 Plasma treatment apparatus, method for forming film, and method for manufacturing thin film transistor
A structure of the plasma treatment apparatus is employed in which an upper electrode has projected portions provided with first introduction holes and recessed portions provided with second introduction holes, the first introduction hole of the upper electrode is connected to a first cylinder filled with a gas which is not likely to be dissociated, the second introduction hole is connected to a second cylinder filled with a gas which is likely to be dissociated, the gas which is not likely to be dissociated is introduced into a reaction chamber from an introduction port of the first introduction hole provided on a surface of the projected portion of the upper electrode, and the gas which is likely to be dissociated is introduced into the reaction chamber from an introduction port of the second introduction hole provided on a surface of the recessed portion.
US08951893B2 Fabricating polysilicon MOS devices and passive ESD devices
A semiconductor fabrication is described, wherein a MOS device and a MEMS device is fabricated simultaneously in the BEOL process. A silicon layer is deposited and etched to form a silicon film for a MOS device and a lower silicon sacrificial film for a MEMS device. A conductive layer is deposited atop the silicon layer and etched to form a metal gate and a first upper electrode. A dielectric layer is deposited atop the conductive layer and vias are formed in the dielectric layer. Another conductive layer is deposited atop the dielectric layer and etched to form a second upper electrode and three metal electrodes for the MOS device. Another silicon layer is deposited atop the other conductive layer and etched to form an upper silicon sacrificial film for the MEMS device. The upper and lower silicon sacrificial films are then removed via venting holes.
US08951890B2 Actinic-ray- or radiation-sensitive resin composition, actinic-ray- or radiation-sensitive film therefrom and method of forming pattern using the composition
Provided is an actinic-ray- or radiation-sensitive resin composition including (A) a resin that when acted on by an acid, is decomposed to thereby increase its solubility in an alkali developer, (B) an onium salt containing a nitrogen atom in its cation moiety, which onium salt when exposed to actinic rays or radiation, is decomposed to thereby generate an acid, and (C) a compound that when exposed to actinic rays or radiation, generates an acid, the compound being any of compounds of general formulae (1-1) and (1-2) below.
US08951887B2 Process for fabricating a semiconductor structure employing a temporary bond
The invention relates to a process for fabricating a semiconductor that comprises providing a handle substrate comprising a seed substrate and a weakened sacrificial layer covering the seed substrate; joining the handle substrate with a carrier substrate; optionally treating the carrier substrate; detaching the handle substrate at the sacrificial layer to form the semiconductor structure; and removing any residue of the sacrificial layer present on the seed substrate.
US08951864B2 Split-gate device and method of fabricating the same
A semiconductor device includes a substrate; a storage element disposed over the substrate in a first region; a control gate disposed over the storage element; a high-k dielectric layer disposed on the substrate in a second region adjacent the first region; and a metal select gate disposed over the high-k dielectric layer and adjacent to the storage element and the control gate.
US08951862B2 Damascene word line
The technology relates to a damascene word line for a three dimensional array of nonvolatile memory cells. Conductive lines such as silicon are formed over stacked nonvolatile memory structures. Word line trenches separate neighboring ones of the silicon lines. The silicon lines separated by the word line trenches are oxidized, making insulating surfaces in the word line trenches. Word lines are made in the word line trenches.
US08951861B2 Methods of making a high-density nonvolatile memory
Methods are provided for forming a monolithic three dimensional memory array. An example method includes: (a) forming a first plurality of substantially parallel, substantially coplanar conductors above a substrate; (b) forming a first plurality of semiconductor elements above the first plurality of substantially parallel, substantially coplanar conductors; and (c) forming a second plurality of substantially parallel, substantially coplanar conductors above the first plurality of semiconductor elements. Each of the first plurality of semiconductor elements includes a first heavily doped layer having a first conductivity type, a second lightly doped layer on and in contact with the first heavily doped layer, and a third heavily doped layer on and in contact with the second lightly doped layer. The third heavily doped layer has a second conductivity type opposite the first conductivity type. Numerous other aspects are provided.
US08951860B2 Manufacturing method of semiconductor device
The present invention improves the production yield of a semiconductor device having nonvolatile memory cells of a split gate structure. The level difference of a lower layer resist film with which an end of a memory mat is covered is gentled, the uniformity of the thickness of a resist intermediate layer formed over the lower layer resist film is improved, and local thickness reduction or disappearance is prevented by, after forming a silicon oxide film and a silicon nitride film over each of selective gate electrodes formed in a memory cell region of a semiconductor substrate, removing the silicon oxide film and the silicon nitride film over the selective gate electrode located on the outermost side (a dummy cell region) of the memory mat in the gate length direction.
US08951851B2 Method of manufacturing low temperature polysilicon film, thin film transistor and manufacturing method thereof
A method of manufacturing a low temperature polysilicon film comprises: providing a substrate on a platform; forming a buffer layer on said substrate; forming an amorphous silicon layer on said buffer layer; and heating and annealing said amorphous silicon layer to allow said amorphous silicon layer to form a polycrystalline silicon layer; wherein a thermal insulating layer is formed on a bottom surface of said substrate or a top surface of the platform, before said buffer layer is formed on said substrate.
US08951849B2 Method for manufacturing semiconductor device including layer containing yttria-stabilized zirconia
An object is to provide a semiconductor device including a microcrystalline semiconductor film with favorable quality and a method for manufacturing the semiconductor device. In a thin film transistor formed using a microcrystalline semiconductor film, yttria-stabilized zirconia having a fluorite structure is formed in the uppermost layer of a gate insulating film in order to improve quality of a microcrystalline semiconductor film to be formed in the initial stage of deposition. The microcrystalline semiconductor film is deposited on the yttria-stabilized zirconia, so that the microcrystalline semiconductor film around an interface with a base particularly has favorable crystallinity while by crystallinity of the base.
US08951842B2 Semiconductor growth substrates and associated systems and methods for die singulation
Semiconductor growth substrates and associated systems and methods for die singulation are disclosed. A representative method for manufacturing semiconductor devices includes forming spaced-apart structures at a dicing street located between neighboring device growth regions of a substrate material. The method can further include epitaxially growing a semiconductor material by adding a first portion of semiconductor material to the device growth regions and adding a second portion of semiconductor material to the structures. The method can still further include forming semiconductor devices at the device growth regions, and separating the semiconductor devices from each other at the dicing street by removing the spaced-apart structures and the underlying substrate material at the dicing street.
US08951841B2 Clip frame semiconductor packages and methods of formation thereof
In one embodiment, a semiconductor package includes a clip frame with a first clip having a first support structure, a first lever, and a first contact portion, which is disposed on a front side of the semiconductor package. The first support structure is adjacent an opposite back side of the semiconductor package. The first lever joins the first contact portion and the first support structure. A first die is disposed over the first support structure of the first clip. The first die has a first contact pad on the front side of the semiconductor package. An encapsulant material surrounds the first die and the first clip.
US08951839B2 Semiconductor device and method of forming conductive vias through interconnect structures and encapsulant of WLCSP
A semiconductor device has a semiconductor die mounted over the carrier. An encapsulant is deposited over the carrier and semiconductor die. The carrier is removed. A first interconnect structure is formed over the encapsulant and a first surface of the die. A second interconnect structure is formed over the encapsulant and a second surface of the die. A first protective layer is formed over the first interconnect structure and second protective layer is formed over the second interconnect structure prior to forming the vias. A plurality of vias is formed through the second interconnect structure, encapsulant, and first interconnect structure. A first conductive layer is formed in the vias to electrically connect the first interconnect structure and second interconnect structure. An insulating layer is formed over the first interconnect structure and second interconnect structure and into the vias. A discrete semiconductor component can be mounted to the first interconnect structure.
US08951838B2 Low cost and ultra-thin chip on wafer on substrate (CoWoS) formation
Methods of making and an integrated circuit device. An embodiment method includes patterning a first polymer layer disposed over a first copper seed layer, electroplating a through polymer via in the first polymer layer using the first copper seed layer, a via end surface offset from a first polymer layer surface, forming a second polymer layer over the first polymer layer, the second polymer layer patterned to expose the via end surface, and electroplating an interconnect in the second polymer layer to cap the via end surface using a second copper seed layer.
US08951836B2 Chip package and method for forming the same
A method for forming a chip package, in which a substrate has a plurality of conducting pads located below its lower surface, and a dielectric layer located between the conducting pads. A hole is formed extending from the upper surface of the substrate towards the conducting pads. After the hole is formed, a trench is formed extending from the upper surface towards the lower surface of the substrate, with the trench connecting with the hole. An insulating layer is formed on a sidewall of the trench and a sidewall and a bottom of the hole, and a portion of the insulating layer and a portion of the dielectric layer are removed to expose a portion of the conducting pads. A conducting layer is formed on the sidewall of the trench and the sidewall and the bottom of the hole, electrically contacting with the conducting pads.
US08951833B2 Defect free deep trench method for semiconductor chip
A method for forming large substantially defect-free void areas on a semiconductor integrated circuit chip includes processing the chip through the passivation level processing operations then forming one or more openings in a designated blank area of the integrated circuit chip in a separate dedicated etching operation. The one or more openings may constitute 5-10% or more of the total area of the semiconductor chip. The void areas are deep trench openings that extend through the passivation layer and through all of the other material layers in the blank area exposing the substrate surface in one embodiment and through all material layers except for a field oxide layer formed directly on the substrate in another embodiment.
US08951832B2 Variable-resistance material memories and methods
Variable-resistance memory material cells are contacted by vertical bottom spacer electrodes. Variable-resistance material memory spacer cells are contacted along the edge by electrodes. Processes include the formation of the bottom spacer electrodes as well as the variable-resistance material memory spacer cells. Devices include the variable-resistance memory cells.
US08951831B2 Binaphthalene derivatives, preparation method thereof and organic electronic device using the same
The present invention relates to a new binaphthalene derivative, a preparation method thereof, and an organic electronic device using the same. The binaphthalene derivative according to the present invention can perform functions of hole injection and transportation, electron injection and transportation, or light emission in an organic electronic device including an organic light-emitting device, and the device according to the present invention has excellent characteristics in terms of efficiency, drive voltage and stability, and in particular excellent effects such as a low voltage and a long life time.
US08951830B2 Process for producing an organic semiconductor layer consisting of a mixture of a first and a second semiconductor
A method for manufacturing an organic semiconductor layer formed of a mixture of a first and of a second organic semiconductor materials includes the steps of: forming a porous solid volume formed of the first semiconductor material, of intercommunicating porosity and capable of receiving a second semiconductor material; depositing, at least on an external surface of the porous solid volume, a liquid including the second semiconductor material dissolved or dispersed in a solvent, the solvent being inert with respect to the first semiconductor material and having an evaporation temperature lower than the evaporation temperature of the second semiconductor material; and once the porous solid volume has been at least partially impregnated with the liquid, evaporating the solvent by heating up to a temperature higher than the evaporation temperature of said solvent and lower than the evaporation temperature of the first and of the second semiconductor materials.
US08951825B1 Solar cell texturing
Multicrystalline silicon (mc-Si) solar cells having patterned light trapping structures (e.g., pyramid or trough features) are generated by printing a liquid mask material from an array of closely-spaced parallel elongated conduits such that portions of the mc-Si wafer are exposed through openings defined between the printed mask features. Closely spaced mask pattern features are achieved using an array of conduits (e.g., micro-springs or straight polyimide cantilevers), where each conduit includes a slit-type, tube-type or ridge/valley-type liquid guiding channel that extends between a fixed base end and a tip end of the conduit such that mask material supplied from a reservoir is precisely ejected from the tip onto the mc-Si wafer. The exposed planar surface portions are then etched to form the desired patterned light trapping structures (e.g., trough structures).
US08951817B2 Light emitting device chip scale package
The substrate that is used to support the growth of the LED structure is used to support the creation of a superstructure above the LED structure. The superstructure is preferably created as a series of layers, including conductive elements that forma conductive path from the LED structure to the top of the superstructure, as well as providing structural support to the light emitting device. The structure is subsequently inverted, such that the superstructure becomes the carrier substrate for the LED structure, and the original substrate is thinned or removed. The structure is created using materials that facilitate electrical conduction and insulation, as well as thermal conduction and dissipation.
US08951811B2 Magnetic domain wall shift register memory device readout
A memory device includes a first nanowire, a second nanowire and a magnetic tunnel junction device coupling the first and second nanowires.
US08951810B2 Methods for forming interconnection line using screen printing technique
Methods of forming an interconnection line pattern using a screen printing technique. The method includes preparing a substrate having unevenness, aligning a stencil mask on the substrate, and printing a paste including materials for forming the interconnection line pattern on a convex portion of the unevenness formed on the substrate.
US08951804B2 Isolation of RNA-protein complexes using cross-linking reagents and oligonucleotides
Provided herein is a method of sample analysis. In certain embodiments, the method comprises: a) cross-linking protein of a cell using a first compound to produce a first cross-linked product comprising cross-linked protein, and RNA; b) contacting the first cross-linked product and a second compound under conditions by which an oligonucleotide portion of the second compound hybridizes to the RNA; c) activating a reaction the first and second compound, thereby covalently crosslinking the oligonucleotide to the cross-linked protein to produce a second cross-linked product; d) isolating the second cross-linked product using an affinity tag; and e) analyzing the isolated second cross-linked product. Compounds for performing the method are also provided.
US08951802B2 Corrosion testing using an automated oscillating solution spray manifold
A method of testing workpieces and an automated oscillating solution spray manifold assembly for a corrosion testing chamber is configured to introduce a fluid into a cavity of a test cabinet that receives workpieces. The manifold assembly includes a motor having an output shaft for driving a linkage assembly. An elongated spray bar is connected to the linkage assembly and the spray bar has a plurality of openings spaced therealong. The linkage assembly is configured to rotate the spray bar in a desired oscillatory movement such that fluid can be dispensed through the openings and into the test cabinet. A fan-like pattern sprays from one opening and substantially overlaps with spray from at least one adjacent opening. The method of testing may include one or more of the steps of controlling a speed of the motor, a temperature level of the cavity, a humidity level of the cavity, and a salinity content of the fluid within the cavity of the test cabinet.
US08951801B2 Method for making IPS cells
Reprogramming substances capable of substituting for Klf4, selected from the group consisting of members of the IRX family (e.g., IRX6), members of the GLIS family (e.g., GLIS1), members of the PTX family (e.g., PITX2), DMRTB1, and nucleic acids that encode the same, are provided. Also provided are a method of producing iPS cells, comprising the step of introducing into a somatic cell both one or more kinds of the above-described nuclear reprogramming substances and a substance capable of inducing iPS cells from a somatic cell when combined with Klf4. Still also provided are iPS cells comprising an extraneous nucleic acid that encodes any one of the above-described nuclear reprogramming substances, that can be obtained by the method, and a method of producing somatic cells by inducing the iPS cells to differentiate.
US08951800B2 Primate pluripotent stem cell expansion without feeder cells and in the presence of FGF and matrigel or Engelbreth-Holm-Swarm tumor cell preparation
This disclosure provides an improved system for culturing human pluripotent stem cells. Traditionally, pluripotent stem cells are cultured on a layer of feeder cells (such as mouse embryonic fibroblasts) to prevent them from differentiating. In the system described here, the role of feeder cells is replaced by components added to the culture environment that support rapid proliferation without differentiation. Effective features are a suitable support structure for the cells, and an effective medium that can be added fresh to the culture without being preconditioned by another cell type. Culturing human embryonic stem cells in fresh medium according to this invention causes the cells to expand surprisingly rapidly, while retaining the ability to differentiate into cells representing all three embryonic germ layers. This new culture system allows for bulk proliferation of pPS cells for commercial production of important products for use in drug screening and human therapy.
US08951799B2 Composition and method for enabling proliferation of pluripotent stem cells
The present disclosure is directed to the development of compositions, such as extracellular matrices, and processes for using the same, that both maintain stem cells in vitro pluripotency and enable self-renewal. In this regard, it has been discovered that when pluripotent mouse and human embryonic stem cells are cultured on plates coated with recombinant laminin-10 (laminin-511) or their functional domains, in the absence of differentiation inhibitors or feeder cells, the embryonic stem cells proliferated and maintained their pluripotency.
US08951794B2 Methods and compositions for treating neurodegenerative disorders and Alzheimer's disease and improving normal memory
The disclosure relates generally to neurodegenerative disorders and more specifically to a group of presenilin/G-protein/c-src binding polypeptides and methods of use for modulating signaling and progression of Alzheimer's disease.
US08951792B2 Methods for making definitive endoderm hepatocyte (DE-hep) progenitor cells
The present invention relates to a novel hepatocyte-like cell progenitor and/or a novel hepatocyte-like cell derived via definitive endoderm from human blastocyst-derived stem (hBS) cells, to a method for the preparation of such cells and to the potential use of such cells in e.g. pharmaceutical drug discovery and development, toxicity testing, cell therapy and medical treatment.In particular is presented a definitive endoderm derived hepatocyte-like cell with important liver-expressed marker genes and important metabolizing enzymes, as well as drug transporters.
US08951785B2 Stirrer for a bioreactor
A stirrer for a bioreactor has first and second opposed mountings fastened to the inner surfaces of opposite walls of the bioreactor and disposed along a common rotational axis. A first arm has an end connected to the first mounting for rotation about the rotational axis and for pivoting about a first pivot axis that is transverse to the rotational axis. A second arm has an end connected to the second mounting for rotation about the rotational axis and for pivoting about a second pivot axis that is transverse to the rotational axis. Ends of the arms are coupled for pivoting about at least one additional pivot axis that is transverse to the rotational axis.
US08951783B2 Detector for chemical compounds
Systems and methods to analyze contaminants including a plurality of stages configured to detect contaminants in a sample, wherein the plurality of stages are configured to detect a plurality of contaminants at substantially the same time.
US08951778B2 Processing biomass
Biomass (e.g., plant biomass, animal biomass, and municipal waste biomass) is processed to produce useful products, such as fuels. For example, systems are described that can use feedstock materials, such as cellulosic and/or lignocellulosic materials and/or starchy materials, to produce ethanol and/or butanol, e.g., by fermentation.
US08951770B2 GH8 xylanase variants
The present invention relates to isolated polypeptides having xylanase activity and isolated polynucleotides encoding the polypeptides. The invention also relates to nucleic acid constructs, vectors, and host cells comprising the polynucleotides as well as methods of producing and using the polypeptides.
US08951769B2 Engineered demeter 5-methylcytosine DNA glyosylase with improved yield, stability and solubility
Improved active DEMETER polypeptides with internal deletions are provided.
US08951766B2 Laccases for bio-bleaching
Provided herein are isolated laccase enzymes and nucleic acids encoding them. Also provided are mediators for laccase reactions. Also provided herein are methods for using laccases to oxidize lignins and other phenolic and aromatic compounds, such as for bio-bleaching and decolorization of wood pulp under high temperature and pH conditions to facilitate a substantial reduction in use of bleaching chemicals, as well as for treatment of fibers.
US08951761B2 Immobilized enzymes and methods of using thereof
The disclosed matter relates to immobilized enzymes and methods of use thereof.
US08951754B2 Methods and materials for making simvastatin and related compounds
The invention disclosed herein relates to methods and materials for producing simvastatin and related compounds such as huvastatin.
US08951751B2 Polypeptides with xylanase activity
Polypeptides with xylanase activity modified to increase bran solubilization and/or xylanase activity. The modification comprises at least an amino acid modification i position 110 and may have further modifications of one or more amino acids in position 11, 12, 13, 34, 54, 77, 81, 99, 104, 113, 114, 118, 122, 141, 154, 159, 162, 164, 166 or 175 wherein the positions are determined as the position corresponding the position of Bacillus subtilis xylanase (SEQ ID NO 1) The polypeptides have at least 88% identity with SEQ ID NO 1 or 75% identity to a sequence selected from SEQ ID NO 2-22.
US08951750B2 Malonate decarboxylases for industrial applications
The present invention relates to a method for the enzymatic decarboxylation of malonic acid (propanedioic acid) derivatives catalyzed by enzymes structurally and/or functionally related to arylmalonate decarboxylase (AMDase) as isolated from microorganisms of the genus Bordetella. The present invention also relates to novel enzymes with a decarboxylase activity, useful for performing the claimed method, mutants thereof, corresponding coding sequences and expression systems, methods of preparing said novel enzymes, and screening methods for obtaining further suitable enzymes also having said decarboxylase activity.
US08951749B2 Enhanced immunoassay sensor
Disclosed herein are devices for detecting the presence of a target analyte in a fluid sample. The biosensor device can comprise at least a reaction chamber and a detection chamber. The device can comprise a amplifying mechanism such that one target analyte molecule present in the fluid sample can lead to generation/activation of multiple detection agent molecules, and therefore, an amplified signal. Also disclosed are the methods of manufacturing and using such a biosensor device.
US08951747B2 Method for modulating activity of T lymphocytes
The invention relates to methods and compositions which modulate T lymphocyte activity. It has been found that two, T lymphocyte receptors, especially TCR and CD8, are present at a distance from each other on T lymphocyte surfaces. Via use of modulators which change the distance between these receptors, the activity of the T lymphocyte is modulated.
US08951746B2 Method and devices for screening cervical cancer
The present invention provides multi-parameter analysis methods for determining the presence or absence of pre-cancerous or cancerous cells in a cervical sample and for screening cervical abnormality in a cervical sample. The invention also provides an apparatus and automated methods for screening cervical abnormality in a sample. The invention further provides a sampling device and a sample collection assembly for collecting cell samples, including cervical samples.
US08951743B2 Detection of shed CD31, diagnosis of atherothrombosis and autoimmune disorders, and methods for analyzing signaling pathways
The present invention stems from the finding that the extracellular domain of CD31 proteins present on blood leukocytes is shed and released in the circulation as a soluble form of CD31. A method for detecting shed CD31 is further disclosed. The invention therefore relates to a method for detecting a shed ectodomain of a transmembrane protein such as CD31 and to the use of such a method as a diagnostic tool. The invention further provides methods for determining whether a candidate protein is part of a molecular complex.
US08951734B2 Prion-like form of CPEB and related compositions and methods
The present invention provides methods for determining whether an agent facilitates the conversion of a cytoplasmic polyadenylation element binding (CPEB) protein from its non-prion form to its prion form. This invention also provides methods for determining the presence and amount of the prion form of CPEB protein in a cell. This invention also provides methods for facilitating the conversion of a non-prion CPEB protein to its prion form. This invention also provides an isolated prion form cytoplasmic polyadenylation element binding (CPEB) protein and compositions comprising a therapeutically effective amount of an agent that facilitates the conversion of CPEB protein from its non-prion form to its prion form, and methods of making such compositions.
US08951733B2 Methods of polynucleotide detection
The present invention provides methods of detecting for the presence of a polynucleotide in vivo. These methods are particularly useful for performing identification and/or analysis of samples or specimens in which it is impossible, impractical, or undesirable to move or remove them from their current environment. Methods of practicing the present invention for the purpose of identifying and/or analyzing transgenic plant tissue or cells, in addition to animal tissue or cells and bacterial cells are also provided.
US08951731B2 Sequence analysis using decorated nucleic acids
The present invention provides a sequence interrogation chemistry that combines the accuracy and haplotype integrity of long-read sequencing with improved methods of preparing genomic nucleic acids and analyzing sequence information generated from those nucleic acids. The present invention encompasses compositions comprising decorated nucleic acids stretched on substrates. The present invention further encompasses methods of making stretched decorated nucleic acids and methods of using decorated nucleic acids to obtain sequence information.
US08951722B1 Methods for improving analyte detection using photochemical reactions
An improved assay for detecting an analyte in a fluid sample includes a step of conducting a photochemical reaction, in which a substrate conversion catalyzed by a photosensitizer into a product of the photochemical reaction is temporary inhibited when the reaction mixture is irradiated with a light at a wavelength within a light absorption spectrum of the photosensitizer. The photosensitizer (or an enzyme to catalyze producing thereof) is attached to an entity having an affinity to the analyte, such entity is bound to the analyte prior to irradiation. To achieve temporary inhibition, certain additives are used such as ascorbic acid or its derivatives. The assay may increase the sensitivity of ELISA 20- to 100-fold.
US08951718B2 Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
A pattern forming method, including: (A) coating a substrate with a positive resist composition of which solubility in a positive developer increases and solubility in a negative developer decreases upon irradiation with actinic rays or radiation, so as to form a resist film; (B) exposing the resist film; and (D) developing the resist film with a negative developer; a positive resist composition for multiple development used in the method; a developer for use in the method; and a rinsing solution for negative development used in the method.
US08951717B2 Methods for manufacturing resin structure and micro-structure
A resin structure for the formation of a micro-structure is manufactured by (A) applying a composition comprising a polymer, a photoacid generator, and an organic solvent onto a substrate, (B) heating the composition to form a sacrificial film, (C) exposing imagewise the film to first high-energy radiation, (D) developing the film in an alkaline developer to form a sacrificial film pattern, (E) exposing the sacrificial film pattern to UV as second high-energy radiation, and (F) heating the substrate at 100-250° C. The exposure dose of first high-energy radiation in step (C) is up to 250 mJ/cm2. At the end of step (F), the sacrificial film has a sidewall angle of 80°-90° relative to the substrate.
US08951715B2 Method of forming patterned film on a bottom and a top-surface of a deep trench
A method of forming a patterned film on both a bottom and a top-surface of a deep trench is disclosed. The method includes the steps of: 1) providing a substrate having a deep trench formed therein; 2) growing a film over a bottom and a top-surface of the deep trench; 3) coating a photoresist in the deep trench and over the substrate and baking the photoresist to fully fill the deep trench; 4) exposing the photoresist to form a latent image that partially covers the deep trench in the photoresist; 5) silylating the photoresist with a silylation agent to transform the latent image into a silylation pattern; 6) etching the photoresist to remove a portion of the photoresist not covered by the silylation pattern; and 7) etching the film to form a patterned film on both the bottom and the top-surface of the deep trench.
US08951714B2 Relief printing plate manufacturing method, relief printing plate creating apparatus, and recording medium
A relief printing plate manufacturing method includes: generating binary image data based on multivalued image data representing a printing image; generating, from the binary image data, target stereoscopic shape data; calculating, based on the target stereoscopic shape data, exposure amount data; providing a predetermined exposure amount to an outside image adjacent pixel in a range of predetermined pixels adjacent to an ON pixel; and applying laser light to an area of OFF pixels based on the exposure amount data to engrave a portion outside of an area, and applying laser light to the outside image adjacent pixel based on the predetermined exposure amount to form a relief having a projecting shape with a corner part of a flange part of a top face of the relief at least partially chamfered.
US08951713B2 Alkali-soluble resin and negative-type photosensitive resin composition comprising the same
An alkali-soluble resin is provided. The alkali-soluble resin is prepared using a polyfunctional thiol compound as a chain transfer agent. The alkali-soluble resin has a lower viscosity than a resin having the same molecular weight. Further provided is a negative-type photosensitive resin composition comprising the alkali-soluble resin as a binder resin. The use of the alkali-soluble resin lowers the overall viscosity of the photosensitive resin composition to effectively reduce the height of a stepped portion of a photoresist pattern using a small amount of the photosensitive resin composition.
US08951711B2 Patterning process and composition for forming silicon-containing film usable therefor
The invention provides a patterning process for forming a negative pattern by lithography, comprising at least the steps of: using a composition for forming silicon-containing film, containing specific silicon-containing compound (A) and an organic solvent (B), to form a silicon-containing film; using a silicon-free resist composition to form a photoresist film on the silicon-containing film; heat-treating the photoresist film, and subsequently exposing the photoresist film to a high energy beam; and using a developer comprising an organic solvent to dissolve an unexposed area of the photoresist film, thereby obtaining a negative pattern. There can be a patterning process, which is optimum as a patterning process of a negative resist to be formed by adopting organic solvent-based development, and a composition for forming silicon-containing film to be used in the process.
US08951710B2 Chemically amplified negative resist composition and patterning process
A polymer comprising 0.5-10 mol % of recurring units having acid generating capability and 50-99.5 mol % of recurring units providing for dissolution in alkaline developer is used to formulate a chemically amplified negative resist composition. When used in a lithography process, the composition ensures an effective sensitivity, makes more uniform the distribution and diffusion of the acid generating component in a resist film, and suppresses deactivation of acid at the substrate interface. The pattern can be formed to a profile which is improved in LER and undercut.
US08951708B2 Method of making toners
The present embodiments relate to methods of making a toner composition. More specifically, the present embodiments relate to methods of including a functional material into a toner composition.
US08951706B2 Electrostatic image developing toner, electrostatic developer, toner cartridge, process cartridge, image forming apparatus, and image forming method
An electrostatic image developing toner contains toner particles containing a polyester resin having a repeating unit deriving from a dicarboxylic acid and a repeating unit deriving from a rosin diol, and an external additive containing silica particles, wherein a weight average molecular weight (Mw) of the soluble matter in tetrahydrofuran of the toner is from about 60,000 to about 200,000.
US08951705B2 Development agent and image forming apparatus employing same
A development agent containing toner that contains a binder resin having a crystalline resin that has a urethane and/or urea bond in its main chain, a coloring agent, and an organically modified inorganic laminate compound in which organic ions are at least partially substituted for ions present between layers of the organically modified inorganic laminate compound, and toner carrier that contains a core material whose surface is coated with a coating layer having a condensed compound of a melamine resin and/or a guanamine resin and an acrylic resin having a hydroxyl group.
US08951702B2 Charge transport material that is an ethylene compound, electrophotographic photoreceptor containing the charge transport material, and process for producing the electrophotographic photoreceptor
A charge transport material having reduced photodeterioration is disclosed to be an ethylene compound having an ethylene double bond site, having a structure in which four different substituents are substituted at the ethylene double bond site, and having general formula (I) below where R1, R2 and R3 are each independently a hydrogen atom, a halogen atom, an alkyl group of 1 to 6 carbons or an alkoxyl group of 1 to 6 carbons; R4 is phenyl or tolyl; and Ar is one group selected from the group consisting of naphthyl, biphenyl, anthryl, xylyl, and phenanthryl. An electrophotographic photoreceptor and process for producing the electrophotographic photoreceptor also are disclosed. The electrophotographic photoreceptor includes a conductive substrate; and a photosensitive layer provided on the conductive substrate that includes the charge transport material.
US08951701B2 Composition for forming charge transporting film, electrophotographic photoreceptor, process cartridge, and image forming apparatus
Provided is a composition for forming a charge transporting film, including a solvent having a dielectric constant of 5.0 or more, at least one kind of compound selected from a group consisting of the following compounds (I-b), (I-c), and (I-d), fluorine-containing resin particles, and a fluorine-containing dispersant, the following compounds (I-b), (I-c), and (I-d) further represented by a compound represented by the following Formula (V)
US08951698B2 Method for forming pattern and method for producing original lithography mask
A method forming a pattern includes a process in which self-assembly material is formed on the substrate where on which a fiducial mark is formed, and the self-assembly material is separated in micro phase to form a self-assembled pattern. The position error from a predetermined formation position of the self-assembled pattern is measured on the basis of the fiducial mark, and a pattern for an alignment as well as a peripheral circuit pattern are formed on the substrate. The formation position of at least one pattern among the pattern for alignment and peripheral circuit pattern is corrected using the position error.
US08951689B2 Fuel cell system including coolant additive and ion exchange resin and fuel-cell vehicle
A fuel cell system includes fuel cells, a circulation channel of a coolant to cool the fuel cells, and an ion exchange resin provided on the circulation channel to maintain electrical conductivity of the coolant. The coolant contains an additive. The ion exchange resin is prepared so that adsorption of the additive on the ion exchange resin is in a saturated state. A fuel-cell vehicle includes the fuel cell system.
US08951688B2 Method and device for increasing the service life of a proton exchange membrane fuel cell
A method of using a proton exchange membrane fuel cell, composed of a polymer membrane and electrodes present on either side of the membrane, includes at least one step of reversing the functioning of the cell during use.
US08951684B2 Control detection method to enable gas composition observing during fuel cell system startup
A detection method for enabling gas composition observation during fuel cell system start-up is described. In one embodiment, the method includes initiating a flow of hydrogen to the anode to pressurize the anode; opening an anode flow valve; determining if an anode pressure exceeds an anode pressure threshold; enabling anode flow set point detection after a first predetermined time if the anode pressure exceeds the anode pressure threshold; monitoring an anode flow set point using the anode flow set point detection; determining if the anode flow set point exceeds an anode flow set point threshold; and closing the anode flow valve after a second predetermined time if the anode flow set point exceeds the anode flow set point threshold.
US08951681B2 Lithium ion battery with catalytic material
To solve a problem that in a battery having a negative electrode having a capability of releasing a metal ion, a positive electrode for causing a liquid such as water or seawater to contribute to battery reaction, and an inorganic solid electrolyte, the inorganic solid electrolyte contacts the positive electrode for a long term, whereby a deterioration is generated from the interface between the electrolyte and the positive electrode so that the battery capacity falls or the battery cannot give a high power. The positive electrode and the inorganic solid electrolyte are not brought into contact with each other. Preferably, the interval between the positive electrode and the electrolyte is set to 0.3 nm or more.
US08951677B2 Microporous membranes, methods for making such membranes, and the use of such membranes as battery separator film
The present invention relates to microporous membranes comprising polymer and having well-balanced permeability and heat shrinkage, especially heat shrinkage at elevated temperature. The invention also relates to methods for making such membranes, and the use of such membranes as battery separator film in, e.g., lithium ion secondary batteries.
US08951675B2 Graphene current collectors in batteries for portable electronic devices
The disclosed embodiments provide a battery cell. The battery cell includes a cathode current collector containing graphene, a cathode active material, an electrolyte, an anode active material, and an anode current collector. The graphene may reduce the manufacturing cost and/or increase the energy density of the battery cell.
US08951671B1 Ternary alkali-metal and transition metal or metalloid acetylides as alkali-metal intercalation electrodes for batteries
Novel intercalation electrode materials including ternary acetylides of chemical formula: AnMC2 where A is alkali or alkaline-earth element; M is transition metal or metalloid element; C2 is reference to the acetylide ion; n is an integer that is 0, 1, 2, 3 or 4 when A is alkali element and 0, 1, or 2 when A is alkaline-earth element. The alkali elements are Lithium (Li), Sodium (Na), Potassium (K), Rubidium (Rb), Cesium (Cs) and Francium (Fr). The alkaline-earth elements are Berilium (Be), Magnesium (Mg), Calcium (Ca), Strontium (Sr), Barium (Ba), and Radium (Ra). M is a transition metal that is any element in groups 3 through 12 inclusive on the Periodic Table of Elements (elements 21 (Sc) to element 30 (Zn)). In another exemplary embodiment, M is a metalloid element.
US08951668B2 Iron oxyfluoride electrodes for electrochemical energy storage
The present invention provides electrochemical energy storage systems comprising metallolyte composites, iron fluoride composites and iron oxyfluoride composites. The present invention further provides methods for fabricating metallolyte composites.
US08951667B2 Electrode active material and manufacturing method of same
Electrode active material of the invention is mainly an amorphous transition metal complex represented by AxMPyOz (where x and y are values which independently satisfy 0≦x≦2 and 0≦y≦2, respectively, and z=(x+5y+valence of M)/2 to satisfy stoichiometry; also, A is an alkali metal and M is a metal element selected from transition metals), and has a peak near 220 cm−1 in Raman spectroscopy. Applying the electrode active material of the invention to a nonaqueous electrolyte secondary battery increases the capacity of the nonaqueous electrolyte secondary battery.
US08951665B2 Methods for the preparation of electrolytes for chromium-iron redox flow batteries
A method for preparing a redox flow battery electrolyte is provided. In some embodiments, the method includes the processing of raw materials containing sources of chromium ions in a high oxidation state. In some embodiments, a solution of the raw materials in an acidic aqueous solution is subjected to a reducing process to reduce the chromium in a high oxide state to an aqueous electrolyte containing chromium (III) ions. In some embodiments, the reducing process is electrochemical process. In some embodiments, the reducing process is addition of an inorganic reductant. In some embodiments, the reducing process is addition of an organic reductant. In some embodiments, the inorganic reductant or the organic reductant includes iron powder.
US08951661B2 Battery design
A battery assembly includes a plurality of compound electrodes and electrolyte. Each compound electrode includes an anode section and a cathode section. The compound electrodes are arranged such that the anode section of a first compound electrode interacts electrochemically with the cathode section of a second compound electrode with the electrochemical interaction being carried through electrolyte disposed between the plurality of compound electrodes.
US08951658B2 Cable-type secondary battery and method for manufacturing the same
A cable-type secondary battery includes an electrode assembly, which has a first polarity current collector having a long and thin shape, at least two first polarity electrode active material layers formed on the first polarity current collector to be spaced apart in the longitudinal direction, an electrolyte layer filled to surround at least two first polarity electrode active material layers, at least two second polarity electrode active material layers formed on the electrolyte layer to be spaced apart at positions corresponding to the first polarity electrode active material layers, and a second polarity current collector configured to surround the outer surfaces of the second polarity electrode active material layers, the electrode assembly being continuously bent into a serpentine configuration by a space between the first polarity electrode active material layers; and a cover member configured to surround the electrode assembly which is continuously bent into a substantially a serpentine configuration.
US08951657B2 Battery apparatus
In order to solve at least one of the drawbacks that result from a state in which a plurality of secondary batteries are integrated, a battery apparatus having a plurality of flat secondary batteries 70 includes housing 1 with opening 30, and lid 31 capable of opening and closing opening 30 of housing 1, wherein the plurality of secondary batteries 70 are disposed in a vertical orientation in parallel with each other in housing 1 and are capable of being singly inserted into and taken out of housing 1 through opening 30.
US08951656B2 Electrical energy store of a motor vehicle
An electrical energy store of a motor vehicle, in particular a high voltage energy store of a hybrid vehicle or of an electric vehicle, having a housing (11) and having storage modules (12) accommodated in the housing (11). The housing (11) having a supporting element (17) connecting the electrical energy store to a vehicle bodywork structure of a motor vehicle. The temperature of the storage modules (12) can be controlled via the supporting element (17).
US08951651B2 Perpendicular magnetic recording disk
[Problem] A perpendicular magnetic disk with an improved SNR and increased recording density by further advancing microfabrication and uniformalization of particle diameters and improving crystal orientation regarding a preliminary ground layer made of a Ni-base alloy is provided.[Solution] The perpendicular magnetic disk includes: on a base 110, a first Ni alloy layer 142 and a second Ni alloy layer 144; a ground layer 150 having Ru as a main component; and a perpendicular magnetic recording layer 160 containing a CoPt-base alloy and an oxide in this order, the first Ni alloy layer 142 and the second Ni alloy layer 144 including at least one element that takes a bcc crystal structure as a simple substance, and the second Ni alloy layer 144 further including an oxide.
US08951650B2 Organic electroluminescent element including a condensed aromatic heterocyclic ring compound
Provided is an organic electroluminescent element comprising a substrate having thereon an anode, a cathode, and a plurality of organic layers sandwiched between the anode and the cathode, wherein the plurality of organic layers comprise: a light emitting layer containing a phosphorescence emitting compound; and an electron transport layer containing a compound represented by Formula (1), (Ar1)n1−Y1  Formula (1) wherein n1 is an integer of 1 or more; Y1 is a substituent when n1 is 1, and Y1 is a single bond or a linking group of n1 valences when n1 is two or more; Ar1 is a group represented by Formula (A), a plurality of Ar1 may be the same or different with each other when n1 is two or more; and the compound represented by Formula (1) contains at least two condensed aromatic heterocyclic rings each comprising 3 or more rings condensed with each other:
US08951642B2 Aqueous two-component dispersion adhesive
An aqueous two-component aqueous dispersion adhesives, including in particular polyether-polyurethane polymers and ethylene vinyl acetate copolymers (EVA) having a glass transition temperature of greater than 0° C. The dispersion adhesives are optimally suited for use as contact adhesives, and for gluing plastic membranes. It has been demonstrated that the adhesives or the adhesive composite items produced therewith are characterized by an exceptionally low plasticizer absorption behavior, and contact adhesion. The good heat resistance and good bonding to various bases are also advantageous.
US08951641B2 Actuator element including fat and oil or water repellent
A conductive thin film is composed of a polymer gel including carbon nanotubes, an ionic liquid, and a polymer. At least one selected from the group consisting of fat and oil and a water repellent is included in the polymer gel or in a surface of the polymer gel.
US08951639B2 Thermally robust capsule system, and composites including the capsules
A method of making capsules includes forming a mixture including a core liquid, a polyurethane precursor system, a first component of a two-component poly(urea-formaldehyde) precursor system, and a solvent. The method further includes emulsifying the mixture, adding a second component of the two-component poly(urea-formaldehyde) precursor system to the emulsified mixture, and maintaining the emulsified mixture at a temperature and for a time sufficient to form a plurality of capsules that encapsulate at least a portion of the core liquid. The capsules made by the method may include a polymerizer in the capsules, where the capsules have an inner capsule wall including a polyurethane, and an outer capsule wall including a poly(urea-formaldehyde). The capsules may include in the solid polymer matrix of a composite material.
US08951636B2 Composite particles which contain both cellulose and inorganic compound
Provided are composite particles which exhibit excellent fluidity and high liquid retentivity and which exhibit high fluidity even in a liquid-holding sate. Also provided are composite particles which permit direct compressing in an open feed manner and which suffer from little compressing trouble and exhibit high shapability. When shaped together with an active ingredient, the composite particles provide shaped bodies which have uniform weight, uniform active ingredient content, and high hardness and which suffer from less galling.
US08951635B2 Ferrite powder for bonded magnet, method for manufacturing ferrite powder, and bonded magnet using ferrite powder
A bonded magnet is required to have a large energy product, which is the product of magnetization Br and coercive force Hc. However, in a ferrite powder for a bonded magnet, when the particle diameter is reduced to improve the coercive force, the packing properties are impaired, and the Br is lowered.When the particle diameter is increased to improve the magnetization, the coercive force is lowered.Therefore, to increase the energy product, both the Br and Hc must be increased.A ferrite powder that has a large particle size, is composed of smooth crystals, and suffers only a small reduction in coercive force even after pressurization is obtained by mixing a fine ferrite powder having a small particle size with a ferrite powder calcined at a temperature of 1050° C. to 1300° C. in the presence of a chloride at its saturated vapor pressure and then annealing the mixture at 800° C. to 1100° C.A bonded magnet produced using the powder has an energy product of 2.0 MGOe or more.
US08951630B2 Ultra-thin hydrophobic and oleophobic layer, method of manufacture and use in watchmaking as an epilame and in mechanical engineering as a barrier film
The invention relates to a novel ultra-thin hydrophobic and oleophobic layer, formed by self-assembly on a solid substrate surface, of compounds of the general formula A-B in which A represents a group of the formula in which Z represents C or N+, X represents C—H or C-L, L being an electron-attracting group selected from F, Cl, Br, I, CF3, NO2 and N(CH3)3+, Y represents H or CH3, or Y forms a 5- or 6-atom heterocycle with X, T represents NH, CO, CONH or NH2+U−, U− being a soluble anion, and B represents an unsubstituted C1-C20 linear aliphatic alkyl group, or a C1-C20 linear aliphatic alkyl group partially or completely substituted with F, and a method of preparing this layer and its use as an epilame or barrier film.
US08951629B2 Heat dissipating tape using conductive fiber and method for manufacturing same
The present invention relates to a heat dissipating tape using a conductive fiber and a method for manufacturing same. More particularly, the present invention provides a heat dissipating tape, comprising: a conductive substrate which is made of conductive fiber coated with a conductive material; and an heat dissipating adhesion layer which is formed on at least one side of the conductive substrate and is coated with a heat dissipating adhesive including a graphite-containing acrylic adhesive or silicon adhesive. The heat dissipating tape has excellent resistance to external forces on the product, has an excellent outer appearance due to its smooth surface, is less likely to get damaged, and the heat dissipating adhesion layer is not easily separated from the conductive substrate.
US08951624B2 Honeycomb structure
A honeycomb structure includes a ceramic block and a sealing material layer. The ceramic block includes a plurality of honeycomb fired bodies each having a large number of cells longitudinally disposed substantially in parallel with one another with a cell wall between the cells, an adhesive layer for bonding side faces of the honeycomb fired bodies, and a cavity-holding member placed between the side faces of the honeycomb fired bodies. The sealing material layer is formed on a peripheral face of the ceramic block. The cavity-holding member includes a nonflammable material and has Young's modulus of at least about 0.001 GPa and at most about 0.07 GPa.
US08951622B2 Vacuum insulation member, registrator having vacuum insulation member, and method for fabricating vacuum insulation member
Disclosed are a vacuum insulation member, a refrigerator having the vacuum insulation member, and a method for fabricating the vacuum insulation member. The vacuum insulation member includes: a core having a certain shape and having a decompressed space therein; and a gas barrier layer formed by coating a certain material on a surface of the core to have impermeability. Accordingly, the use of an envelope (film) can be avoided to obtain reliability, and the use of a glass fiber core which must undergo a preprocessing process for which much equipment and time are required can be avoided.
US08951618B2 Hollow panel and mould for the manufacture thereof
A hollow panel including a hollow central board or body and two external sheets forming a sandwich, the central body being made of wood fiber and glues and made in a mold, the mold having an uneven surface with oblique walls and truncated vertices where, the external sheets, made form the same material as the central body, are joined using the same glues, and the mold has a fixed peripheral frame, a thrust platform and a heating plate with a serrated plate to which another, similar plate is brought close such that the two serrated plates constitutes the mold, where the latter plate is rigidly secured to the upper hot plate of the press for forming the central board or body, and the teeth of the two plates are offset in a staggered pattern, and do not meet, to form the uneven surface with the desired thickness.
US08951614B2 Mechanism for coating laboratory media with photo-sensitive material
A method for coating a laboratory print media with a photo-sensitive material may include generating, at a printer, a request to label a laboratory media. The laboratory media includes applying multiple coating layers to a laboratory print media to produce a human-readable and machine-readable label within a dedicated area of the print media. The multiple coating layers include at least a material based on photo-sensitive ink that is thermally-activated, and protected by a chemical and physical protective barrier/layer. The method may further include generating, using a printing mechanism, an image within the dedicated area of the print media. The image includes a laser-based image reflecting off of the photo-sensitive ink.
US08951612B2 Method for processing a surface
A method for processing a surface involves depositing at least one class of enzymes (2) onto the surface (1); introducing at least a reactant (3) into an environment of the surface (1), and causing interaction between the enzymes (2) and the reactant (3), thereby to cause processing of a region of the surface (1), the processed region of the surface (1) being defined with respect to a region thereof that is proximate (4) to where the enzymes (3) have been deposited.
US08951611B2 Methods and compositions for treating wood
Methods of treating wood including modifying a cell wall of the wood to be positively charged to form a cationic wood cell wall; and contacting a negatively charged silicon layer to the cationic wood cell wall to form a barrier, wherein the negatively charged silicon layer includes a silicate ester group, a silinol group, a silicon oxide group or a combination thereof are described. Treated wood compositions including a wood structure having an outer layer including a wood cell having a cell wall attached to a silicon layer which includes a silicate ester group, a silinol group, a silicon oxide group or a combination thereof are also described.
US08951608B1 Aqueous manufacturing process and article
A plasma-shell for use in a gas discharge (plasma) display is formed by coating an organic core including a polymeric core with an aqueous suspension of inorganic particles. The coated core is heated to a temperature sufficient to remove the organic core and form a porous bisque shell of inorganic particles with a hollow center. The shell is submerged in an atmosphere of ionizable gas suitable for a gas discharge PDP device. The gas submerged porous shell is heated to an elevated temperature sufficient to sinter and seal the gas-filled shell. The result is a plasma-shell containing an ionizable gas at a predetermined pressure for use in a gas discharge PDP. The plasma-shell may be of any volumetric shape or geometric configuration. Plasma-shell includes plasma-sphere, plasma-disc, and plasma-dome.
US08951605B2 Thin film MEA structures for fuel cell and method for fabrication
The current invention provides a fabrication method for large surface area, pinhole-free, ultra thin ion conducting membranes using atomic layer deposition on inexpensive sacrificial substrates to make cost effective, high performance fuel cells or electrolyzers. The resultant membrane electrode assembly (MEA) enables significant reduction in resistive losses as well as lowering of the operating temperature of the fuel cell. The invention further provides a method to deposit 3-dimensional surface conformal films that may have compositional grading for superior performance. In addition, the invention provides decoration and modification of electrode surfaces for enhanced catalytic activity and reduced polarization losses. The method of the current invention enables the MEA structure to be fabricated from the anode side up or the cathode side up, each with or without an incorporated anode current collector or cathode current collector, respectively.
US08951603B2 Method for producing ferroelectric thin film
A method for producing a ferroelectric thin film comprising: coating a composition for forming a ferroelectric thin film on a base electrode of a substrate having a substrate body and the base electrode that has crystal daces oriented in the (111) direction, calcining the coated composition, and subsequently performing firing the coated composition to crystallize the coated composition, and thereby forming a ferroelectric thin film on the base electrode, wherein the method includes formation of an orientation controlling layer by coating the composition on the base electrode, calcining the coated composition, and firing the coated composition, where an amount of the composition coated on the base electrode is controlled such that a thickness of the orientation controlling layer after crystallization is in a range of 5 nm to 30 nm, and thereby controlling the preferential crystal orientation of the orientation controlling layer to be in the (110) plane.
US08951602B2 Method for depositing high aspect ratio molecular structures
A method for depositing high aspect ratio molecular structures (HARMS), which method comprises applying a force upon an aerosol comprising one or more HARM-structures, which force moves one or more HARM-structures based on one or more physical features and/or properties towards one or more predetermined locations for depositing one or more HARM-structures in a pattern by means of an applied force.
US08951601B2 Electrochemical cell structure and method of fabrication
One limitation to the realisation of mass produced electrochemical cells is a lack of high resolution patterning techniques providing accurate alignment. A method of fabricating a patterned structure on a polymer layer for the manufacture of an electrochemical cell is provided. The method comprises: depositing a polymer layer upon a substrate; and stamping the polymer layer to form an embossed polymer layer using an embossing tool, the embossing tool having a first array of adjacent cells, spaced from one another and extending from the stamping face of the embossing tool and thereby forming a second array of adjacent cells, spaced from one another and extending as cavities within the embossed polymer layer.
US08951597B2 Graded glass/ceramic/glass structures for damage resistant ceramic dental and orthopedic prostheses
The present invention provides a functionally graded glass/ceramic/glass sandwich system especially useful in damage resistant, ceramic dental and orthopedic prosthesis. The functionally graded glass/substrate/glass composite structure comprises an outer (aesthetic) residual glass layer, a graded glass-ceramic layer, and a dense interior ceramic. The functionally graded glass/substrate/glass composite structure may further comprise a veneer on an exterior surface. The present invention also provides a method for preparing a functionally graded glass/ceramic/glass sandwich system. A powdered glass-ceramic composition or a glass tape is applied to the accessible surfaces of a dense alumina substrate to thereby substantially cover the substrate surfaces. The glass of the composition has a CTE similar to that of the substrate material. The glass-ceramic composition is infiltrated into the dense substrate by heating the assembly to temperatures 50-700° C. below the sintering temperature of the substrate.
US08951596B2 Implants and methods for manufacturing same
Implantable prosthesis, components of prosthesis, and methods of making same are provided. The methods generally include the steps of providing an implant shell, applying a curable fluid composition to the shell to form a coating thereon and applying a particulate component to the composition. The composition is a mixture, for example, an emulsion, containing a silicone-based elastomer dispersion and droplets of a suspended leachable agent. After the elastomer is stabilized and cured, the particulate component and leachable agent are removed, resulting in an implantable member having a porous, open-cell surface texture designed to be effective in reducing incidence of capsular formation or contraction.
US08951595B2 Coatings with tunable molecular architecture for drug-coated balloon
A drug delivery balloon is provided, the a balloon having an outer surface, and a tunable coating disposed on at least a length of the balloon surface. The tunable coating includes a first therapeutic agent and a first excipient, and can include a second therapeutic agent and a second excipient. The first and second therapeutic agents have different dissolution rates during balloon inflation and therefore provide a coating that is tunable.
US08951592B2 Physical refining of triglyceride oils and fats
A process for the physical refining of edible oils and fats, said process comprising three consecutive stages, whereby in a first stage at least 60% by weight of the volatiles are evaporated in a flash vessel, in a second stage some residual volatiles are evaporated by countercurrent steam stripping using a packed column (3), and in a third stage further residual volatiles are evaporated in a cross-flow tray system (2), wherein the pressure in said flash vessel is maintained at a value below the pressure prevailing above said packed column (3); and an apparatus for the physical refining of edible oils and fats comprising a flash vessel, a packed column (3), sparging trays (2) and the means to maintain the pressure in said flash vessel at a value that is below the pressure prevailing above said packed column.
US08951591B2 Package integrity indicator for container closure
A resealable closure for packages is provided which has a package integrity feature. The closure includes a two-ply material having an inner film layer and an outer film layer, forming a top of container. The outer film layer has a sealing panel completely covering a first panel and a second panel of the inner film layer. The sealing panel is permanently attached to the first panel and is releasably affixed a portion of the inner film layer around the perimeter of the first panel to form an opening in the top when the sealing panel with affixed first panel is peeled back from the top. The sealing panel is resealable against the top to seal the opening when the sealing panel is moved back against the top. The package integrity feature comprises the second panel of the inner layer which separates from the sealing panel to indicate that the container has been previously opened.
US08951587B2 Mineral additive for a dietary composition for animals and method for the production thereof
The invention relates to an additive mineral for a dietary composition for animals comprising, in a biodigestible form, at least one phosphoric acid salt and a compound capable of forming a salt therewith. The additive is in the form of an aqueous solution in which the salt is in a dissolved state and is provided in the form of phosphate ions and of ions of said compound. The invention also relates to a method for producing the additive and to a device for carrying out this method.
US08951585B2 Compositions and methods for modulating plant parasitic nematodes
Provided is a method for modulating soybean cyst nematode infestation of a plant comprising applying to the plant an effective amount of a composition comprising a Chromobacterium, Burkholderia, and/or Flavobacterium strain to modulate the soybean cyst nematode infestation. The composition may be applied directly to the seed or in a substrate for growing the plant, such as in the soil.
US08951583B2 Compositions for topical treatment
A stable, self-preserving, antimicrobial, composition suitable for the treatment of a variety of dermal as well as subcutaneous conditions. The compositions include as an active ingredient a quaternary ammonium compound, preferably benzethonium chloride, potentiated and synergized with menthyl lactate cooling agent in a cationic carrier. Optionally, a phenoxyethanol preservative and chlorhexidine digluconate antibacterial agent may be used to assist in enhancing the activity. The compositions kill a broad spectrum of gram-negative and gram-positive bacteria, fungus and yeasts. The compositions are used as first aid skin treatments and as skin sanitizers to help prevent bacterial contamination of minor cuts, scrapes and burns. The compositions are particularly useful when applied to the skin after hair removal in that they additionally cool, soothe and moisturize the skin. The compositions may also serve as a base vehicle in which additional skin care ingredients may be added to provide additional functionality to the compositions.
US08951578B2 Rebound hoof pack
A compound of all natural ingredients allows for treatment of infected, inflamed, and sore hooves without the need for wrapping the hoof with a diaper or vet wrap as is conventional. The compound is packable into the bottom of a hoof. A sugar base allows the compound to stick to the underside of a hoof and remain applied without the wrap. Epsom salts and iodine provide antiseptic and antimicrobial properties, and pine tar provides moisture balance within the hoof in addition to supplementing the stickiness of the compound. Applied compound may be covered with a piece of paper or cloth.
US08951577B2 Antimicrobial hydrochloric acid catheter lock solution and method of use
A catheter lock solution includes a hydrochloric acid solution having a concentration of 0.3 Molar to 1.0 Molar. This hydrochloric acid solution may be used to lock a catheter and/or salvage an infected catheter.
US08951573B2 Disinfectant composition for hard articles, and method for disinfecting of surface of hard article
Disclosed are a two-agent type disinfectant composition for hard articles, which includes a first agent containing a powder mixture (A) and a second agent containing an aqueous hydrogen peroxide solution (B-1), the powder mixture (A) containing an alkali metal salt (A-1) exhibiting basicity when the salt is in the form of an aqueous solution, a water-soluble copper salt (A-2), a compound (A-3) represented by the following formula (1), and a nonionic surfactant (A-4) represented by the following formula (2), and in which the molar ratio of the water-soluble copper salt (A-2) and the compound (A-3) represented by the mixing amount of (A-3)/mixing amount of (A-2) is 3.0 to 20; and a single-agent type disinfectant composition for hard articles, which includes the components (A-1) to (A-4), and an inorganic peroxide (B-2) that releases hydrogen peroxide in water, and in which the molar ratio of the water-soluble copper salt (A-2) and the compound (A-3) represented by the mixing amount of (A-3)/mixing amount of (A-2) is 3.0 to 20. Disinfectant compositions for hard articles which have high disinfecting power against the surfaces of hard articles, have satisfactory stability of hydrogen peroxide in water, and have low foaming tendency, and a method for disinfecting the surface of a hard article by using the disinfectant composition for hard articles, can be provided.
US08951572B2 Therapeutic transplantation using developing, human or porcine, renal or hepatic, grafts
A method of treating a renal, hepatic or enzyme-deficiency disorder in a subject in need thereof is disclosed. The method is effected by transplanting into the subject tissue derived from a human or porcine, kidney or liver, the kidney or liver being at a selected gestational stage.
US08951569B2 Pellets coated with coatings containing active substances
Formulations of sparingly water-soluble active ingredients, consisting of carrier particles provided with active ingredient-containing coatings, the sparingly soluble active ingredients being embedded in coatings composed of amphiphilic copolymers.
US08951565B2 Hemostatic compositions, assemblies, systems, and methods employing particulate hemostatic agents formed from hydrophilic polymer foam such as chitosan
Improved hemostatic agents take the form of granules or particles that can be used to stanch, seal, or stabilize a site of hemorrhage, including a noncompressible hemorrhage.
US08951562B2 Method and apparatus or dry granulation
The invention provides, inter alia, a method for producing granules from a powder, characterized in that compaction force is applied to the powder to produce a compacted mass comprising a mixture of fine particles and granules and separating and removing fine particles and/or small granules from the other granules by entraining the fine particles and/or small granules in a gas stream. Also provided are apparatus for use in the process and tablets formed by compression of the resultant granules.
US08951558B2 Aqueous carbonated medium containing an amino(meth)acrylate polymer or copolymer
The invention relates to an aqueous medium containing an amino(meth)acrylate polymer or copolymer which is not soluble in dematerialised water, characterized in that the medium has a content of an aqueous phase of at least 60% by weight and a content of up to 40% by weight of solids comprising the amino(meth)acrylate polymer or copolymer, whereby the aqueous phase is charged by a sufficient amount of carbon dioxide that effects the amino(meth)acrylate polymer or copolymer to be present in solute form in the medium. The aqueous medium may be used beneficially as a coating or binding solution for the spray coating or binding of pharmaceutical compositions or nutraceutical compositions or cosmetical compositions.
US08951554B2 Microcapsule, structure having a microcapsule, article having a microcapsule, and method of preparing microcapsules
A microcapsule, a structure including a microcapsule, an article including a microcapsule and a method of preparing microcapsules provided, the microcapsule includes at least one material selected from the group consisting of a magnetic substance, a dielectric substance and a combination thereof. The microcapsule also includes a volatile material.
US08951548B2 Acrylic hydrogels with pendant cyclodextrins, preparation method thereof and use of same as release systems and contact lens components
The invention relates to acrylic hydrogels with pendant cyclodextrins, the preparation and application thereof as release systems and components of contact lenses. The method for obtaining acrylic hydrogels with pendant cyclodextrins is characterized in that the hydrogels are formed by a polymer lattice obtained by polymerization of mono- and bifunctional acrylic or methacrylic monomers and monomers having glycidyl groups in their structure, to which cyclodextrin units are covalently bound once formed; and the use and applications of the compositions in the preparation of contact lenses with the capacity for incorporating drugs, active substances or demulcents useful in the treatment of pathological or physiological conditions, in the production of topical, transdermal or transmucosal release systems for medicinal products or active substances, and in the preparation of cosmetics.
US08951537B2 Functional influenza virus-like particles (VLPs)
The present invention discloses and claims virus like particles (VLPs) that express and/or contains seasonal influenza virus proteins, avian influenza virus proteins and/or influenza virus proteins from viruses with pandemic potential. The invention includes vector constructs comprising said proteins, cells comprising said constructs, formulations and vaccines comprising VLPs of the inventions. The invention also includes methods of making and administrating VLPs to vertebrates, including methods of inducing substantial immunity to either seasonal and avian influenza, or at least one symptom thereof.
US08951536B2 N-hydroxyamidinoheterocycles as modulators of indoleamine 2,3-dioxygenase
The present invention is directed to N-hydroxyamidino compounds which are modulators of indoleamine 2,3-dioxygenase (IDO), as well as pharmaceutical compositions thereof and methods of use thereof relating to the treatment of cancer and other diseases.
US08951533B2 Clay interlaced yeast compositions and methods of utilizing the same
The present invention relates to compositions comprising yeast cells and/or yeast cell components and methods for producing and utilizing the same. In particular, the invention provides novel yeast comprising altered cell wall structure (e.g., clay and/or clay component(s) integrated (e.g., interlaced) into cell wall(s) and/or cell wall(s) comprising altered glucan:mannan ratio), methods of producing the same, compositions comprising and/or derived from the same, and methods of using the same (e.g., to sequester and/or adsorb bacteria and toxins). Compositions and methods of the invention find use in a variety of applications including dietary (e.g., admixing with feedstuffs or otherwise feeding to animals), therapeutic, prophylactic (e.g. admixing with bedding sources and/or other materials that come into contact with animals, usage during food and beverage processing and manufacture, and usage during filtration of liquids) as well as research applications.
US08951532B2 A25 bacteriophage lysin
The invention relates to the identification, sequencing, and isolation of an A25 bacteriophage lysin gene that expresses a protein involved in the lysis of bacterial cells during the phage life cycle. The invention further relates to methods for lysing certain bacteria using lysin, which are useful for example in a diagnostic procedure designed to detect these bacteria.
US08951529B2 Methods of using small compounds to enhance myeloid derived suppressor cell function for treating autoimmune diseases
Methods for enhancing the suppressive function of myeloid derived suppressor cells (MDSCs) for the treatment of autoimmune diseases using small compounds are disclosed. In certain aspects, the small compounds are glatiramer acetate and mitogen activated protein (MAP) kinase inhibitors. In other aspects, these methods include the administration of exogenous MDSCs or the use of endogenous MDSCs mobilized using stem cell mobilizers. In yet other aspects, compositions containing MDSCs and small compounds of the invention are provided.
US08951524B2 Use of monoclonal antibodies specific to the O-acetylated form of GD2 ganglioside for treatment of certain cancers
The invention relates to the use of monoclonal antibodies that only recognise the O-acetylated form of the GD2 ganglioside, or fragments of said antibody, for the diagnosis and the treatment of cancers in which the cells express the O-acetylated GD2, said antibody or said fragment recognising the O-acetylated GD2 molecules expressed by the tumoral cells and not recognising the GD2 molecules expressed at the surface of the peripheral nerves, in order to increase the specificity of the diagnosis and reduce the toxicity of the treatments. The invention also relates to artificially modified antibodies advantageously used for treating and diagnosing cancers in which the cells express the O-acetylated GD2.
US08951522B2 Methods for treating conditions associated with MASP-2 dependent complement activation
In one aspect, the invention provides methods of inhibiting the effects of MASP-2-dependent complement activation in a living subject. The methods comprise the step of administering, to a subject in need thereof, an amount of a MASP-2 inhibitory agent effective to inhibit MASP-2-dependent complement activation. In some embodiments, the MASP-2 inhibitory agent inhibits cellular injury associated with MASP-2-mediated alternative complement pathway activation, while leaving the classical (C1q-dependent) pathway component of the immune system intact. In another aspect, the invention provides compositions for inhibiting the effects of lectin-dependent complement activation, comprising a therapeutically effective amount of a MASP-2 inhibitory agent and a pharmaceutically acceptable carrier.
US08951521B2 Compounds having activity of suppressing activation of TGF-β receptor, method for screening of the compounds, and composition for preventing or treating disease caused by hepatitis C virus
An object is to provide a compound capable of inhibiting activation of TGF-β receptors due to HCV, and a screening method for the compound. It has been found that a HCV-derived NS3 protease binds to type I TGF-β receptor, and this binding results in activation of TGF-β receptors. Moreover, binding sites between the NS3 protease and type I TGF-β receptor were identified, and it has been found that antibodies recognizing these binding sites inhibit activation of TGF-β receptors due to NS3 protease. Furthermore, it has been also found that screening for a compound capable of inhibiting activation of TGF-β receptors can be performed by using the inhibition of the binding between NS3 protease and type I TGF-β receptor or the like as an index.
US08951518B2 Substance specific to human PD-1
The present invention relates to a substance specific to human PD-1 comprising a part that recognizes human PD-1, a part that recognizes a membrane protein in cell membrane of human PD-1-expressing cells, and linkers. Since the substance specific to human PD-1 selectively can recognize human PD-1 and a membrane protein on cell membrane of human PD-1-expressing cells and can transmit inhibitory signal of human PD-1, it is useful for therapy and/or prevention of diseases caused by immunopathy.
US08951514B2 Statin and omega 3 fatty acids for reduction of apolipoprotein-B levels
A composition and a method of treatment utilizing a combination of statins (or HMG-CoA reductase inhibitors), a class of drug used to lower cholesterol levels by inhibiting the enzyme HMG-CoA reductase, with mixtures of an omega-3 fatty acid formulation containing about 90% or more omega 3 fatty acids by weight including a combination of Eicosapentaenoic acid (EPA), Docosapentaenoic acid (DPA) and Docosahexaenoic acid (DHA) in a weight ratio of EPA:DHA of from 5.7 to 6.3, wherein the sum of the EPA, DHA and DPA represent about 82% by weight of the total formulation and about 92% of the total omega 3 fatty acid content of the composition are taught.
US08951511B2 Compositions for improving migration potential of stem cells
The present invention relates to a composition for improving the migration potential of a stem cell, a method for evaluating the migration potential of a stem cell and a method for screening an adjuvant of cell therapy improving the migration potential of a stem cell. The present invention may be effectively used for enhancing the efficacy of neurological disease-treatment by inducing therapeutic stem cells to migrate efficiently to the lesion site.
US08951510B2 T-cell receptor and nucleic acid encoding the receptor
A polypeptide comprising a polypeptide consisting of an amino acid sequence shown in SEQ ID NO: 5 of Sequence Listing or a polypeptide consisting of an amino acid sequence having deletion, addition, insertion or substitution of one to several amino acid residues in the sequence, the polypeptide being capable of constituting an HLA-A24-restricted, MAGE-A4143-151-specific T cell receptor together with a polypeptide consisting of an amino acid sequence shown in SEQ ID NO: 2 of Sequence Listing.
US08951506B2 Formulation and method for treatment of teeth
Tooth dentin is treated by applying thereto a paste or other solution to effect plugging of the tubules to eliminate or reduce tooth sensitivity. The solution may be applied to other tooth components for treatment thereof. The solution has a lanthanide salt dissolved therein and a pH between about 6 and 7 and includes an agent for bulking so as to enhance the plugging effect. A dental restoration has incorporated therein lanthanide ions.
US08951500B2 13C-MR imaging or spectroscopy of cell death
The invention relates to method of 13C-MR imaging and/or 13C-MR spectroscopy of cell death using an imaging medium which comprises hyperpolarized 13C-pyruvate.
US08951498B2 Synthesis of hierarchical nanocrystalline zeolites with controlled particle size and mesoporosity
A one step synthesis of nanocrystalline zeolites ZSM-5 and Naβ from a single template system in high yield has been discovered. The size of individual nanocrystals, as well as mesopore surface area and pore volume can be controlled by adjusting the pH of the reaction mixture, as well as the hydrothermal treatment temperature and duration. The mesopore volume and size distribution show a dependence on particle size such that smaller particles lead to higher mesopore volumes and narrower pore size distributions.
US08951494B2 Process and apparatus for sulphuric acid production
A process for the conversion of sulphur dioxide contained in a feed gas to sulphur trioxide, comprising the steps of a) alternatingly providing a first feed gas containing a high concentration of sulphur dioxide and a second feed gas containing a low concentration of sulphur dioxide as a process gas, b) preheating the process gas by heat exchange with a heat exchange medium, c) reacting the process gas in the presence of a catalytically active material in a catalytic reaction zone, d) converting at least in part the sulphur dioxide of the process gas into sulphur trioxide contained in a product gas in the catalytic reaction zone, e) cooling the product gas by contact with a heat exchange medium, wherein a thermal buffer zone is provided in relation to one of said process steps, providing thermal energy produced during super-autothermal operation for heating the process gas during sub-autothermal operation.
US08951485B2 Devices and methods for microreactor fluid distribution
A microreactor includes a plurality of interconnected microstructures arranged in m process units with the process units configured to be operable together in parallel. Each of the m process units has a number n of respective process fluid inlets, wherein a number y of the n respective process fluid inlets are connected individually to respective non-manifolded fluid pumps, and wherein a number n minus y of the n respective process fluid inlets are connected to a respective manifolded fluid pump via a manifold, wherein y is an integer from 1 to n−1 inclusive.
US08951482B2 Method and apparatus for the separation of a liquid from a gas feed stream in a catalytic reactor
Method and apparatus for separating a liquid reaction product from a gaseous stream in a catalytic reactor by means of a metallic sheet being indirectly cooled by a cooling surface and having a plurality of percolations in form of geometric-shaped protrusions on both sides of the sheet each with an open base, the open base is on the side of the sheet facing a catalyst bed are arranged upwards and on the side facing the cooling surface the open base faces downwards.
US08951481B2 In SITU production of fertilizer
A system for creating a nitrate combined with a liquid. A corona discharge cell to generate an electrical field. The corona discharge cell further comprising a conduit to pass air through the electrical field to produce nitric oxide NO, wherein the air comprises a mixture of at least nitrogen N2 and oxygen O2, the conduit for combining the nitric oxide NO with the oxygen O2 to form nitrogen dioxide NO2. The corona discharge cell further comprising an injector for combining the nitrogen dioxide NO2 with the liquid to generate nitric acid HNO3 which combines with the liquid to generate the nitrate comprised of nitrate radical NO3 mixed with the liquid.
US08951475B2 Chemical heat accumulator
A chemical heat accumulator includes a receptacle, a first reaction vessel, and a second reaction vessel. The first reaction vessel is hermetically connected to the receptacle and supplied with water from the receptacle. The first reaction vessel contains a chemical compound that causes a hydration reaction with the water from the receptacle to generate water vapor by a heat of reaction, and causes a dehydration reaction by receiving heat. The second reaction vessel is hermetically connected to the first reaction vessel and supplied with the water vapor from the first reaction vessel. The second reaction vessel contains a chemical heat storage material that generates heat by causing a hydration reaction with the water vapor from the first reaction vessel and stores heat through a dehydration reaction caused by receiving heat. The chemical heat storage material is thermally in contact with an object to be heated.
US08951470B2 Autoanalyzer and probe elevating method
An autoanalyzer includes a measurement unit which measures a reaction liquid produced by an interaction between a reagent and an examined sample contained in a reaction container, a sample probe which sucks the examined sample from a sample container and discharges the examined sample to the reaction container, a probe elevating arm which elevates the sample probe with respect to the sample container, and a control unit which controls the probe elevating arm so that a speed at which the sample probe enters a liquid surface of the examined sample to perform an n-th suction operation (n≧2) of the examined sample is slower than a speed at which the sample probe enters the liquid surface of the examined sample to perform a first suction operation of the examined sample.
US08951464B2 Method for manufacturing a medical implant and medical implant
One aspect relates to a medical implant, for example, implantable stimulation electrode, having a tight substrate and a porous contact region. One aspect also relates to a lead of a cardiac pacemaker having an implantable stimulation electrode and to a method for manufacturing a medical implant, for example, an implantable stimulation electrode.A medical implant according to one aspect is characterized in that the implant includes a sintered body with graduated porosity.
US08951463B2 Method for making cemented carbide products
A method for the production of tungsten carbide based cemented carbide or cermet tools or components using the powder injection molding method includes mixing of hard constituent powder and a metallic binder powder with an organic binder system, consisting of 30-60 wt-% olefinic polymers and 40-70 wt-% nonpolar waxes, acting as a carrier for the powder. A metallic binder powder that is granulated with a nonpolar wax is used.
US08951462B2 Method for manufacturing porous ceramic bodies with gradient of porosity
Provided is a method of manufacturing porous ceramic bodies with gradient of porosity, in which a gradient that is continuous to a pore size and porosity is precisely controlled in a simple way. The method includes the steps of: obtaining molded bodies by pressurizing and molding a mixture of powder obtained by mixing ceramic powder and polymer powder at a weight ratio of 1:1 to 100:1; and obtaining sintered bodies with gradient of porosity by sintering the molded bodies while applying a gradient pressure to the molded bodies.
US08951461B2 Method for producing a plastic article and blow molding tool
The invention relates to a method for producing a plastic article and a blow molding tool for performing the method. The method comprises extruding an approximately tube-shaped preform, dividing the melt flow within the extrusion head or separating the extrudate exiting or already exited from the extrusion head, such that a preform having an approximately C-shaped cross section is obtained, forming the preform into a hollow part within a multipart blow molding tool using differential pressure, wherein an expansion and partial preforming of the preform first takes place with the blow molding tool partially closed, then in a further step at least one insert is inserted into the interior of the partially preformed article between the not completely closed blow molding tool and through the open side of the preform, and in a further step the blow molding tool is completely closed; wherein the article is completely formed, forming at least one at least partially circumferential pinch-off seam.
US08951456B2 Method for producing ultra-high-molecular-weight polyethylene porous membrane, method for producing ultra-high-molecular-weight polytheylene film, and porous membrane and film obtained by these methods
A method for producing an ultra-high-molecular-weight polyethylene porous membrane, including: a step of molding a film using an ultra-high-molecular-weight polyethylene raw material; a step of biaxially stretching the obtained film in X-axis and Y-axis directions at a temperature of from a melting point of the film to 180° C.; and a pore-forming step of stretching the stretched film along at least one axis of the X-axis and Y-axis at from 142° C. to 170° C. Alternatively, a method for producing an ultra-high-molecular-weight polyethylene film, including: a step of molding a film by two steps of press-molding and roll-molding using an ultra-high-molecular-weight polyethylene raw material; and a step of biaxially stretching the film obtained in the above step, in X-axis and Y-axis directions at a temperature of from a melting point of the film to 180° C.
US08951452B2 Process for particleboard manufacture
Improved particleboard and methods for fabricating improved particleboard (e.g., natural fiber/material-based particleboard) are disclosed. More particularly, the present disclosure provides systems/methods for fabricating particleboard (e.g., formaldehyde-free particleboard) utilizing natural fibers/materials (e.g., lignocellulosic materials), wherein the particleboard has improved performance characteristics and/or mechanical properties. Methods for fabricating fiber-reinforced biocomposites (e.g., natural fiber-reinforced wheat gluten biocomposites) are disclosed. For example, systems/methods for fabricating particleboard from lignocellulosic materials (e.g., coconut materials), along with a binder material (e.g., wheat gluten), are provided. In general, the fiber or lignocellulosic material is treated with sodium hydroxide and/or a silane coupling agent as an adhesion promoter to enhance interfacial adhesion between the fiber and the binder. For example, (3-triethoxysilylpropyl)-t-butylcarbamate (MISO) (a masked isocyanate functional silane) was utilized to improve interfacial adhesion between the binder and the natural fibers.
US08951451B2 Carbon material and method for producing same
(Problem)In conventional method for producing artificial graphite, in order to obtain a product having excellent crystallinity, it was necessary to mold a filler and a binder and then repeat impregnation, carbonization and graphitization, and since carbonization and graphitization proceeded by a solid phase reaction, a period of time of as long as 2 to 3 months was required for the production and cost was high and further, a large size structure in the shape of column and cylinder could not be produced. In addition, nanocarbon materials such as carbon nanotube, carbon nanofiber and carbon nanohorn could not be produced.(Means to Solve)A properly pre-baked filler is sealed in a graphite vessel and is subsequently subjected to hot isostatic pressing (HIP) treatment, thereby allowing gases such as hydrocarbon and hydrogen to be generated from the filler and precipitating vapor-phase-grown graphite around and inside the filler using the generated gases as a source material, and thereby, an integrated structure of carbide of the filler and the vapor-phase-grown graphite is produced. In addition, nanocarbon materials are produced selectively and efficiently by adding a catalyst or adjusting the HIP treating temperature.
US08951449B2 Method for preparation of aqueous nano-pigment dispersion
Embodiments of the invention disclose a method for preparing an aqueous nano-pigment dispersion. The method comprises mixing 2.5 wt %˜40 wt % styrene with polymerization inhibitors removed by evaporation, 2.5 wt %˜20 wt % acrylate monomer, 0.25 wt %˜5 wt % crosslinking monomer, 0.05 wt %˜0.5 wt % emulsification adjuvant, and 0.05 wt %˜0.5 wt % oil soluble initiator, adding 0.25 wt %˜2.5 wt % organic pigments to be dispersed to form an oil phase solution after dissolution; dissolving 0.05 wt %˜2 wt % emulsifier and 0.05 wt %˜2 wt % buffer in 40 wt % wt˜90 wt % deionized water to form an aqueous solution; mixing the oil phase solution and the aqueous phase solution, and then homogenizing them into fine emulsion; transferring the fine emulsion into a reactor; heating to increase the temperature to initiate the polymerization; after reacting, adding an alkaline soluble monomer; after continuing the reaction, cooling the temperature to the room temperature; adjusting pH to weak alkaline; and filtering to obtain the aqueous nano-pigment dispersion.
US08951438B2 Simplified control of color shifting properties of a chiral liquid crystal polymer
A chiral liquid crystal precursor composition which comprises at least one salt that changes a position of the selective reflection band exhibited by the composition in a cured state compared to the position of a selective reflection band exhibited by a composition in the cured state that does not contain the at least one salt. This abstract is neither intended to define the invention disclosed in this specification nor intended to limit the scope of the invention in any way.
US08951430B2 Metal assisted chemical etching to produce III-V semiconductor nanostructures
Methods of metal assisted chemical etching III-V semiconductors are provided. The methods can include providing an electrically conductive film pattern disposed on a semiconductor substrate comprising a III-V semiconductor. At least a portion of the III-V semiconductor immediately below the conductive film pattern may be selectively removed by immersing the electrically conductive film pattern and the semiconductor substrate into an etchant solution comprising an acid and an oxidizing agent having an oxidation potential less than an oxidation potential of hydrogen peroxide. Such methods can form high aspect ratio semiconductor nanostructures.
US08951429B1 Tungsten oxide processing
Methods of selectively etching tungsten oxide relative to tungsten, silicon oxide, silicon nitride and/or titanium nitride are described. The methods include a remote plasma etch using plasma effluents formed from a fluorine-containing precursor in combination with ammonia (NH3). Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents react with the tungsten oxide. The plasmas effluents react with exposed surfaces and selectively remove tungsten oxide while very slowly removing other exposed materials. Increasing a flow of ammonia during the process removes a typical skin of tungsten oxide having higher oxidation coordination number first and then selectively etching lower oxidation tungsten oxide. In some embodiments, the tungsten oxide etch selectivity results partly from the presence of an ion suppression element positioned between the remote plasma and the substrate processing region.
US08951426B2 Method of fabricating an implantable medical device that includes one or more thin film polymer support layers
An implantable medical device formed from one or more layers of thin film polymer is assembled by providing by adhesively securely one or more polymer coupons on individual rigid backings. After each coupon is shaped or components mounted to the coupon, the coupons are bonded together. The adhesive is dissolved to remove the device from the backing or backings to which it is attached.
US08951425B2 Curved plate and method of forming the same
A method of forming at least one curved plate having first and second layers, the first layer being formed of a first material and the second layer being formed of a second material, the method including forming one or more blocks of a fusible material on a surface of a substrate; baking the one or more blocks to deform their shape; and depositing the first and second materials over the one or more deformed blocks to form the first and second layers.
US08951421B2 Apparatus and method for purifying a liquid
For purifying a liquid, the liquid is caused to evaporate in a cyclone in a recirculation circuit. Vapor is discharged via a discharge channel in which a compressor is included. In a heat exchanger downstream of the compressor, supplied vapor condenses and heat thereby released is transferred to liquid in the recirculation circuit. A liquid inlet of the cyclone is placed and directed for delivering a jet having a directional component tangential with respect to an inner surface of the cyclone. The liquid inlet has a section shaped such that in operation the delivered jet is a flat jet having a cross section which in a direction parallel to a nearest generatrix of the inner surface of the cyclone is greater than in a direction perpendicular thereto. The jet contacts the inner surface of the cyclone before drop formation occurs in the jet. A method for purifying a liquid is also described.
US08951419B2 Method and apparatus for water treatment
A method and apparatus for the treatment of water and, more particularly, to the mineralization of water in order to improve pH, hardness, turbidity, and/or alkalinity is described. More particularly, a system is provided for the treatment of water that needs additional hardness, alkalinity, and/or pH adjustment while also meeting turbidity requirements. The use of sodium hydroxide and other methods for avoiding turbidity problems can be eliminated and/or minimized.
US08951417B2 Method of transporting magnetic particles
A method of transporting magnetic particles enables magnetic particles to be transported between a plurality of microfluidic chambers which are connected to one another via a fluidic connection on a radially inner side, and are fluidically separated from one another on a radially outer side. Magnetic forces and centrifugal forces are exploited to transport magnetic particles from one chamber to another across phase boundaries.
US08951412B2 Wastewater lagoon aeration treatment system
A novel lagoon aeration treatment system is provided which can be used with existing or new wastewater lagoons to increase the lagoon's treatment efficiency and capacity, and to allow for a continuous, year round discharge of treated wastewater to the environment. The system can be operated in warm and cold climates for treatment of municipal and industrial wastewaters of varying strength and flow rates in a secondary activated sludge treatment process, a tertiary activated sludge treatment process, or an extended aeration treatment process. The system employs an outside aeration system consisting of a wastewater pump and an air aspirator-mixer to provide wastewater aeration outside the lagoon and a pipe system placed inside the lagoon to provide a recirculation of aerated and non-aerated wastewater and sludge between the lagoon and the outside aeration system for re-aeration and return to the lagoon in a continuous or interment manner to accomplish a desired treatment level and efficiency and operating costs.
US08951405B2 Upgrading of asphaltene-depleted crudes
Methods are provided for upgrading asphaltene-depleted crude fractions. The asphaltene-depleted crude fractions are upgraded by oxidizing the crude fractions by air blowing. Upgrading an asphaltene-depleted crude fraction can allow more valuable grades of asphalt to be formed from the crude fraction. Alternatively, upgrading an asphaltene-depleted crude fraction can allow for incorporation of a greater percentage of such a crude fraction in a blend of crudes that are used for making a desired grade of asphalt.
US08951397B2 Electrophoretic analysis method
Provided is a means for accurately analyzing a protease by electrophoresis.Disclosed is an electrophoretic analysis method for analyzing a protease-containing sample, is characterized by exposing a sample containing a protease to be analyzed, to pH conditions under which the protease is rapidly deactivated, and then subjecting the sample to electrophoresis.
US08951393B2 Fluorine gas generating apparatus
A provided emergency stop facility includes an alternative gas supply facility capable of supplying a cooling medium in a refining device as an alternative gas instead of an entrained gas shut-off by closure of an entrained gas shut-off valve with loss of a driving source caused by the emergency stop; an alternative entrained gas shut-off valve switching between supply and shut-off of an alternative gas to a hydrogen fluoride supply passage; and an instrumentation gas supply facility for emergency stop having an instrumentation gas shut-off valve enabling supply of an instrumentation gas by opening with loss of the driving source caused by the emergency stop, wherein at the emergency stop of the fluorine gas generating apparatus, the alternative entrained gas shut-off valve is opened upon receipt of the supply of the instrumentation gas, and the alternative gas is supplied to the hydrogen fluoride supply passage.
US08951387B2 Method and apparatus for peeling protection film for flat display panel
An apparatus for removing a protective film for a flat display panel includes a laser oscillating member configured to be disposed over the protective film, the protective film attached to a surface of the flat display panel, the laser oscillating member configured to cut the protective film into a plurality of division regions by irradiating a laser beam; a separation member configured to peel at least one of the plurality of division regions from the flat display panel; a spacer member configured for insertion between the protective film and the at least one division region to be peeled from the flat display panel; and an adhesive member configured for attachment to a surface of the division region opposite the spacer member on the division region, the spacer member and the adhesive member being configured to move in a same direction.
US08951385B2 Plasma processing apparatus and plasma processing method
A plasma processing apparatus is offered which has evacuable vacuum vessel, processing chamber disposed inside the vacuum vessel and having inside space in which plasma for processing sample to be processed is generated and in which the sample is placed, unit for supplying gas for plasma generation into processing chamber, vacuum evacuation unit for evacuating inside of processing chamber, helical resonator configured of helical resonance coil disposed outside the vacuum vessel and electrically grounded shield disposed outside the coil, RF power supply of variable frequency for supplying RF electric power in given range to the resonance coil, and frequency matching device capable of adjusting frequency of the RF power supply so as to minimize reflected RF power. The resonance coil has electrical length that is set to integral multiple of one wavelength at given frequency. The helical resonance coil has feeding point connected to ground potential using variable capacitive device.
US08951384B2 Electron beam plasma source with segmented beam dump for uniform plasma generation
A plasma reactor that generates plasma in a workpiece processing chamber by an electron beam, has an electron beam source and segmented beam dump that is profiled to promote uniformity in the electron beam-produced plasma.
US08951383B2 Apparatus for treating wafers using supercritical fluid
Provided are an apparatus and method for treating wafers using a supercritical fluid. The wafer treatment apparatus includes a plurality of chambers; a first supply supplying a first fluid in a supercritical state; a second supply supplying a mixture of the first fluid and a second fluid; a plurality of first and second valves; and a controller selecting a first chamber of the plurality of chambers for wafer treatment to control the open/closed state of each of the plurality of first valves so that the first fluid can be supplied only to the first chamber of the plurality of chambers and selecting a second chamber of the plurality of chambers to control the open/closed state of each of the plurality of second valves so that the mixture of the first fluid and a second fluid can be supplied only to the second chamber of the plurality of chambers. The wafer treatment method involves performing a predetermined treatment such as etching, cleaning or drying on wafers within only one of the plurality of chambers, followed by wafer treatment on the succeeding chamber, and thus allowing for sequential wafer treatment within each of the plurality of chambers.
US08951382B2 Sealing liquid for safety closures
The invention relates to a sealing liquid for sealing mailpieces containing water and a penetration agent, to the uses thereof and to letter-closing devices and franking machines containing such a sealing liquid.
US08951381B2 Quick release head for tape applicator
A double sided tape applicator can include a quick release head to facilitate, for example, cleaning and tape threading.
US08951380B2 Fabric welding machine
Methods and other embodiments associated with a fabric welding machine are presented. The fabric welding machine includes a base, a welding machine body, and a support beam with a first end and a second end. A first roller is positioned adjacent to the first end of the support beam opposite the welding machine body. A swing arm with a body end and a roller end is attached to the welding machine body. The roller end is opposite the welding machine body and is configured to move to a first position and a second position. A heat element attached to the first end of the support beam.
US08951376B2 Method of manufacturing corrugated laminate made of films
A laminate of thermoplastic polymeric films comprises at least one fluted ply A and at least one substantially flat ply B, adhered to one another in bonded zones along the flute crests. The wavelength of the flutes is preferably no more than 3 mm. Ply A has a generally uniform thickness or can have attenuated zones of lessor thickness extending parallel to the flute direction, each bonded zone being located mainly within an attenuated zone. The flutes can be sinuous with crests on both sides of ply A and can be adhered on each side to a ply B, in which case, attenuated zones can be on both sides and can have different widths. The flutes can be filled with filler material, including reinforcement strands, and one or both sides can be perforated. The method and apparatus employ aligned grooved fluting rollers and a grooved laminating roller.
US08951372B2 Low emissivity and EMI shielding films
A low emissivity and EMI shielding transparent composite film typically for use in association with window glazing and comprising a transparent film substrate having on one side thereof an underlayer of abrasion resistant hardcoat material with at least one infrared reflective layer covering the underlayer, typically a metallic layer which may be encased in metal oxide layers, which is then covered with a thin external protective top coat of a cured fluorinated resin.
US08951371B2 Copper alloy
A method of producing a copper alloy containing a precipitate X composed of Ni and Si and a precipitate Y that includes (a) Ni and 0% Si, (b) Si and 0% Ni, or (c) neither Ni nor Si, wherein the precipitate X has a grain size of 0.001 to 0.1 μm, and the precipitate Y has a grain size of 0.01 to 1 μm.
US08951366B2 High-strength cold-rolled steel sheet and method of manufacturing thereof
A high-strength cold-rolled steel sheet includes, by mass %, C: 0.10% to 0.40%, Mn: 0.5% to 4.0%, Si: 0.005% to 2.5%, Al: 0.005% to 2.5%, Cr: 0% to 1.0%, and a balance of iron and inevitable impurities, in which an amount of P is limited to 0.05% or less, an amount of S is limited to 0.02% or less, an amount of N is limited to 0.006% or less, the microstructure includes 2% to 30% of retained austenite by area percentage, martensite is limited to 20% or less by area percentage in the microstructure, an average particle size of cementite is 0.01 μm to 1 μm, and 30% to 100% of the cementite has an aspect ratio of 1 to 3.
US08951365B2 High strength steel and high strength bolt excellent in delayed fracture resistance and methods of production of same
A steel which is excellent in delayed fracture resistance containing, by mass %, C: 0.10 to 0.55%, Si: 0.01 to 3%, and Mn: 0.1 to 2%, further containing one or more of Cr: 0.05 to 1.5%, V: 0.05 to 0.2%, Mo: 0.05 to 0.4%, Nb: 0.001 to 0.05%, Cu: 0.01 to 4%, Ni: 0.01 to 4%, and B: 0.0001 to 0.005%, and having a balance of Fe and unavoidable impurities, the structure being a mainly tempered martensite structure, the surface of the steel being formed with (a) a nitrided layer having a certain thickness range and a nitrogen concentration higher than the nitrogen concentration of the steel by 0.02 mass % or more and (b) a low carbon region having a certain depth range from the surface of the steel and having a carbon concentration of 0.9 time or less the carbon concentration of the steel.
US08951362B2 Replenishing compositions and methods of replenishing pretreatment compositions
Disclosed are replenisher compositions and methods of replenishing pretreatment compositions. The methods include adding a replenisher composition to a pretreatment composition wherein the replenisher composition includes: (a) a dissolved complex metal fluoride ion wherein the metal ion comprises a Group IIIA metal, Group IVA metal, Group IVB metal, or combinations thereof; (b) a component comprising an oxide, hydroxide, or carbonate of Group IIIA, Group IVA, Group IVB metals, or combinations thereof; and optionally (c) a dissolved metal ion comprising a Group IB metal, Group IIB metal, Group VIIB metal, Group VIII metal, Lanthanide Series metal, or combinations thereof.
US08951358B2 Cleaning compositions and methods
The present invention relates, in part, to cleaning methods and solvent cleaning compositions including at least one hydrofluoro-olefin or hydrochlorofluoro-olefin solvent for use in connection with cleaning of metal parts, and in certain preferred embodiments cleaning metal parts to be used in an aircraft.
US08951357B2 Device for whisking milk and method for cleaning such a device
A device (1) comprises an intake duct (11) for taking in milk, an intake duct (15) for taking in a cleaning fluid, a central duct (13) in which both intake ducts (11, 15) are merging, and a pump (31) which is arranged in the central duct (13). Cleaning the device (1) involves filling both the central duct (13) and the milk intake duct (11) with the cleaning fluid. In particular, the pump (31) is a bi-directional pump, wherein filling the central duct (13) with the cleaning fluid is realized by operating the pump (31) in one direction while blocking the milk intake duct (11), and wherein filling the milk intake duct (11) with the cleaning fluid is realized by opening the milk intake duct (11) and operating the pump (31) in a reversed direction, wherein cleaning fluid is displaced from the central duct (13) to the milk intake duct (11).
US08951353B2 Manufacturing method and apparatus for semiconductor device
A manufacturing method for semiconductor device includes: loading a wafer to a reaction chamber and placing the wafer on a support member; supplying process gas including source gas to a surface of the wafer, controlling a heater output and heating the wafer to a predetermined temperature while rotating the wafer at a first rotational speed, and thereby forming a film on a surface of the wafer; stopping supplying the source gas; decreasing a rotational speed of the wafer to a second rotational speed which enables an offset balance of the wafer to be maintained and stopping the heater output; and decreasing a temperature of the wafer while rotating the wafer at the second rotational speed.
US08951350B2 Coating methods and apparatus
An apparatus deposits a coating on a part. The apparatus comprises a chamber and a sting assembly for carrying the part. The sting assembly is shiftable between: an inserted condition where the sting assembly holds the part within the chamber for coating; and a retracted condition where the sting assembly holds the part outside of the chamber. The apparatus comprises a source of the coating material positioned to communicate the coating material to the part in the inserted condition. The apparatus comprises a thermal hood comprising a first member and a second member. The second member is between the first member and the part when the part is in the inserted condition. The second member is carried by the sting assembly so as to retract with the sting assembly as the sting assembly is retracted from the inserted condition to the retracted condition.
US08951345B2 High temperature support apparatus and method of use for casting materials
This invention relates to a system and a method of use for large ceramic member support and manipulation at elevated temperatures in non-oxidizing atmospheres, such as using carbon-carbon composite materials for producing high purity silicon in the manufacture of solar modules. The high temperature apparatus of this invention includes one or more support ribs, one or more cross braces in combination with the one or more support ribs, and a shaped support liner positionable upon the one or more support ribs and the one or more cross braces.
US08951343B2 Ultra high performance concrete reinforced with low-cost graphite nanomaterials and microfibers, and method for production thereof
Ultra-high-performance cementitious materials are made using suitably functionalized and relatively low-cost carbon nanofibers and graphite platelets. Polyelectrolytes and surfactants are physisorbed upon these graphite nanomaterials in water, and dispersion of nanomaterials in water is achieved by stirring. Stable and well-dispersed suspensions of nanomaterials in water are realized without using energy-intensive and costly methods, and also without the use of materials which could hinder the hydration and strength development of ultra-high-performance cementitious materials. The water incorporating dispersed nanomaterials is then mixed with the cementitious matrix and, optionally, microfibers, and cured following standard concrete mixing and curing practices. The resulting cementitious materials incorporating graphite nanomaterials and optionally microfibers offer a desired balance of strength, toughness, abrasion resistance, moisture barrier attributes, durability and fire resistance.
US08951337B2 Cost-effective tunable precleaner
An inertial separation air precleaner system includes a replaceable insert removably mounted to the precleaner and altering air flow velocity through the precleaner by changing flow area of the flowpath through the precleaner housing. The replaceable insert is replaceable with a different insert for the same precleaner housing, which different insert provides a different flow area through the precleaner housing, enabling a selectable precleaner efficiency vs. restriction trade-off as chosen by a user for a particular application, enabling tuning of precleaner performance by the user.
US08951334B2 Electric dust collector apparatus in electrostatic precipitator system and method for electrostatic precipitation thereby
Disclosed are an electric dust collector apparatus in an electrostatic precipitator system and a method for electrostatic precipitation thereby. The electric dust collector apparatus includes a flow liquid dust collector part collecting charged dust in a liquid flowing to its one side surface, and a counter unit located opposite to the flow liquid dust collector part and including a metal plate charged with the same polarity with the charged dust to guide the induced charged dust to flow toward the flow liquid dust collector part, wherein the counter unit further includes a coating including an insulating material coating the metal plate.
US08951332B2 Method for collecting Co from urban ore
Urban ore is a mixture of a lot of metallic elements, and these metallic elements need to be separately collected therefrom. However, because the content of each metallic element is very small, it has been extremely difficult to selectively collect the required metallic element.In order to solve the problem, there is provided a metal-ion adsorbent which is for use in a method for collecting metal ions present in a liquid, and in which a continuously porous support having large numbers of pores of uniform size and shape, and a compound having a metal ion-binding group is chemically bonded to the inner surfaces of the pores, whereby a material and a method with which rare-metal ions contained in urban ore are efficiently and inexpensively taken out are provided.
US08951331B2 Valuable metal recovery method
Provided is a method for stabilizing the degree of oxidation of molten battery waste, and definitively separating slag and alloy. The method is provided with a pre-oxidation step (ST20) for roasting and oxidizing battery waste; and a drying step (S20) for melting the battery waste oxidized in the pre-oxidation step, and separating and recovering the slag and the valuable metal alloy. By providing the pre-oxidation step (ST20) for oxidizing the battery waste by roasting in advance of the drying step (S20), it is possible to stably obtain the optimal degree of oxidization in a melting step (ST21), and to improve the slag-alloy separation efficiency.
US08951330B2 Method for preparing silver nanoparticles by employing ethanolamine and poly(styrene-co-maleic anhydride) copolymers
The present invention provides a method for producing silver nanoparticles by employing ethanolamine. The method of this invention can be easily operated and no organic solvent is required. Ethanolamine first reacts with copolymers of poly(styrene-co-maleic anhydride) (abbreviated as SMA) to generate polymeric polymers. The polymeric polymers then reduce silver ions to silver atoms which are dispersed in the form of silver nanoparticles. Functional groups of the polymeric polymers can chelate with silver ions and be stably compatible with water or organic solvents, whereby the silver nanoparticles can be stably dispersed without aggregation and the produced silver nanoparticles.
US08951329B2 Production of valve metal powders with improved physical and electrical properties
The invention relates to a process that involves (1) feeding (a) a first valve metal powder component containing valve metal particles and (b) reducing component into a reactor having a hot zone; and (2) subjecting the first valve metal powder component and the reducing component to non-static conditions sufficient to simultaneously (i) agglomerate the first valve metal powder component particles, and (ii) reduce oxygen content in the valve metal powder component particles, and thereby form a second valve metal powder component containing oxygen-reduced valve metal particles, in which the reducing component is selected from the group consisting of magnesium reducing components, calcium reducing components, aluminum reducing components, lithium reducing components, barium reducing components, strontium, reducing components, and combinations thereof.
US08951324B2 Air filter medium
The objective of the present invention is to provide an air filter medium having a lower pressure drop and higher efficiency as compared to an air filter medium currently in use. This objective is achieved by providing an air filter medium characterized by comprising glass short fibers as its main fibers in which the constituent fibers are dispersed uniformly and, when the constituting fibers at a diluted concentration of 0.04% by mass are allowed to stand for 12 hours, the sedimentation volume is 450 cm3/g or greater.
US08951322B2 Filter fan
A filter fan of a switch cupboard or of an electrical and/or electronic device placed in a casing with a blast producing an air flow and with a filter element (3) which is placed in the air flow and separates a clean side, on which the blast is placed, from an unclean side, wherein the filter element is held in a frame which can be fixed on the casing by covering the air passage opening penetrating through the casing wall and wherein a protective grid (4) is placed between the filter element (3) and the blast, the invention proposes that a bridging-free distance is provided between the filter surface of the filter element (3) and the protective grid (4), wherein this distance is dimensioned so as to make possible the discharge of water drops on the clean side surface of the filter element and to avoid a contact of the water drops with the protective grid.
US08951321B2 Pulse jet air cleaner components; features; assemblies; and, methods
According to the present disclosure a pulse jet air cleaner components, features and assemblies are described, as well as methods of use. Preferred v-pack configurations, scavenge arrangements, pulse jet arrangements and filter cartridge retention arrangements, are shown and described, for example.
US08951315B2 Method of injecting fuel into a gasifier via pressurization
The present invention relates to an improved gasifier injection system. In particular, the present invention provides an improved apparatus and operating scheme to improve the control flow of solid particulates in a multi-burner gasifier. The system also provides for integrated flow control and emergency shutoff in the case of abnormal operating conditions or unexpected backflow of the process burners. This improved design simplifies the mechanical systems required for large multi-burner gasifiers thus providing a more reliable and compact gasifier feed system. In preferred embodiments, the gasifier hydrocarbon feed is substantially in a solid particulate state and is preferably comprised of coal, petrochemical coke, and/or solid biomass.
US08951314B2 Fuel feed system for a gasifier
A fuel feed system for use in a gasification system includes a feed preparation section, a pressurization and conveyance section, and a slag additive section. The feed preparation section is configured to grind the fuel to a predetermined size and to adjust the moisture content within the particulate fuel. The pressurization and conveyance section is coupled in flow communication with the feed preparation section, and includes at least one solids pump configured to receive a flow of the particulate fuel at a first pressure and discharge the particulate fuel at a second pressure. The slag additive section is configured to feed a slag additive mixture into the gasifier and to substantially control the total water content within the gasification system.
US08951308B2 Pyrolysis oil and other combustible compositions from microbial biomass
Oleaginous microbial biomass is subjected to pyrolysis to make microbial pyrolysis oil for use as a fuel or is otherwise formed into combustible products for the generation of heat and/or light.
US08951306B2 Film-type supercapacitor and manufacturing method thereof
The present invention relates to a film-type micro-supercapacitor and a manufacturing method thereof including a method for manufacturing an electrode film by using graphene or graphene oxide, a method for forming a two-dimensional electrode by separating a graphene or graphene oxide electrode film into two independent electrodes through patterning, a method for forming an in-plane structure of the two-dimensional electrode, a method for forming a current collector on an electrode, and a method for manufacturing a supercapacitor with a micrometer thickness by supplying an electrolyte to the two-dimensional electrode.
US08951304B2 Vacuum suction structure of ankle joint and support barrel of artificial limb
A vacuum suction structure of ankle joint and support barrel of artificial limb is connected, to the top thereof, with an artificial limb and the support barrel and is connected, to the bottom thereof, with an artificial foot. An ankle pressure cylinder forms therein an air chamber receiving therein an air chamber piston that forms therein a hydraulic fluid compartment. A hydraulic fluid supplementing cylinder and a one-way hydraulic fluid cylinder are arranged at one side of the ankle pressure cylinder and are connected via a connection piping system to the hydraulic fluid compartment. The one-way hydraulic fluid cylinder receives therein a push rod and the hydraulic fluid cylinder piston. When the structure is put into operation to allow a user to step forwards, the ankle pressure cylinder can provide both functions of hydraulic cushioning and air pressure regulation.
US08951295B2 Posterior spinal fastener
A posterior spinal fastener is disclosed for insertion into a vertebra of a mammalian body, the vertebra having posterior elements and a vertebral body. The fastener includes an elongate member adapted for insertion into the vertebra. The elongate body has an anterior portion and a posterior portion. The anterior portion is arcuate in shape for placement in the vertebral body. The posterior portion has a length so as to be accessible at the posterior elements of the vertebra when the anterior portion is disposed in the vertebral body. A method of use of the posterior spinal fastener is also disclosed, in which the posterior spinal fastener is introduced into the vertebra at the posterior elements and arcuately extended into the vertebral body.
US08951285B2 Tissue anchor, anchoring system and methods of using the same
A tissue anchor includes an anchor member formed from a generally flexible material. An activation member, which may be a tensioning member, causes proximal and distal end portions of the anchor member to move toward each other into a shortened configuration suitable for anchoring against the tissue. The tissue anchor can optionally be deployed and activated using a catheter device.
US08951283B2 Apparatus and methods for sealing a vascular puncture
Apparatus for sealing a puncture communicating with a blood vessel includes a porous carrier formed from lyophilized hydrogel or other material. The plug may include at least first and second hydrogel precursors and a pH adjusting agent carried by the porous carrier in an unreactive state prior to exposure to an aqueous physiological environment. Once exposed to bodily fluids, the carrier expands as the lyophilized material hydrates to enhance and facilitate rapid hemostasis of the puncture. When the plug is placed into the puncture, the natural wetting of the plug by bodily fluids (e.g., blood) causes the first and second precursors to react and cross-link into an adhesive or “sticky” hydrogel that aids in retaining the plug in place within the puncture.
US08951282B2 Extraluminal sealant applicator and method
An apparatus for applying sealant to a target tissue of a surgical site is provided. The apparatus includes a handle, conduit and an end effector. The handle has means configured and adapted for operating the end effector and dispensing biological sealant to the surgical site via the end effector. The conduit stores and/or carries sealant towards the end effector. The end effector is configured to clamp around a body organ or tissue and apply and confine biological sealant in a substantially uniform manner thereto.
US08951280B2 Cardiac valve procedure methods and devices
Devices and methods for performing intravascular procedures without cardiac bypass include embodiments of temporary filter devices, temporary valves, and prosthetic valves. The temporary filter devices have a cannula which provides access for surgical tools for effecting repair of cardiac valves. The cannula may have filters which prevent embolitic material from entering the coronary arteries and aorta. The valve devices may also have a cannula for insertion of the valve into the aorta. The valve devices expand in the aorta to occupy the entire flow path of the vessel and operate to prevent blood flow and to permit flow through the valve. The prosthetic valves include valve fixation devices which secure the prosthetic valve to the wall of the vessel. The prosthetic valves are introduced into the vascular system in a compressed state, advanced to the site of implantation, and expanded and secured to the vessel wall.
US08951279B2 Balloon catheter and method for manufacturing it
A balloon catheter includes a catheter tube and an inflatable balloon. The ends of the balloon are attached to the catheter tube. The outside surface of the balloon in an uninflated state is provided with a relief structure which in an inflated state of the balloon is substantially disappeared. A method for producing such a relief structure is by winding a wire helically around the outer surface of the balloon.
US08951278B2 Post carrier for body piercing instrument
Apparatuses and systems for ornamental piercing of body parts are disclosed. Various embodiments of the invention employ a post carrier which includes a cylindrical recess at a first end for holding an ornament of a post for piercing. A wall of the cylindrical recess of the post carrier further includes at least one wall recess for engaging a point on the ornament of the post. The at least one wall recess may include a spring finger extending from a side of the at least one wall recess applying a cantilever force to the point on the ornament engaged in the at least one wall recess for holding the post. The post carrier provides more consistent holding force of the post across typical manufacturing tolerance ranges to provide both secure engagement of the post for handling and piercing and later disengagement without discomfort to the user.
US08951277B2 Tamponade trocar device and method
A tamponade trocar includes an elongate balloon having a closed distal end and is adapted to expand from a small diameter to a large diameter. A cannula is positioned at a proximal portion within the balloon lumen. A rigid stylet is removably positioned within the balloon and cannula lumens. A distal end of the stylet supported balloon is inserted into a perforation in a body wall and advanced into a body cavity while the balloon is unexpanded. The balloon is expanded and the cannula is advanced to a distal portion of the balloon lumen and across the body wall. At this stage, the perforation is dilated and in compressive tamponade. The proximal portion of the balloon may be removed and a seal housing may be coupled to the proximal end of the cannula. The distal end of the balloon may be punctured and opened, making the trocar ready for use.
US08951276B2 Systems and methods for percutaneous intravascular access and guidewire placement
A device for allowing passage of a guidewire from a primary blood vessel to an adjacent secondary blood vessel includes a main body having a primary lumen and a secondary lumen, and a piercing member disposed in the secondary lumen, and configured to be moved distally out of the secondary lumen, and to pierce through tissue while being distally moved. A third lumen located within the piercing member is configured to allow placement of a guidewire from the primary blood vessel to the adjacent secondary blood vessel. In one embodiment, the secondary lumen is configured to allow articulation of the distal end of the piercing element. The piercing member has a sharp point on one end to facilitate cutting a small communicating aperture from the primary blood vessel to the secondary blood vessel.
US08951272B2 Seal arrangements for ultrasonically powered surgical instruments
In one general aspect, various embodiments are directed to ultrasonic surgical instruments that may be used in aqueous environments. The instruments may include cutting a member that is supported within a hollow sheath. Various seal arrangements are disclosed for establishing a substantially fluid-tight seal between the cutting member and the sheath.
US08951270B2 Surgical securement system and apparatus
A surgical securement apparatus for selectively securing one or more ends of a cord while allowing adjustments in the tension or a full release of the cord intermittently after a prolonged period of time. A surgical securement and marking system utilizing one or more surgical securement apparatus that are color-coded to convey information about the associated intercorporeal structures. The surgical securement apparatus can be particularly adapted for use in securing intracorporeal structures such as nerves, blood vessels, and tendons during a surgical procedure. An extender tube connected to the surgical securement apparatus enables securement of intracorporeal structures within the surgical site from outside the surgical site. A threading assembly for use with the surgical securement apparatus that is adapted to facilitate threading of a cord along the length of the surgical securement apparatus.
US08951269B2 Surgical suture apparatus having sewing function
The present invention relates to a surgical suture apparatus having a sewing function. In accordance with one aspect of the present invention, there is provided a surgical suture apparatus comprising: a coupling part, a shaft part connected to the coupling part, an operating part, and a suture part connected to the shaft part by way of the operating part, the suture part including a support, a surgical needle and a needle driving part, wherein the surgical needle operates in a direction substantially orthogonal to the support by the needle driving part, and a suture thread from the surgical needle and a suture thread from the support are entangled with each other by the operations.
US08951266B2 Methods and systems for modifying a parameter of an automated procedure
A variety of systems and methods are described which enable quantitative information to be extracted regarding automated procedures, including those performed at a high speed that may require a user input, without having to interrupt the procedure. In addition, these systems and methods serve to provide information on one or more parameters of the automated procedure, whereby they may be modified, if required, to improve the automated procedure or the results from such a procedure. The systems and methods provided are especially useful in automated hair transplantation procedures.
US08951264B2 Push-off driver and method for inserting bone screws
A method and device for inserting screws into a patient, such that when the device is removed the device does not stick to the screw. The device, being a push-off driver, may have a handle, an inner driver, and a threaded driver sleeve having a button mechanism and a plurality of spheres, and an inner sleeve. The method includes the steps of assembling a push-off driver attaching a bone screw to the push-off driver inserting the bone screw and detaching the bone screw from the driver by unthreading the threaded driver sleeve from the polyaxial screw which causes the spheres in the recess of the inner driver to back up against the start of the recess and causing the threaded driver sleeve to “push-off” the polyaxial screw from the inner driver.
US08951259B2 Patient selectable joint arthroplasty devices and surgical tools
Disclosed herein are methods, compositions and tools for repairing articular surfaces repair materials and for repairing an articular surface. The articular surface repairs are customizable or highly selectable by patient and geared toward providing optimal fit and function. The surgical tools are designed to be customizable or highly selectable by patient to increase the speed, accuracy and simplicity of performing total or partial arthroplasty.
US08951258B2 Spinal correction system and method
A surgical instrument comprises a first arm extending between a first end and a second end including a first pivot engageable with a first spinal construct disposed with a first vertebral surface. A second arm is connected with the first arm via a second pivot. The second arm extends between a first end and a second end including a third pivot engageable with a second spinal construct disposed with a second vertebral surface. The arms are relatively movable to rotate the first spinal construct relative to the first pivot and the second spinal construct relative to the third pivot such that the first vertebral surface is moved relative to the second vertebral surface. Systems and methods of use are disclosed.
US08951255B2 Method of treating spinal internal disk derangement
A method of treating a spinal disk according to the present invention can include inserting an alloplastic bulking agent into the spinal disk to treat the defect. The alloplastic bulking agent has a plurality of microparticles. The bulking agent results in at least one of sealing the defect, increasing a pressure of the disk, increasing a height of the disk, improving stability of the disk and improving structural integrity of the disk.
US08951252B2 External fixation system
An orthopedic fixation ring for an external fixator has a ring or a ring-segment extending around a center axis. The ring comprises a first surface running perpendicular to the center axis, a second surface which is parallel to the first surface, and a plurality of spaced apart through openings running parallel to the center axis and extending through the fixation plate. The fixation plate has at least a third surface which is parallel to the first surface spaced outwardly thereof with respect to the center axis. A further set of through openings extends from the first surface to the third surface. A fourth surface may be provided which is axially displaced from the second surface with respect to the center axis. The ring preferably is circular or part-circular and is molded from carbon fiber reinforced PEEK.
US08951248B2 Surgical generator for ultrasonic and electrosurgical devices
A surgical generator for providing a drive signal to a surgical device may have a receptacle assembly having a receptacle body, a flange and a central protruding portion. The outer periphery of the central protruding portion may have at least one curved section and at least one linear section. Additionally, a surgical instrument may comprise an electrical connector assembly having a flange. The flange may comprise at least one curved section and at least one linear section. In some embodiments, A surgical instrument system may comprise a surgical generator, a surgical instrument comprising a connector assembly, and an adapter assembly operatively coupled to the receptacle assembly and the connector assembly.
US08951247B2 Methods and apparatus for forming cardiac lesions and assessing lesion quality
Method and apparatus for treating conductive irregularities in the heart, particularly atrial fibrillation and accessory path arrythmias. An ablative catheter is positioned relative to an inter-atrial electrical pathway, or a vicinity of accessory paths such as the coronary sinus or fossa ovalis, and actuated to form a lesion that partially or completely blocks electrical conduction in at least one direction along the pathway. Method and apparatus for assessing lesion quality are also described.
US08951246B2 Cryosurgical device with a probe coupling formed from the socket and the plug of cryoprobes
A cryosurgical device, with a control for the supply and/or removal of a coolant gas to a cryoprobe via a return flow or a supply flow. The device has at least one socket for the attachment of a rigid cryoprobe and a flexible cryoprobe. The socket and the plugs of the cryoprobes each form probe couplings. The configuration of the cryosurgical device allows both rigid and flexible cryoprobes to be automatically connected to the appropriate return flow conduit, independently of the level of knowledge of operating personnel.
US08951244B2 Multi-spot laser probe
A multi-spot/multi-fiber laser probe is provided that includes a first adapter; a GRIN lens within the first adapter, the GRIN lens configured to receive a laser beam from a laser source at a proximal end of the GRIN lens and to relay the received laser beam towards a distal end of the GRIN lens; and a multi-fiber array having a proximal end configured to receive the relayed laser light. In addition, a multi-spot/single-fiber laser probe is provided that includes a cannula; an optical fiber positioned within the cannula; a diffractive beam splitter within the cannula; and a GRIN lens within the cannula and arranged between a distal end of the optical fiber and the diffractive beam splitter, wherein the diffractive beam splitter is configured to split a focused laser beam from the GRIN lens into multiple diffracted laser beams.
US08951243B2 Medical device handle
A medical device handle may include an elongated handle housing, a rotatable collar disposed about the handle housing, a rotatable control knob disposed about the collar, and a slidable door disposed about the collar. The door may be rotatably locked to the collar to prevent relative rotation between the door and the collar.
US08951242B2 Bacterium-based microrobot including magnetic particles
Provided is a bacterium-based microrobot, wherein bacteria are attached to a part of a surface of a microstructure including at least one or more magnetic particle, for actuating a bacterium-based microrobot more effectively.
US08951241B2 Prevention of bacterial adherence and growth in an urological implant
A medical device is disclosed, which comprises a functional unit (C) for permanent or temporary placement in the urogenital tract of a human or animal body. The functional unit has at least one electrically conducting portion. A power source (G) supplies a current to the electrically conducting portion after placement of the functional unit in the urogenital tract. In this manner, the growth of bacteria on the functional unit can be reduced.
US08951239B2 Disposable diaper
A disposable diaper has an absorbent body, an outer covering sheet and two end holding sheets, and both end portions of the absorbent body are fixed between the end holding sheets and the outer covering sheet. Since a turndown portion of an upper end portion of the end holding sheet is bonded on an upper end portion of a first covering sheet, a waist covering portion has structure where the first covering sheet is laminated on the two-layered end holding sheet. Therefore, a thickness of the outer covering sheet can be reduced, while maintaining strength of the waist covering portion by appropriately selecting material of the end holding sheet, thereby to easily improve softness of the disposable diaper. Since an upper end of a second covering sheet is positioned below the turndown portion, softness and breathability in an area between the turndown portion and the second covering sheet is increased.
US08951237B2 Layered adhesive construction with adhesive layers having different hydrocolloid composition
A layered adhesive construction comprising a backing layer and a first and second layer of hydrocolloid adhesive, where the first and second layer of hydrocolloid adhesive have different composition, and the second layer of hydrocolloid adhesive is at least partly interposed between the first layer of hydrocolloid adhesive and the backing layer, the first and second adhesive layers consisting of a continuous phase and a discontinuous phase where the discontinuous phase of the first adhesive layer comprises a hydrocolloids providing a higher moisture absorption capacity and higher initial rate of absorption to the adhesive layer than the hydrocolloids in the discontinuous phase of the second adhesive layer, and the discontinuous phase of second layer of adhesive comprises hydrocolloids providing a higher cohesion following moisture absorption to the adhesive compared to the hydrocolloid in the discontinuous phase of the first adhesive layer.
US08951234B2 Pharmaceutical dosages delivery system
Pharmaceutical delivery systems for delivering dosages according to the present invention include a carrier component and a cap configured to seal an internal volume of the carrier component, wherein the cap includes a device that produces a unique current signature. Dosages prepared to be delivered according to embodiments of the invention find use in a variety of different applications, including clinical trials.
US08951233B2 Needleless access connector and method of use
A positive-displacement needleless access connector is disclosed that has a housing with a first luer fitting at a proximal end thereof, a base with a second luer fitting at a distal end thereof, and a valve element with a proximal end that creates a seal at the first luer fitting and fastens to the base so that a surface of the valve element is substantially flush with a surface of the base.
US08951227B2 Device for the administration of injectable products with a controlled flow rate
A device is for the administration of injectable products with controlled flow rate, with a container (23) in the form of both a syringe and an ampoule preloaded with the injectable product, an injection port (25) and a control valve (21) for controlling the outlet rate and pressure arranged between the injectable products and the injection port (25). A device for reducing the outlet flow rate depends on the pressure increase applied during the administration of the injectable product, the administration being moderated by closing the valve (21) when the pressure exceeds a predetermined limit. A device prevents the backflow of fluids during the administration of the injectable product. The valve (21) can be positioned both in the container (23) and at an injection end (27) including the injection port (25) which is coupled to the container (23).
US08951226B2 Mediastinoscopy access, sampling, and visualization kit featuring toroidal balloons and exotracheal method of using
The present invention provides various systems, a kit, and a method for accessing, sampling within, and visualization of areas within the mediastinal cavity for assisting a surgeon in performing a mediastinoscopy procedure. The access system includes one or more preferably toroidal balloons that can be expanded to dilate and protect the inner walls of a bodily conduit. Instruments pass through hollow spaces within the expanded toroidal balloons. The proximally positioned balloons are expanded first and the unexpanded balloons to be positioned distally are passed through them and subsequently expanded. The sampling system includes an instrument with a rounded head having two or more jaws and a slit therein at the distal end of an elongated tubular body. The visualization system includes a 360° camera that can be positioned from proximal to distal a target site and can also do a U-turn about its axis of extension.
US08951224B2 Interventional catheter assemblies, control systems and operating methods
An interventional catheter assembly has an operating head and catheter system that are inserted and navigated within a patient's body while an operator controls the system externally of the operating head. An operating head is positioned at or near a distal end of the catheter system and coupled to a drive shaft and drive system for rotation. A guidewire brake control system interrupt prevents the drive system from being actuated when the guidewire brake is in a released position, and a selectable guidewire brake interrupt override control permits an operator to translate and/or rotate the drive shaft and operating head while the guidewire is simultaneously moved. This allows withdrawal of the guide wire and the operating head from the target site while rotating the operating head.
US08951218B2 Multi-path catheter
A multi-path catheter includes an elongate tubular member and a withdrawal pathway along which unfiltered fluid is withdrawn in a distal to proximal direction. The withdrawal pathway originates at a distal point and is at least partially defined by the elongate tubular member. The elongate tubular member also defines, at least partially, at least one infusion pathway along which filtered fluid is returned in a proximal to distal direction. The at least one infusion pathway extends distally beyond the withdrawal pathway. First and second legs of the elongate tubular member are positioned on opposing sides of the withdrawal pathway at the distal point, and the at least one infusion pathway is at least partially defined by one of the first and second legs. The first and second legs have outer walls that are unattached to one another at distal ends thereof.
US08951214B2 Battery pack with massage function
A battery pack with massage function includes a case, a battery cell, an electrical connector, a switch, a moving body, and an electrical actuator assembly. The case has an accommodation space and a through hole communicating the accommodation space. The battery cell is disposed in the accommodation space. The electrical connector is disposed on a surface of the case and is electrically connected to the battery cell. The electrical connector is used for connecting with an electrical receiver of an electronic device for supplying electrical power from the battery cell to the electronic device. The switch is electrically connected to the battery cell to be selectively switched to a close-circuit-state or an open-circuit-state. The moving body is capable of moving reciprocally via the through hole. The electrical actuator assembly is connected to the battery pack via the switch to generate a driving force to move the moving body reciprocally.
US08951213B2 Chest compression monitor with rotational sensing of compressions for discrimination of CPR movement from non-CPR movement
A chest compression monitor for measuring the depth of chest compressions achieved during CPR. A sensor of the chest compression monitor is disposed within its housing such that compression of the housing due to CPR compressions, and its resultant deformation, is detected by the sensor and used by the control system as the starting point for calculating chest compression depth based on an acceleration signal indicative of the downward displacement of the chest.
US08951211B2 Prosthetic limb monitoring system
Parameters related to use of a prosthesis by a patient with a limb amputation are monitored using a tool that includes one or more piezoelectric force sensors. The resulting data are processed for use both in short and long term management of amputee patients. The sensor is a small modular unit that fits within or between traditional prosthetic components, e.g., below a prosthesis socket. The data produced by the tool are collected, processed, and stored. Optionally, the data are periodically communicated to a remote site via a network, e.g., over the Internet. The device and associated software used to process the data can be used to characterize activities conducted by a prosthesis user, to determine pistoning or threatening interface stress distributions between the limb and socket, mal-alignment of the socket, use of improper components, and other possibly undesired conditions that the amputee patient using the prosthesis may be experiencing.
US08951210B2 Medical guidewire
Provided is a guidewire including a core shaft, an outer flexible tube, and an inner flexible tube that surrounds a distal end portion of the core shaft. The inner flexible tube is disposed in the outer flexible tube so that a distal end thereof is positioned between the distal end of the core shaft and a proximal end of the core shaft so as to be separated from the core shaft. A first joint is formed so as to join the distal end of the inner flexible tube to the core shaft. At least one second joint is formed so as to join the outer flexible tube to the inner flexible tube. The at least one second joint is positioned between the first joint and the proximal end of the core shaft.
US08951206B2 Methods and systems for screening and treatment of young infants demonstrating deficits in auditory processing
The present invention provides a method and apparatus for screening infants at high risk for central auditory processing deficits and then remediating less efficient processing behaviorally using an adaptive training algorithm that gradually increases sensitivity to rapidly occurring stimuli streams.
US08951204B2 Method for using a pulse oximetry signal to monitor blood pressure
A system for continuously monitoring the blood pressure of a patient over an extended time interval requires using a blood pressure measuring unit (e.g. a sphygmomanometer) to calibrate an oximeter. Specifically, the oximeter is used to continuously detect and measure amplitudes for each blood flow pulse of the patient. Periodically, the sphygmomanometer is used to measure blood pressures (systolic and diastolic) in an artery of the patient. Immediately after the measurement cycle is completed, a computer correlates the measured systolic pressure with the pulse amplitude that is detected by the oximeter. Thereafter, the pulse amplitudes that are detected by the oximeter are used as indications of variations in the systolic pressure during the extended time interval that follows.
US08951203B2 Measures of cardiac contractility variability during ischemia
Systems and methods include obtaining a measure of cardiac contractility. A cardiac contractility variability is determined from the measure of cardiac contractility. Analyzing the cardiac contractility variability, an indication of cardio-vasculature health is provided.
US08951201B2 Method and apparatus for performing transesophageal cardiovascular procedures
An apparatus for performing a transesophageal cardiovascular procedure includes an elongated tubular main access device having a first lumen with an open proximal end and a distal side opening, and a second lumen with a rigid outer wall and a collapsible inner wall. The second lumen is adapted to receive an elongated probe or surgical device. The apparatus further includes an inflatable sealing means on the outside of the main access device above and below the side opening, and a first fluid conduit extending along the main access device for inflating the sealing means so that when the main access device is inserted into a patient's esophagus and the sealing means are inflated. The portion of the esophagus opposite the side opening is isolated from the remainder of the esophagus above and below the side opening.
US08951197B2 Method of displaying elastic image and diagnostic ultrasound system
To carry out objective or definitive diagnosis on the basis of an elastic image regardless of experience and proficiency, a method of displaying an elastic image includes the steps of measuring ultrasound cross-section data of a cross-section region of a subject by applying pressuring to the subject, determining a physical value correlating with the elasticity of tissue in the cross-section region on the basis of the ultrasound cross-section data, generating an elastic image of the cross-section region on the basis of the physical value and displaying the elastic image on a display device, determine compression state information relating to the compression state of the cross-section region on the basis of the pressure applied to the subject, and displaying the compression state information together with the elastic image on the display device.
US08951193B2 Method of predicting acute cardiopulmonary events and survivability of a patient
A method of producing an artificial neural network capable of predicting the survivability of a patient, including: storing in an electronic database patient health data comprising a plurality of sets of data, each set having at least one of a first parameter relating to heart rate variability data and a second parameter relating to vital sign data, each set further having a third parameter relating to patient survivability; providing a network of nodes interconnected to form an artificial neural network, the nodes comprising a plurality of artificial neurons, each artificial neuron having at least one input with an associated weight; and training the artificial neural network using the patient health data such that the associated weight of the at least one input of each artificial neuron is adjusted in response to respective first, second and third parameters of different sets of data from the patient health data.
US08951182B2 Mitigation of secondary phase formation during waste vitrification
A method for vitrification of waste to reduce the formation of persistent secondary phases comprising separating at least one glass frit constituent from an initial glass frit to form a modified glass frit. The waste, modified glass frit, and the at least one glass frit constituent are mixed together with the modified glass frit and the at least one glass frit constituent being added as separate components. The resulting mixture is vitrified.
US08951181B2 Sound abating heat sink and motor housing
A centrifuge includes a rotor configured to receive sample containers; a drive shaft operatively coupled to the rotor; and a motor. The motor includes a housing; a plurality of substantially parallel fins integral with the housing, each fin having a free end spaced from the housing, wherein the free ends of the plurality of fins are disposed in a common cylindrical plane; and a plurality of substantially parallel grooves, each groove disposed between a pair of adjacent fins and having a groove depth defined by a distance between the common cylindrical plane and the housing. Wherein the plurality of grooves includes a first multitude of grooves having a common groove depth and a second multitude of grooves having a groove depth that is not the same as the common groove depth, wherein the first and second multitude of grooves are interleaved.
US08951179B2 Unit and method for feeding reels of a sheet-like material, in particular but not exclusively a printed plastic film with print-position marks for automatic packaging machines
A feeding unit from reels of sheet-like material , in particular but not exclusively printed plastic film with print-position marks for automatic packaging machines, comprising two unrolling shafts of respective reels of material for feeding in turn said material towards a unit that cuts and welds the tail of material of a running out reel and the head of a fresh, loaded reel. Such a unit comprising suction, cutting and welding devices (7, 8) movable so as to approach and move away from each other to press the material (F1, F2) over a welding plane. Each device is formed by a group of mutually stacked suction and cutting modules, at least two of such modules having respective guides for slidable in a transversal direction with the respect to the feeding direction of the material, whereby it is possible to select and adjust the number of modules according to a desired welding height.
US08951173B2 Exercise apparatus
An apparatus for exercising muscles of a user. At least one member has a dynamic mass distribution that changes according to an orientation of the apparatus. The orientation of the apparatus may be altered by the user so as to exercise the user's muscles.
US08951168B2 Programmable exercise bicycle
The invention pertains to a stationary exercise bike along with a display that provides instruction to lead a rider through an exercise program. The invention allows a rider to obtain benefits of a group, instructor-led class though the rider's schedule does not permit the rider to participate in the class. The invention also describes a method of exercising with the foregoing bike and display.
US08951167B2 Anti-drift mechanism for treadmill
An anti-drift mechanism for a treadmill having a chassis, a support frame, and endless belt is mounted between a bottom side of the support frame and a lower half part of the endless belt includes a guide member and a pressing axial member sleeved onto the guide member. The guide member is mounted to the chassis of the treadmill and movable longitudinally with respect to the endless belt in such a way that the pressing axial member can be driven by the guide member to oppress the endless belt so as to increase the pressure applied to the endless belt for the purpose of adjusting the drifting belt back to its normal operational position.
US08951153B2 Power transmission mechanism
The present power transmission mechanism comprises: a first rotation member mounted integrally rotatably on the rotation shaft of a drive apparatus; a second rotation member rotatable integrally with or relative to the first rotation member; an elastic member interposed between the first and second rotation members which, when the first and second rotation members rotate relative to each other, absorbs a rotation difference between them; and, a switching portion which includes a mass member mounted on one of the first and second rotation members and rotatable integrally therewith, and an energizing member for energizing the mass member in a direction where it can be contacted with the other of the first and second rotation members. In the switching portion, when the number of rotations of the rotation shaft is a given number of rotations or less, the mass member is contacted with the other rotation member due to the energizing force of the energizing member to generate a frictional force between their contacted surfaces, thereby preventing the relative rotation between the first and second rotation members. And, when the number of rotations of the rotation shaft exceeds a given number of rotations, due to a centrifugal force generated from the rotation of the rotation shaft, the mass member is shifted against the energizing force of the energizing member and is separated from the other rotation member, thereby allowing the relative rotation between the first and second rotation members through the elastic member.
US08951148B2 Golf ball
A core 4 of a golf ball 2 includes a center 10 and an envelope layer 12 positioned outside the center 10. The ratio of the volume of the core 4 to the volume of a phantom sphere of the golf ball 2 is equal to or greater than 78%. The difference (He−Ho) between a JIS-C hardness He at the surface of the core 4 and a JIS-C hardness Ho at the central point of the core 4 is equal to or greater than 15 but equal to or less than 40. The difference (H1−Ho) between a JIS-C hardness H1 at a point P1 that is located radially outward of the boundary between the center 10 and the envelope layer 12 and whose distance from the boundary is 1 mm and the JIS-C hardness Ho is equal to or greater than 0 but equal to or less than 2.
US08951145B2 Golf club head and removable weight
A golf club head and a removable weight that is received in a receptacle of the golf club head. The weight includes a cap and a slug. The cap is removably coupled to the receptacle and includes a recess that receives a portion of the slug so that the cap and slug are able to rotate relative to each other. The slug includes an anti-rotation feature that prevents relative rotation between the slug and the receptacle and a lead-in portion.
US08951144B2 Colorized damping indicators for customized golf club heads
The present invention is directed to a golf club having a playing characteristic that is communicated to a player based upon a vibration damping material that is colorized and visible from outside of the club head, thereby communicating to a golfer information about the playing characteristics of the club.
US08951141B2 Iron-type golf club set and iron-type golf club head set
A set of iron-type golf clubs and a set of iron-type golf club heads are disclosed. The loft angles of the heads are gradually increased from the lowest numbered golf club to the highest numbered golf club in the set. Given that a coefficient of inertia of the head is the ratio Ms/M of a moment of inertia Ms (gram sq·cm) of the head around a horizontal axis extending in a toe-heel direction of the head passing through the center of gravity of the head, to the mass M (g) of the head, the coefficient of inertia is gradually increased with the increase in the loft angle, and the difference of the coefficient of inertia of the head of the highest numbered club from that of the lowest numbered club is 0.3 or more.