Document | Document Title |
---|---|
US08674425B2 |
Semiconductor memory device and method of manufacturing the same
A semiconductor memory device includes a semiconductor substrate defining active regions partitioned by an isolation region, conductive lines spaced apart from each other and crossing the active regions over the semiconductor substrate, a thin film pattern formed on a top portion of the conductive lines having opening portions exposing part of the conductive lines in a width wider than a width of the conductive lines, an insulating layer filling the opening portions and formed over the thin film pattern, and an air gap formed between the conductive lines below the insulating layer and the thin film pattern. |
US08674421B2 |
Semiconductor device
The semiconductor device includes a first conductor formed over a semiconductor substrate; a first insulator formed over the first conductor; a second insulator formed over the first insulator, the second insulator having an etching characteristic different from an etching characteristic of the first insulator; a second conductor formed on the second insulator, the second conductor being in contact with the second insulator; a third insulator formed over the second conductor, the third insulator having an etching characteristic different from the etching characteristic of the second insulator; a first contact hole formed through the third insulator and the second conductor, the first contact hole reaching the second insulator; a third conductor formed in the first contact hole, wherein a side wall of the third conductor is electrically connected to a side wall of the second conductor; a second contact hole formed through the third insulator and the first insulator, the second contact hole reaching the first conductor; and a fourth conductor formed in the second contact hole, wherein the fourth conductor is electrically connected to the first conductor. |
US08674420B2 |
Semiconductor devices including buried gate electrodes and methods of forming semiconductor devices including buried gate electrodes
A semiconductor device, including a semiconductor substrate including isolations defining active regions of the semiconductor substrate, a plurality of buried gate electrodes extending below an upper surface of the semiconductor device, and a plurality of bit lines extending along a first direction over the semiconductor substrate, wherein the plurality of bit lines are connected to corresponding ones of the active regions of the semiconductor substrate, and at least a portion of the bit lines extend along a same and/or substantially same plane as an upper surface of the corresponding active region to which it is connected. |
US08674415B2 |
High frequency semiconductor switch
There is provided a high frequency semiconductor switch for improving insertion loss characteristics and harmonic characteristics by providing good voltage distribution in a gate wiring. The field effect transistor includes a source wiring electrically connected to a source region formed on a substrate and extending unidirectionally; a drain wiring electrically connected to a drain region formed on the substrate and extending in parallel with the source wiring; a gate having a parallel portion extending between the source wiring and the drain wiring in approximately parallel with the source wiring and the drain wiring; a gate wiring applying voltage to the gate; and a gate via electrically connecting the gate to the gate wiring, the parallel portion including two ends and formed with a path applying voltage to each of the two ends from the gate via. |
US08674414B2 |
Three-dimensional nonvolatile memory devices including interposed floating gates
Provided are three-dimensional nonvolatile memory devices and methods of fabricating the same. The memory devices include semiconductor pillars penetrating interlayer insulating layers and conductive layers alternately stacked on a substrate and electrically connected to the substrate and floating gates selectively interposed between the semiconductor pillars and the conductive layers. The floating gates are formed in recesses in the conductive layers. |
US08674412B2 |
Contacts-first self-aligned carbon nanotube transistor with gate-all-around
A method of fabricating a semiconducting device is disclosed. A carbon nanotube is deposited on a substrate of the semiconducting device. A first contact on the substrate over the carbon nanotube. A second contact on the substrate over the carbon nanotube, wherein the second contact is separated from the first contact by a gap. A portion of the substrate in the gap between the first contact and the second contact is removed. |
US08674404B2 |
Additional metal routing in semiconductor devices
Memory devices, such as DRAM memory devices, may include one or more metal layers above a local interconnect of the DRAM memory that make contact to lower gate regions of the memory device. As the size of semiconductor components decreases and circuit densities increase, the density of the metal routing in these upper metal layers becomes increasingly difficult to fabricate. By providing additional metal routing in the lower gate regions that may be coupled to the upper metal layers, the spacing requirements of the upper metal layers may be eased, while maintaining the size of the semiconductor device. In addition, the additional metal routing formed in the gate regions of the memory devices may be disposed parallel to other metal contacts in a strapping configuration, thus reducing a resistance of the metal contacts, such as buried digit lines of a DRAM memory cell. |
US08674400B2 |
Stress enhanced junction engineering for latchup SCR
A latchup silicon controlled rectifier (SCR) includes a p+ region and an n+ region located in a p-well of the latchup SCR; and a p+ region and an n+ region located in a n-well of the latchup SCR, wherein the latchup SCR further comprises one of embedded silicon germanium (eSiGe) in the p+ region in the n-well of the latchup SCR and silicon carbide (SiC) in the n+ region in the p-well of the latchup SCR. |
US08674398B2 |
Group III nitride semiconductor light emitting device and production method thereof, and lamp
There are provided a group III nitride semiconductor light emitting device which is constituted of a substrate, an intermediate layer formed thereon having a favorable level of orientation properties, and a group III nitride semiconductor formed thereon having a favorable level of crystallinity, and having excellent levels of light emitting properties and productivity; a production method thereof; and a lamp, the group III nitride semiconductor light emitting device configured so that at least an intermediate layer 12 composed of a group III nitride compound is laminated on a substrate 11, and an n-type semiconductor layer 14 having a base layer 14a, a light emitting layer 15 and a p-type semiconductor layer 16 are sequentially laminated on the intermediate layer 12, wherein when components are separated, based on a peak separation technique using an X-ray rocking curve of the intermediate layer 12, into a broad component having the full width at half maximum of 720 arcsec or more and a narrow component, a non-orientating component that corresponds to the broad component is included in a crystal organization of the intermediate layer 12, and a proportion of the non-orientating component within the crystal organization of the intermediate layer 12 is 30% or less in terms of an area ratio of the intermediate layer 12. |
US08674397B2 |
Sealing film forming method, sealing film forming device, and light-emitting device
A sealing film forming method is capable of forming a sealing film having high moisture permeability resistance in a shorter time and at lower cost. The sealing film forming method for forming a sealing film 13 that seals an EL device 12 includes forming a first inorganic layer 13a on a surface of the EL device 12; forming a hydrocarbon layer 13c on the first inorganic layer 13a; flattening the hydrocarbon layer 13c by softening or melting the hydrocarbon layer 13c; curing the hydrocarbon layer 13c; and forming a second inorganic layer 13e thicker than the first inorganic layer 13a on the hydrocarbon layer 13c after curing the hydrocarbon layer 13c. |
US08674396B1 |
Electrode pad structure of light emitting device
An electrode pad structure of a light emitting device includes an insulation layer, a first type electrode pad and at least one second type electrode pad. The light emitting device has a centerline and the light emitting device is divided into two equal blocks via the centerline. The first type electrode pad is disposed on the insulation layer and symmetrical to the centerline. The second type electrode pad is disposed on the insulation layer and symmetrical to the centerline. The first type electrode pad is coplanar with the second type electrode pad, and a portion of the insulation layer is exposed between the first type electrode pad and the second type electrode pad. |
US08674383B2 |
Solid state lighting device on a conductive substrate
A light emitting device includes a conductive substrate having a first substrate surface and comprising a conductive material, a protrusion formed on the conductive substrate, wherein the protrusion is defined in part by a first protrusion surface that is not parallel to the first substrate surface, and light emission layers disposed over the first protrusion surface. The light emission layers can emit light when an electric field is applied across the light emission layers. |
US08674381B2 |
Nitride semiconductor light emitting device
A nitride semiconductor light emitting device is provided with a substrate, an n-type nitride semiconductor layer, a p-type nitride semiconductor layer, an n-side pad electrode, a translucent electrode and a p-side pad electrode, wherein the translucent electrode is formed from an electrically conductive oxide, the n-side pad electrode adjoins the periphery of the translucent electrode and the p-side pad electrode is disposed so as to satisfy the following relationships: 0.3L≦X≦0.5L and 0.2L≦Y≦0.5L where X is the distance between ends of the p-side pad electrode and the n-side pad electrode, Y is the distance between the end of the p-side pad electrode and the periphery of the translucent electrode, L is the length of the translucent electrode on the line connecting the centroids of the p-side pad electrode and the n-side pad electrode minus the outer diameter d of the p-side pad electrode. |
US08674380B2 |
Light emitting device having plural light emitting diodes and plural phosphors for emitting different wavelengths of light
The present invention provides a light emitting device, comprising a first light emitting diode for emitting light in an ultraviolet wavelength region; at least one phosphor arranged around the first light emitting diode and excited by the light emitted from the first light emitting diode to emit light having a peak wavelength longer than the wavelength of the light emitted from the first light emitting diode; and at least one second light emitting diode for emitting light having a wavelength different from the peak wavelength of the light emitted from the phosphor. |
US08674378B1 |
Light emitting diode
A Light emitting diode (LED) includes a substrate, a LED chip, a wavelength conversion layer, a lens and a reflective layer. The LED chip is mounted on the substrate. The wavelength conversion layer covers the top surface of the LED chip and exposes the lateral surface of the LED chip. The lens is disposed on the substrate and encloses the LED chip and the wavelength conversion layer. The reflective layer is disposed on the lens for reflecting the light emitted from the lateral surface of the LED chip. |
US08674376B2 |
LED package structure
An LED package structure with standby bonding pads for increasing wire-bonding yield includes a substrate unit, a light-emitting unit, a conductive wire unit and a package unit. The substrate unit has a substrate body and a plurality of positive pads and negative pads. The light-emitting unit has a plurality of LED bare chips. The positive electrode of each LED bare chip corresponds to at least two of the positive pads, and the negative electrode of each LED bare chip corresponds to at least two of the negative pads. Each wire is electrically connected between the positive electrode of the LED bare chip and one of the at least two positive pads or between the negative electrode of the LED bare chip and one of the at least two negative pads. The package unit has a light-permitting package resin body on the substrate body to cover the LED bare chips. |
US08674375B2 |
Roughened high refractive index layer/LED for high light extraction
A light emitting diode (LED) includes a p-type layer of material, an n-type layer of material and an active layer between the p-type layer and the n-type layer. A roughened layer of transparent material is adjacent one of the p-type layer of material and the n-type layer of material. The roughened layer of transparent material has a refractive index close to or substantially the same as the refractive index of the material adjacent the layer of transparent material, and may be a transparent oxide material or a transparent conducting material. An additional layer of conductive material may be between the roughened layer and the n-type or p-type layer. |
US08674373B2 |
Solid state gas dissociating device, solid state sensor, and solid state transformer
A solid state energy conversion device and method of making is disclosed for converting energy between electromagnetic and electrical energy. The solid state energy conversion device comprises a wide bandgap semiconductor material having a first doped region. A thermal energy beam is directed onto the first doped region of the wide bandgap semiconductor material in the presence of a doping gas for converting a portion of the first doped region into a second doped region in the wide bandgap semiconductor material. In one embodiment, the solid state energy conversion device operates as a light emitting device. In another embodiment, the solid state energy conversion device operates as a photovoltaic device. |
US08674371B2 |
Display device
The protective circuit is formed using a non-linear element which includes a gate insulating film covering a gate electrode; a first wiring layer and a second wiring layer which are over the gate insulating film and whose end portions overlap with the gate electrode; and an oxide semiconductor layer which is over the gate electrode and in contact with the gate insulating film and the end portions of the first wiring layer and the second wiring layer. The gate electrode of the non-linear element and a scan line or a signal line is included in a wiring, the first or second wiring layer of the non-linear element is directly connected to the wiring so as to apply the potential of the gate electrode. |
US08674368B2 |
Display device and method for manufacturing thereof
An object is to provide a system-on-panel display device including a display portion and a peripheral circuit for controlling display on the display portion over one substrate, which can operate more accurately. The display device has a display portion provided with a pixel portion including a plurality of pixels and a peripheral circuit portion for controlling display on the display portion, which are provided over a substrate. Each of the display portion and the peripheral circuit portion includes a plurality of transistors. For semiconductor layers of the transistors, single crystal semiconductor materials are used. |
US08674366B2 |
Display device and manufacturing method of display device
According to one feature of the present invention, a display device is manufactured according to the steps of forming a semiconductor layer; forming a gate insulating layer over the semiconductor layer; forming a gate electrode layer over the gate insulating layer; forming source and drain electrode layers in contact with the semiconductor layer; forming a first electrode layer electrically connected to the source or drain electrode layer; forming an inorganic insulating layer over part of the first electrode layer, the gate electrode layer, the source electrode layer, and the drain electrode layer; subjecting the inorganic insulating layer and the first electrode layer to plasma treatment; forming an electroluminescent layer over the inorganic insulating layer and the first electrode layer which are subjected to plasma treatment; and forming a second electrode layer over the electroluminescent layer. |
US08674364B2 |
Peeling method and method of manufacturing semiconductor device
There is provided a peeling method capable of preventing a damage to a layer to be peeled. Thus, not only a layer to be peeled having a small area but also a layer to be peeled having a large area can be peeled over the entire surface at a high yield. Processing for partially reducing contact property between a first material layer (11) and a second material layer (12) (laser light irradiation, pressure application, or the like) is performed before peeling, and then peeling is conducted by physical means. Therefore, sufficient separation can be easily conducted in an inner portion of the second material layer (12) or an interface thereof. |
US08674360B2 |
Semiconductor device having first gate electrode and second gate electrode
A separation layer is formed over a substrate, an insulating film 107 is formed over the separation layer, a bottom gate insulating film 103 is formed over the insulating film 107, an amorphous semiconductor film is formed over the bottom gate insulating film 103, the amorphous semiconductor film is crystallized to form a crystalline semiconductor film over the bottom gate insulating film 103, a top gate insulating film 105 is formed over the crystalline semiconductor film, top gate electrodes 106a and 106b are formed over the top gate insulating film 105, the separation layer is separated from the insulating film 107, the insulating film 107 is processed to expose the bottom gate insulating film 103, and bottom gate electrodes 115a and 115b in contact with exposed the gate insulating film 103 are formed. |
US08674359B2 |
TFT, array substrate for display apparatus including TFT, and methods of manufacturing TFT and array substrate
A thin film transistor (TFT), an array substrate including the TFT, and methods of manufacturing the TFT and the array substrate. The TFT includes an active layer, and a metal member that corresponds to a portion of each of the source region and the drain region of the active layer, and is arranged on the active layer, a portion of the metal member contacts the source and drain regions of the active layer and the source and drain electrodes, and portions of the active layer that corresponds to portions below the metal member of the active layer are not doped. |
US08674358B2 |
Radiation detecting element and radiation detecting device
There has been such a problem that radiation detecting elements using semiconductor elements have a low radiation detection efficiency, since the radiation detecting elements easily transmit radiation, even though the radiation detecting elements have merits, such as small dimensions and light weight. Disclosed are a radiation detecting element and a radiation detecting device, wherein a film formed of a metal, such as tungsten, is formed on the radiation incident surface of the radiation detecting element, and the incident energy of the radiation is attenuated. The efficiency of generating carriers by way of radiation incidence is improved by attenuating the incident energy, the thickness of the metal film is optimized, and the radiation detection efficiency is improved. |
US08674350B2 |
Thin film transistor of display panel and method of making the same
A thin film transistor (TFT) includes a gate electrode, a gate insulating layer, a first protective pattern, a second protective pattern, a source electrode, a drain electrode, a semiconductor channel layer, and a passivation layer. The first protective pattern and the second protective pattern are disposed on the gate insulating layer above the gate electrode. The source electrode is disposed on the gate insulating layer and the first protective pattern. The drain electrode is disposed on the gate insulating layer and the second protective pattern. The semiconductor channel layer is disposed on the gate insulating layer, the source electrode, and the drain electrode. In an extending direction from the source electrode to the drain electrode, a length of the first protective pattern is shorter than that of the source electrode, and a length of the second protective pattern is shorter than that of the drain electrode. |
US08674348B2 |
Organic light emitting element and display device using the element
A hole transporting region made of a hole transporting material, an electron transporting region made of an electron transporting material, and a mixed region (light emitting region) in which both the hole transporting material and the electron transporting material are mixed and which is doped with a triplet light emitting material for red color are provided in an organic compound film, whereby interfaces between respective layers which exist in a conventional lamination structure are eliminated, and respective functions of hole transportation, electron transportation, and light emission are exhibited. In accordance with the above-mentioned method, the organic light emitting element for red color can be obtained in which power consumption is low and a life thereof is long. Thus, the display device and the electric device are manufactured by using the organic light emitting element. |
US08674346B2 |
Organic light-emitting display device and method of manufacturing the same
A design for an organic light-emitting display device that increases capacitor capacity and increases aperture ratio by forming an initializing voltage electrode on a different layer than an electrode of the capacitor and forming only one via hole for an entire set of three sub-pixels. One of the source electrodes and the drain electrodes of switching transistors for the three sub-pixels are formed in common, along with the gate electrodes of the switching transistors. |
US08674345B2 |
Organic light emitting diode display and manufacturing method thereof
An organic light emitting diode display includes: a substrate; a display device formed on the substrate, and including a common power line and a common electrode; a sealing substrate attached to the substrate by a junction layer surrounding the display device, the sealing substrate sealing the display device with the substrate; a first conductor formed over an outer side, a lateral side, and an inner side of the sealing substrate, the first conductor being for supplying a first electrical signal to the common power line; a second conductor formed on the inner side, the lateral side, and the outer side of the sealing substrate, the second conductor being for supplying a second electrical signal to the common electrode; and a plurality of arranging members formed into the sealing substrate, the first conductor, and the second conductor, the arranging members being for arranging positions of the sealing substrate, the first conductor, and the second conductor. |
US08674339B2 |
Light-emitting devices and methods of manufacturing the same
Light-emitting devices (LED) and methods of manufacturing the same. A LED includes a first type semiconductor layer, a nano array layer that includes a plurality of nano structures each including a first type semiconductor nano core selectively grown from the first type semiconductor layer, and an active layer and a second type semiconductor layer sequentially grown from a side surface of the first type semiconductor nano core, and that is formed in a selective growth region formed in a surface of the first type semiconductor layer, a first electrode layer that is formed to be used when a voltage is applied to the first type semiconductor layer and formed in a predetermined pattern connecting regions that do not correspond to the selective growth region in the first type semiconductor layer, a second electrode layer formed to be used when a voltage is applied to the second type semiconductor layer on the plurality of nano structures, and an insulating layer formed between the first electrode layer and the second electrode layer so that the first electrode layer is insulated from the second electrode layer. |
US08674337B2 |
Gallium nitride based light emitting diode and fabrication method thereof
A light emitting diode (LED) and a method for fabricating the same, capable of improving brightness by forming a InGaN layer having a low concentration of indium, and whose lattice constant is similar to that of an active layer of the LED, is provided. The LED includes: a buffer layer disposed on a sapphire substrate; a GaN layer disposed on the buffer layer; a doped GaN layer disposed on the GaN layer; a GaN layer having indium disposed on the GaN layer; an active layer disposed on the GaN layer having indium; and a P-type GaN disposed on the active layer. Here, an empirical formula of the GaN layer having indium is given by In(x)Ga(1−x)N and a range of x is given by 0 |
US08674336B2 |
Non-volatile resistive oxide memory cells, non-volatile resistive oxide memory arrays, and methods of forming non-volatile resistive oxide memory cells and memory arrays
A method of forming a non-volatile resistive oxide memory cell includes forming a first conductive electrode of the memory cell as part of a substrate. Insulative material is deposited over the first electrode. An opening is formed into the insulative material over the first electrode. The opening includes sidewalls and a base. The opening sidewalls and base are lined with a multi-resistive state layer comprising multi-resistive state metal oxide-comprising material which less than fills the opening. A second conductive electrode of the memory cell is formed within the opening laterally inward of the multi-resistive state layer lining the sidewalls and elevationally over the multi-resistive state layer lining the base. Other aspects and implementations are contemplated. |
US08674335B2 |
Memory component and memory device
A memory component includes: a first electrode; a memory layer; and a second electrode in this order, wherein the memory layer includes a high resistance layer which includes tellurium (Te) as the chief component among anion components and is formed on the first electrode side; and an ion source layer which includes at least one kind of metal element and at least one kind of chalcogen element among tellurium (Te), sulfur (S) and selenium (Se) and is formed on the second electrode side. |
US08674334B2 |
Memory elements using self-aligned phase change material layers and methods of manufacturing same
A memory element and method of forming the same. The memory element includes a substrate supporting a first electrode, a dielectric layer over the first electrode having a via exposing a portion of the first electrode, a phase change material layer formed over sidewalls of the via and contacting the exposed portion of the first electrode, insulating material formed over the phase change material layer and a second electrode formed over the insulating material and contacting the phase change material layer. |
US08674327B1 |
Systems and methods for uniformly implanting materials on substrates using directed magnetic fields
Systems and methods for uniformly implanting materials on substrates using directed magnetic fields are provided. One such system includes a chamber configured to receive a preselected material and to enclose a first substrate, first and second rotating assemblies configured to facilitate an implantation of the preselected material onto first and second surfaces of the first substrate and including first and second rotating magnet sub-assemblies configured to direct magnetic fields onto the first and second surfaces, and an RF energizer configured to apply RF energy to the first substrate, where the first magnetic field and the second magnetic field combine to form a resultant magnetic field that is substantially parallel along the first surface, and where the implantation of the preselected material onto the first substrate occurs based on a combination of the RF energy and the resultant magnetic field. |
US08674325B2 |
Method and device for the quantitative real time analysis of fluorescent samples
A method for the quantitative real time analysis of fluorescent samples is provided, at which each of the samples is excited to fluoresce by a sample individual light source (12) and the intensity of the light which is emitted by the samples is measured. For a highly precise measurement of even low light intensities for the purpose of reduction of the analysis time, each light source (12) is switched on and off-during a defined interval by a clocked pulse sequence of constant pulse frequency alternately. The measurement of the intensity of the emission light during these intervals is exclusively performed during the switch-on phases of the light, source (12). |
US08674317B2 |
Sample surface inspection apparatus and method
The present invention provides a surface inspection method and apparatus for inspecting a surface of a sample, in which a resistive film is coated on the surface, and a beam is irradiated to the surface having the resistive film coated thereon, to thereby conduct inspection of the surface of the sample. In the surface inspection method of the present invention, a resistive film having an arbitrarily determined thickness t1 is first coated on a surface of a sample. Thereafter, a part of the resistive film having the arbitrarily determined thickness t1 is dissolved in a solvent, to thereby reduce the thickness of the resistive film to a desired level. This enables precise control of a value of resistance of the resistive film and suppresses distortion of an image to be detected. |
US08674315B2 |
Method and apparatus for using image cumulative distribution function for tomographic reconstruction quality control
Methods and apparatuses for quality control in image space for processing with an input data set are disclosed. A method includes providing an image object, including multiple voxels, and an input data set. A data model is determined from the image object. A cumulative distribution function (CDF) for the input data set is determined from the data model and the input data set based on a plurality of projections. The CDF is transformed to an image cumulative distribution function (ICDF) in object space. The ICDF represents a number of standard deviations associated with each voxel of the image object. The output of the ICDF is displayed. A nuclear imaging system and a computer readable storage medium are also disclosed. Techniques disclosed herein facilitate efficient quality control for tomographic image reconstruction. |
US08674306B2 |
Gas sensing system employing raman scattering
A gas detection system includes a light detector, a pump laser with spectral emission between UV and IR wavelengths and structured to generate a laser beam, a hollow waveguide structured to receive a sample gas, the hollow waveguide having a bandwidth sufficient to transmit the laser beam and Stokes Raman photons scattered by the sample gas, and an optical system. The optical system is structured to: (i) direct the laser beam into the hollow waveguide such that it propagates in the hollow waveguide in one or more low-order low-loss waveguide modes, and (ii) direct Raman signals generated within the hollow waveguide in response to the laser beam interacting with the sample gas toward the light detector, the Raman signal including the Stokes Raman photons. |
US08674304B2 |
Plasma diagnostic method using terahertz-wave-enhanced fluorescence
Methods and systems for characterizing a plasma with radiation, particularly, terahertz (THz) radiation, are disclosed. The disclosed method of characterizing a plasma includes directing THz radiation into the plasma; and detecting an emission due to interaction of the THz radiation with the plasma to characterize the plasma. A disclosed plasma characterizing device includes a means for directing THz radiation into a plasma; and a detector adapted to detect an emission emitted by the plasma due to interaction of the THz radiation with the plasma to characterize the plasma. A plasma characterizing system is also disclosed. The emission detected may be a fluorescence, a variation in fluorescence and/or an acoustic emission. |
US08674302B2 |
Superconducting transition edge sensors and methods for design and manufacture thereof
Methods for forming sensors using transition edge sensors (TES) and sensors therefrom are described. The method includes forming a plurality of sensor arrays includes at least one TES device. The TES device includes a TES device body, a first superconducting lead contacting a first portion of the TES device body, and a second superconducting lead contacting of a second portion of the TES device body, where the first and second superconducting leads separated on the TES device body by a lead spacing. The lead spacing can be selected to be different for at least two of the plurality of sensor arrays. The method also includes determining a transition temperature for each of the plurality of sensor arrays and generating a signal responsive to detecting a change in the electrical characteristics of one of the plurality of sensor arrays meeting a transition temperature criterion. |
US08674301B2 |
Magnifying observation apparatus
Work to obtain an optical and an electron microscope images at an identical display size is facilitated. A magnifying observation apparatus includes: an electron beam imaging device that obtains an electron microscope image in a chamber; an optical imaging device that obtains an optical image in the chamber; a moving device that moves the both devices such that an optical axis direction of one of the both devices is aligned with an optical axis direction of the other device; a display section that displays the electron microscope and the optical images; and a magnifying power conversion section that recognizes a magnifying power of an image obtained by one of the imaging devices and converts the magnifying power, which is used to obtain an image having a display size substantially identical to that of the image, by the other device into a magnifying power on a basis of the other device. |
US08674299B2 |
Mass spectrometric system
A mass spectrometric device of the present invention includes a quadrupole filter (12) located upstream of a quadrupole ion trap (13) and configured to transmit ions in a predetermined filter range, and determines the filter range of the quadrupole filter (12) such that accumulation time for the ions in the quadrupole ion trap (13) is maximized. The accumulation time for the ions is determined based on mass spectrometry data information. With this configuration, the present invention produces advantageous effects of improving analysis throughput and an S/N ratio in an analysis of a minor sample component mixed in various accompanying components by using the mass spectrometric device using the quadrupole ion trap. |
US08674298B2 |
Quadrupole mass spectrometer
A quadrupole mass spectrometer for use in analyzing gas components in a test piece is made in a constitution in which resistance heating of a grid is materialized, and in which a high-accuracy analysis of the gas components is possible at a low cost while preventing the sensitivity from lowering. A quadrupole mass spectrometer is provided with a sensor section which can be detachably fitted to the test piece. Supposing that the direction of fitting the sensor section to the test piece is in an upward direction, the sensor section is provided with: a predetermined shape of supporting body which is provided at a lower end of the sensor section; an ion source which is provided on the supporting body and which has a filament and a grid for ionizing the gas; a quadrupole section which is provided on the ion source and in which four columnar electrodes are disposed at a predetermined circumferential distance from one another; and an ion detection section which is disposed on the quadrupole section and which collects predetermined ions that have passed through the quadrupole section by applying DC and AC voltages between opposite electrodes. |
US08674293B2 |
Multireflection time-of-flight mass spectrometer
A method of reflecting ions in a multireflection time of flight mass spectrometer is disclosed. The method includes guiding ions toward an ion mirror having multiple electrodes, and applying a voltage to the ion mirror electrodes to create an electric field that causes the mean trajectory of the ions to intersect a plane of symmetry of the ion mirror and to exit the ion mirror, wherein the ion are spatially focussed by the mirror to a first location and temporally focused to a second location different from the first location. Apparatus for carrying out the method is also disclosed. |
US08674290B2 |
Method for monitoring or tracing operations in well boreholes
The present invention relates to novel methods for monitoring or tracing a job operation performed in a borehole, such as well boreholes traversing a geological formation. In one embodiment, the novel methods of the invention comprise the steps of: (a) disposing into the borehole a neutron absorber during the performance of the job operation; (b) logging the borehole with an instrument capable of measuring a neutron capture in and around the borehole after performance of the job operation; and (c) monitoring or tracing the job operation performed in the borehole by comparing the measured neutron capture with a baseline neutron capture in and around the borehole. The methods of the present invention pose small or no risk from a health safety and environment perspective and are useful for monitoring or tracing hydraulic fracturing, cementing operation in well boreholes, production logging or subsurface location of downhole collars, float shoes and other jewellry. |
US08674288B2 |
Motor assembly sensor capture systems and methods
A motor may be configured to drive a drive shaft and an engagement member supported on the drive shaft. A detectable feature comprising a rotary member may be supported on the drive shaft such that movement of the drive shaft by the motor changes a state of the detectable feature. At least one sensor may be arranged to detect the state of the detectable feature. Circuitry may be configured to provide a signal in response to a change in the state of the detectable feature detected by the at least one sensor. |
US08674287B2 |
Encoder, optical module and servo system including plural light receiving elements having respective shapes with respect to a point light source
An encoder includes a slit array along a first measurement axis, and an optical module capable of relatively moving with respect to the slit array. The optical module comprises a point light source that irradiates the part of the slit array, and a light receiving array comprising a plurality of light receiving elements along a second measurement axis and arranged in positions offset with respect to the point light source. The light receiving elements is receiving light irradiated from the point light source and reflected from the reflection slit. The plurality of light receiving elements comprises respective shapes such that the light receiving element nearer to the point light source comprises a shorter length in a width direction and end parts on an opposite side of the light receiving element with respect to the point light source are arranged side by side in positions along the second measurement axis. |
US08674282B2 |
Pumped pinned photodiode pixel array
The present invention relates to a pumped pixel that includes a first photo-diode accumulating charge in response to impinging photons, a second photo-diode and a floating diffusion positioned on a substrate of the pixel. The pixel also includes a charge barrier positioned on the substrate between the first photo-diode and the second photo-diode, where the charge barrier temporarily blocks charge transfer between the first photo-diode and the second photo-diode. Also included is a pump gate positioned on the substrate adjacent to the charge barrier. The pump gate pumps the accumulated charge from the first photo-diode to the second photo-diode through the charge barrier in response to a pump voltage applied by a controller. Also included is a transfer gate positioned on the substrate between the second photo-diode and the floating diffusion. The transfer gate transfers the pumped charge from the second photo-diode to the floating diffusion in response to a transfer voltage applied by a controller. |
US08674265B2 |
Stretch-broken carbon fiber yarns for a heating device
A heating device is formed from an electrically conducting, flexible resistance material of carbon fibers arranged between at least two electrodes provided for electrical contacting. The carbon fibers are stretch-broken carbon fiber yarns with a titer of 30 tex to 800 tex and a resistivity of 100 to 600 Ω/m. |
US08674262B2 |
Method of depositing electrically conductive electrode material onto the surface of an electrically conductive work piece
The present invention is a device for coating surfaces of metallic work pieces with an electrically conductive material by employing short duration high current packets of pulses in which the work piece forms the cathode and the consumable coating material forms the anode, which are connected to a generator for generating pulses by charging and discharging a bank of capacitors using a MOSFET. The invention is also a device for controlling the on and off time of a metal oxide semiconductor field effect transistor (MOSFET). |
US08674256B2 |
Hybrid shield device for a plasma arc torch
A shield device for a plasma arc torch includes an inner shield member defining an inner auxiliary gas chamber and an outer shield member surrounding the inner shield member. An outer auxiliary gas chamber is defined between the inner shield member and outer shield member. The shield device allows an auxiliary gas flow to be split into a first flow of auxiliary gas through the inner auxiliary gas chamber and a second flow of auxiliary gas through the outer auxiliary gas chamber. The inner shield member and the outer shield member are configured to be mounted to the plasma arc torch as an integral unit. |
US08674251B2 |
Single side touch panel structure and manufacturing method thereof
A single side touch panel structure is provided, which includes a substrate, and a mask layer, a first transparent conducting layer, an insulating layer, and a second transparent conducting layer, wherein the mask layer, the first transparent conducting layer, the insulating layer, and the second transparent conducting layer are from bottom to top sequentially formed on the substrate. The first transparent conducting layer has a plurality of the first patterns, and the second transparent conducting layer has a plurality of the second patterns. The first patterns are arranged in series along a first direction. The second patterns are arranged in series along a second direction that intersects the first direction at an angle. The first and second patterns cross each other, and are separated from each other by the insulating layer. Therefore, a plurality of capacitors are formed at the intersections between the first and second patterns. |
US08674248B2 |
Input key and manufacturing method thereof
A key includes a base and a top cover. The base is made of a non-plated first plastic material. The base defines a through hole in a center portion, and a plurality of receiving portions surrounding and communicating with the through hole. The top cover is made of plated second plastic material. The top cover forms a plurality of connecting portions received in the receiving portions of the base The top cover is covered with a metal plating layer. A method of manufacturing a key is also provided. |
US08674246B2 |
Switch assembly of a cooking range
A control switch for a cooking range includes a mounting plate configured to be mounted in a control panel of the cooking range and a snap lock defined in the mounting plate. The snap lock includes a catch that orients the control switch in the control panel. The mounting plate also includes a keyed tab shaped to match the profile of the catch of the snap lock. |
US08674239B2 |
Feedthrough conductor for electronic components
The present disclosure relates to feedthrough contacts for electronic components of the type used in implantable stimulators such as, for example, cardiac pacemakers, ICDs, CRT-Ds, and/or neurostimulators. A feedthrough conductor includes a metallic electrode, wherein a part of the metallic electrode (2) has a locally enlarged diameter in the region of the passage through an opening (3) in the component housing (4). The ridge (5) formed as a result exerts pressure onto the elastic sealing material (6) disposed around the electrode (2) in a tubular shape, thereby producing a hermetic seal between the electrode surface and the sealing material (6), and between the sealing material (6) and the wall (4) of the housing opening (3). The feedthrough conductor is sealed using an adhesive material (7) on the outer side of the electronic component. |
US08674237B1 |
Implementing press-lock EMC gasket with absorber
A method and structures are provided for implementing press-lock electromagnetic compatibility (EMC) gaskets. A gasket includes a plurality of fasteners received through a plurality of corresponding mounting apertures in a perforated support surface for precise placement, and retention without adhesives. The corresponding mounting apertures have a diameter smaller than 1/20th of a wavelength of the highest frequency to be suppressed to remain independent of the shielding required. The gasket defines a cavity receiving compliant foam, and includes an absorber. |
US08674234B2 |
Multilayer ceramic capacitor mounting structure and multilayer ceramic capacitor
A multilayer ceramic capacitor has an element body formed by alternately laminating a plurality of dielectric layers and a plurality of inner electrodes. On a substrate having a mounting surface provided with at least two lands, the multilayer ceramic capacitor is mounted such that the inner electrodes are parallel to the mounting surface. A multilayer ceramic capacitor mounting structure satisfies Tf/T>0.1 and 300≦εr≦2800, where T is the height of the multilayer ceramic capacitor, Tf is the outer covering thickness of the element body, and εr is the relative permittivity of the dielectric layers, and 0.6≦Wp/W≦1.0, where W is the width of the multilayer ceramic capacitor, and Wp is the size of the land in a direction corresponding to the width of the multilayer ceramic capacitor. |
US08674232B2 |
Device-embedded flexible printed circuit board and manufacturing method thereof
A device-embedded flexible printed circuit board (FPCB) and a method of manufacturing the device-embedded FPCB are provided. The device-embedded FPCB includes: a first conductive layer; a first insulating layer which is disposed on the first conductive layer and includes at least one bump hole and at least one groove; a first plating layer which is formed in the at least one groove of the first insulating layer; and a device which includes at least one bump which is inserted into the at least one bump hole to be connected to the first conductive layer. |
US08674231B2 |
Endoscope
Provided is an endoscope in which the work of connecting cables to a circuit board in the distal end of an insertion part can be easily performed. When a bundle-wire cable obtained by bundling a plurality of single-wire cables and a plurality of coaxial cables are connected to a circuit board arranged at the distal end of an insertion part, terminals that connect cores of the single-wire cables, terminals that connect cores of the coaxial cables, terminals that connect shields of the coaxial cables, and terminals that connect the shield of the bundle-wire cable are arranged in tandem at predetermined intervals on the common plane of the circuit board. |
US08674230B2 |
Wave gripping core sleeve
A wave gripping core sleeve for containing compression or crimping energy in bare conductor transmission power lines in full tension joints or dead end joints. The wave gripping core sleeve includes a plurality of interlocking members having a first end and a second end with a groove adjacent at least one of the ends. The first side of each interlocking member is engaged with the second side of an adjacent interlocking member. At least one resilient member wraps around the circumference of the interlocking members and is received in a channel formed by the aligned grooves. The interlocking members include a first set of ribs to engage the conductor in a first direction and a second set of ribs to engage the conductor in a second direction, opposite from the first direction. |
US08674218B2 |
Restraint system for an energy storage device
A system is employed to mount an electronic component on a shelf. A first restraining device is associated with a support structure in a first location. The first restraining device is configured to operably engage a mating piece associated with the electronic component. The support structure is configured to guide an electronic component along an axis of insertion when introduced to the shelf. A second restraining device is associated with the support structure in a second location. The second restraining device is configured to secure the electronic component via one or more fastening elements. |
US08674216B1 |
Early streamer emission terminal
An early streamer emission terminal is disclosed. According to some embodiments, the early streamer emission terminal can create an upward propagating streamer earlier than conventional lightning protection systems and/or devices. In particular, the early streamer emission terminal can collect ground charges during an initial phase of thunderstorm development. When a thunderstorm begins to generate downward step leaders, the ambient electric field around a grounded lightning protection system can induce a current into the grounded lightning protection system. The induced current can include a flow of negative charge toward the ground, while a positive charge can be released to form an upward streamer. The construction of the early streamer emission terminal can trigger the flow of positive upward charge microseconds earlier than traditional lightning rods or other similar devices. |
US08674214B2 |
Thin absorber layer of a photovoltaic device
Methods and apparatus are provided for converting electromagnetic radiation, such as solar energy, into electric energy with increased efficiency when compared to conventional solar cells. In one embodiment of a photovoltaic (PV) device, the PV device generally includes an n-doped layer and a p+-doped layer adjacent to the n-doped layer to form a p-n layer such that electric energy is created when electromagnetic radiation is absorbed by the p-n layer. The n-doped layer and the p+-doped layer may compose an absorber layer having a thickness less than 500 nm. Such a thin absorber layer may allow for greater efficiency and flexibility in PV devices when compared to conventional solar cells. |
US08674212B2 |
Solar cell and magnetically self-assembled solar cell assembly
An assembly is provided and includes at least one solar cell comprising a photovoltaic element having an upper surface for receiving and absorbing radiation, a lower surface for coupling to an article, a first end and a second end. The solar cell further includes at least one magnet attached to the first end of the photovoltaic element. The assembly further includes an article comprising a substrate, and a magnetic film disposed on the substrate and defining at least one receptor site. Each solar cell is disposed at a respective receptor site. |
US08674205B2 |
Portable stand and mount for securing a portable media or audio player to a support
Disclosed is an apparatus for securing a media or audio player to a support and the related methods of use. |
US08674202B2 |
Plants and seeds of corn variety CV594762
According to the invention, there is provided seed and plants of the corn variety designated CV594762. The invention thus relates to the plants, seeds and tissue cultures of the variety CV594762, and to methods for producing a corn plant produced by crossing a corn plant of variety CV594762 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV594762 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV594762. |
US08674196B1 |
Maize variety inbred PH137Z
A novel maize variety designated PH137Z and seed, plants and plant parts thereof. Methods for producing a maize plant that comprise crossing maize variety PH137Z with another maize plant. Methods for producing a maize plant containing in its genetic material one or more traits introgressed into PH137Z through backcross conversion and/or transformation, and to the maize seed, plant and plant part produced thereby. Hybrid maize seed, plant or plant part produced by crossing the variety PH137Z or a locus conversion of PH137Z with another maize variety. |
US08674194B1 |
Maize variety hybrid X13A466
A novel maize variety designated X13A466 and seed, plants and plant parts thereof, produced by crossing Pioneer Hi-Bred International, Inc. proprietary inbred maize varieties. Methods for producing a maize plant that comprises crossing hybrid maize variety X13A466 with another maize plant. Methods for producing a maize plant containing in its genetic material one or more traits introgressed into X13A466 through backcross conversion and/or transformation, and to the maize seed, plant and plant part produced thereby. This invention relates to the maize variety X13A466, the seed, the plant produced from the seed, and variants, mutants, and minor modifications of maize variety X13A466. This invention further relates to methods for producing maize varieties derived from maize variety X13A466. |
US08674193B2 |
Plants and seeds of hybrid corn variety CH146292
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH146292. The invention thus relates to the plants, seeds and tissue cultures of the variety CH146292, and to methods for producing a corn plant produced by crossing a corn plant of variety CH146292 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH146292. |
US08674190B2 |
Plants and seeds of hybrid corn variety CH382468
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH382468. The invention thus relates to the plants, seeds and tissue cultures of the variety CH382468, and to methods for producing a corn plant produced by crossing a corn plant of variety CH382468 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH382468. |
US08674188B1 |
Maize variety hybrid X18B741
A novel maize variety designated X18B741 and seed, plants and plant parts thereof, produced by crossing Pioneer Hi-Bred International, Inc. proprietary inbred maize varieties. Methods for producing a maize plant that comprises crossing hybrid maize variety X18B741 with another maize plant. Methods for producing a maize plant containing in its genetic material one or more traits introgressed into X18B741 through backcross conversion and/or transformation, and to the maize seed, plant and plant part produced thereby. This invention relates to the maize variety X18B741, the seed, the plant produced from the seed, and variants, mutants, and minor modifications of maize variety X18B741. This invention further relates to methods for producing maize varieties derived from maize variety X18B741. |
US08674182B1 |
Soybean variety XBP55004
A novel soybean variety, designated XBP55004 is provided. Also provided are the seeds of soybean variety XBP55004, cells from soybean variety XBP55004, plants of soybean XBP55004, and plant parts of soybean variety XBP55004. Methods provided include producing a soybean plant by crossing soybean variety XBP55004 with another soybean plant, methods for introgressing a transgenic trait, a mutant trait, and/or a native trait into soybean variety XBP55004, methods for producing other soybean varieties or plant parts derived from soybean variety XBP55004, and methods of characterizing soybean variety XBP55004. Soybean seed, cells, plants, germplasm, breeding lines, varieties, and plant parts produced by these methods and/or derived from soybean variety XBP55004 are further provided. |
US08674176B2 |
ADS genes for reducing saturated fatty acid levels in seed oils
The present invention relates to enzymes involved in lipid metabolism. In particular, the present invention provides coding sequences for Arabidopsis Desaturases (ADS), the encoded ADS polypeptides, and methods for using the sequences and encoded polypeptides, where such methods include decreasing and increasing saturated fatty acid content in plant seed oils. |
US08674172B2 |
Prostate cancer cell lines and their use in screening method
The present invention relates to a prostate cancer cell line CNCM deposit number I-4126, the use thereof for preparing resistant prostate cancer cell lines, the resistant prostate cancer cell lines, and the use of these prostate cancer cell lines for screening compounds of interest. |
US08674170B2 |
Thin and dry diaper
An absorbent article, preferably a disposable absorbent article such as a diaper, is disclosed having an absorbent core which imparts increased wearing comfort to the article and makes it thin and dry. The absorbent core useful for an absorbent article comprises a substrate layer, a discontinuous layer of absorbent material, and a layer of thermoplastic material. A second surface of the discontinuous layer of absorbent material is in at least partial contact with a first surface of the substrate layer. Portions of a second surface of the layer of thermoplastic material are in direct contact with the first surface of the substrate layer and portions of said second surface of the layer of thermoplastic material are in direct contact with a first surface of the discontinuous layer of absorbent material. A process for providing a storage layer for an absorbent core useful in an absorbent article is further disclosed. |
US08674167B2 |
Absorbent article comprising a malodor control composition having an acid catalyst
An absorbent article comprising a malodor control composition having at least one volatile aldehyde and an acid catalyst, and methods of use thereof, are provided. The malodor control composition is suitable for a variety of absorbent articles, including use in diapers, toddler training pants, adult incontinence garments, sanitary napkins, pantiliners, interlabial devices, hemorrhoid pads, and the like. |
US08674166B2 |
Thin film dressing
The invention relates to a thin film dressing having a release liner which is able to support the dressing during application. The resilience or stiffness of the release liner is higher than the resilience or stiffness of the dressing so as to enable the control of the dressing during application. The invention further relates to a method of application of the thin film dressing where a minor part of the dressing initially is applied to the skin afterwards the dressing is folded backwards so the release liner faces upwards. Finally, the remaining part of the dressing is applied by pushing the release liner forwards in a rolling motion thereby rolling the dressing onto the skin. This dressing assembly allows the dressing to be applied by using only one hand. |
US08674164B2 |
Segmented skin treatment systems and methods
Devices, kits and methods described herein may be for wound healing, including the treatment, amelioration, or prevention of scars and/or keloids by applying and/or maintaining a pre-determined strain in an elastic skin treatment device that is then affixed to the skin surface using skin adhesives to transfer a generally planar force from the bandage to the skin surface. Applicators are used to apply and/or maintain the strains, and some of the applicators are further configured to provide at least some mechanical advantage to the user when exerting loads onto the skin treatment device. |
US08674159B2 |
Hydroconversion process with alkyl halide comprising at least 55 wt% halide
A process comprising: contacting a blend of hydrocarbons under hydroconversion conditions in a hydroconversion zone with a mixture of an acidic ionic liquid catalyst and at least one alkyl halide comprising at least 55 wt % halide and having a boiling point of 70° C. or higher. An alkylation process comprising: contacting a blend of hydrocarbons under alkylation conditions with a mixture of an acidic ionic liquid catalyst that is a chloroaluminate and at least one alkyl halide comprising 1,1,1-trichloroethane, tetrachloroethylene, or a mixture thereof; wherein greater than 99.9 wt % of an at least one olefin in the blend of hydrocarbons is alkylated. Also, a hydroconversion process comprising drying the alkyl halide. |
US08674157B2 |
Production of olefins
A process for converting a hydrocarbon feedstock to provide an effluent containing light olefins, the process comprising passing a hydrocarbon feedstock comprising a mixture of a first portion, containing one or more olfeins of C4 or greater, and a second portion, containing at least one C1 to C6 aliphatic hetero compound selected from alcohols, ethers, carbonyl compounds and mixtures thereof, through a reactor containing a crystalline silicate catalyst to produce an effluent including propylene, the crystalline silicate being selected from at least one of an MFI-type crystalline silicate having a silicon/aluminum atomic ratio of at least 180 and an MEL-type crystalline silicate having a silicon/aluminum atomic ratio of from 150 to 800 which has been subjected to a steaming step. |
US08674153B2 |
Method of hydrogasification of biomass to methane with low depositable tars
A method for converting lignocellulosic biomass to a useful fuel is disclosed in a process sequence resulting in low levels of depositable tars in an output gas stream. One disclosed embodiment comprises performing a sequence of steps at elevated pressure and elevated hydrogen partial pressure, including fast (or flash) hydropyrolysis of a lignocellulosic biomass feed followed sequentially with catalytically enhanced reactions for the formation of methane operating at moderate temperatures of from about 400° C. to about 650° C. under moderately elevated pressure (about 5 atm to about 50 atm). A temperature rise in the catalyst above pyrolysis temperature is achieved without the addition of air or oxygen. Gas residence time at elevated temperature downstream of methane formation zones extends beyond the time required for methane formation. This sequence results in low tar deposit levels. The catalyst promotes preferential formation of methane and non-deposit forming hydrocarbons, and coke re-gasification. |
US08674151B2 |
Two-step hydrotreatment of a feed derived from a renewable source using a first, metallic, catalyst and a second, sulphurized, catalyst
The invention describes a two-step process for hydrotreatment of a feed derived from a renewable source, comprising: a) a first step, termed a mild pre-hydrogenation step, operating in the presence of a first, metallic, catalyst comprising an active hydrodehydrogenating phase constituted by at least one metal from group VIII and/or at least one metal from group VIB and an amorphous mineral support; and b) a second step, termed the second treatment step, operating in the presence of a second, sulphurized, catalyst comprising an active hydrodehydrogenating phase constituted by at least one non-noble metal from group VIII and/or at least one metal from group VIB and an amorphous mineral support. |
US08674150B2 |
One-step catalytic conversion of biomass-derived carbohydrates to liquid fuels
The invention relates to a method for manufacture of hydrocarbon fuels and oxygenated hydrocarbon fuels such as alkyl substituted tetrahydrofurans such as 2,5-dimethyltetrahydrofuran, 2-methyltetrahydrofuran, 5-methylfurfural and mixtures thereof. The method generally entails forming a mixture of reactants that includes carbonaceous material, water, a metal catalyst and an acid reacting that mixture in the presence of hydrogen. The reaction is performed at a temperature and for a time sufficient to produce a furan type hydrocarbon fuel. The process may be adapted to provide continuous manufacture of hydrocarbon fuels such as a furan type fuel. |
US08674149B2 |
Oxidative mono-halogenation of methane
Oxidatively halogenate methane by placing a feedstream that comprises methane, a source of halogen, a source of oxygen and, optionally, a source of diluent gas in contact with a first catalyst (e.g. a solid super acid or a solid super base) that has greater selectivity to methyl halide and carbon monoxide than to methylene halide, trihalomethane or carbon tetrahalide. Improve overall selectivity to methyl halide by using a second catalyst that converts at least part of the feedstream to a mixture of methyl halide, methylene halide, trihalomethane, carbon tetrahalide and unreacted oxygen, and placing that mixture in contact with the first catalyst which converts at least a portion of the methylene halide, trihalomethane and carbon tetrahalide to carbon monoxide, hydrogen halide and water. |
US08674147B2 |
Process for dehydrochlorination of hydrochlorofluoroalkanes
A process for the selective dehydrochlorination of a hydrochlorofluoroalkane by using chloride fluorides of Ba, Ca, or Sr as catalysts, wherein the hydrochlorofluoroalkane comprises a carbon atom or carbon atoms carrying at least one chlorine and at least one fluorine atom and further comprises at least one hydrogen atom on a carbon atom vicinal to the carbon atom or to the carbon atoms carrying the at least one chlorine and at least one fluorine atom. |
US08674144B2 |
Catalyst for asymmetric hydrogenation and method for manufacturing optically active carbonyl compound using the same
The present invention provides a catalyst used for manufacturing an optically active carbonyl compound by selective asymmetric hydrogenation of an α,β-unsaturated carbonyl compound, which is insoluble in a reaction mixture, and a method for manufacturing the corresponding optically active carbonyl compound. Particularly, the invention provides a catalyst for obtaining an optically active citronellal useful as a flavor or fragrance, by selective asymmetric hydrogenation of citral, geranial or neral. The invention relates to a catalyst for asymmetric hydrogenation of an α,β-unsaturated carbonyl compound, which comprises: a powder of at least one metal selected from metals belonging to Group 8 to Group 10 of the Periodic Table, or a metal-supported substance in which the at least one metal is supported on a support; an optically active peptide compound; and an acid, and also relates to a method for manufacturing an optically active carbonyl compound using the same. |
US08674140B2 |
Devices and method for continuous distillative separation of a mixture containing one or more alkanolamine(s)
Apparatus and processes for continuous distillative separation of a mixture comprising one or more alkanolamine(s), wherein the separation is performed in one or more dividing wall column(s) and the alkanolamine or the alkanolamines is/are withdrawn as a side draw stream or side draw streams (side fraction(s)). |
US08674137B2 |
Compounds for treating ophthalmic diseases and disorders
Provided are compounds, pharmaceutical compositions thereof, and methods of treating ophthalmic diseases and disorders, such as age-related macular degeneration and Stargardt's Disease, using said compounds and compositions. |
US08674135B2 |
Functionalized ionic liquids, and methods of use thereof
One aspect of the present invention relates to ionic liquids comprising a pendant Bronsted-acidic group, e.g., a sulfonic acid group. Another aspect of the present invention relates to the use of an ionic liquid comprising a pendant Bronsted-acidic group to catalyze a Bronsted-acid-catalyzed chemical reaction. A third aspect of the present invention relates to ionic liquids comprising a pendant nucleophilic group, e.g., an amine. Still another aspect of the present invention relates to the use of an ionic liquid comprising a pendant nucleophilic group to catalyze a nucleophile-assisted chemical reaction. A fifth aspect of the present invention relates to the use of an ionic liquid comprising a pendant nucleophilic group to remove a gaseous impurity, e.g., carbon dioxide, from a gas, e.g., sour natural gas. |
US08674133B2 |
Method for continuously producing alkylamino(meth)acrylamides
The invention relates to a process for continuously preparing N-alkyl(meth)acrylamides by reacting alkyl(meth)acrylates with high-boiling amines. A catalyst activation and specific workup technique achieve product qualities which have not been achieved to date. In addition, very high space-time yields and overall yields can be achieved. |
US08674130B2 |
Processes for production of cyclic alkylene phosphorohalidite and cyclic phosphoric acid ester
A process for producing a cyclic alkylene phosphorohalidite, which comprises reacting a specific phosphorus trihalide with a specific alkylene glycol compound under conditions where the phosphorus trihalide is present in an excess amount relative to the amount of the alkylene glycol compound in the reaction system to order to obtain the cyclic alkylene phosphorohalidite by reacting the alkylene glycol compound with the phosphorus trihalide; and a process for producing a cyclic phosphoric acid ester by using the obtained cyclic alkylene phosphorohalidite as a raw material. |
US08674128B2 |
Conductive organometallic framework
The disclosure provides organometallic frameworks, catalysts and sensors. In one aspect, the organometallic framework comprises di-isocyanide group. |
US08674127B2 |
Antimony compounds useful for deposition of antimony-containing materials
Precursors for use in depositing antimony-containing films on substrates such as wafers or other microelectronic device substrates, as well as associated processes of making and using such precursors, and source packages of such precursors. The precursors are useful for deposition of A Ge2Sb2Te5 chalcogenide thin films in the manufacture of nonvolatile Phase Change Memory (PCM) or for the manufacturing of thermoelectric devices, by deposition techniques such as chemical vapor deposition (CVD) and atomic layer deposition (ALD). |
US08674126B2 |
Rubidium and cesium compounds for two-photon absorption
A material which electronically isolates a rubidium or cesium atom, which is bonded to only one or two oxygen atoms. This electronic isolation is manifested in narrow photoluminescence emission spectral peaks. The material may be an alkali metal compound comprises the empirical formula: AM(R1)(OR)x; where A is selected from Rb and Cs; M is selected from Al, Ti and V; each R is an independently selected alkyl or aryl group, R1 is selected from alkyl alcohol, aryl alcohol, or a carboxyl group, where OR and R1 are not the same, and x is 2, 3, or 4. |
US08674125B2 |
Method for the preparation of phosphine butadiene ligands, complexes thereof with copper and use thereof in catalysis
A method for the creation of a carbon-carbon (C—C) bond or of a carbon-heteroatom (C-HE) bond includes reacting a compound carrying a leaving group with a nucleophilic compound carrying a carbon atom or a heteroatom (HE) capable of replacing the leaving group, thus creating a C—C or C-HE bond, in which process the reaction is carried out in the presence of an effective amount of a catalytic system comprising at least one copper/butadienylphosphine complex. |
US08674111B2 |
Oxazole and thiazole derivatives as ALX receptor agonists
The invention relates to oxazole and thiazole derivatives of formula (I), wherein A, E, X, R1 and R2 are as defined in the description, their preparation and their use as pharmaceutically active compounds. |
US08674110B2 |
Pyridylacetylenes for use as radiotracers and imaging agents
The present invention relates to novel pyridylacetylene derivatives of formula I their preparation, their use as radio-traces/markers and compositions containing them. |
US08674107B2 |
Crystal of anti-bacterial compound
It is intended to provide a salt of a compound and crystals thereof useful as a therapeutic and/or preventive agent for infectious diseases which has wide and excellent antibacterial activity and has excellent safety.[Solution]The present invention provides the hydrochloride of 7-[(1R,5S)-1 -amino-5-fluoro-3-azabicyclo[3.3.0]octan-3-yl]-6-fluoro-1-[(1R,2S)-2 -fluorocyclopropan-1-yl]-1,4-dihydro-8-methyl-4-oxoquinoline-3-carboxylic acid and crystals thereof, as a novel salt form of the compound and crystals thereof. |
US08674104B2 |
Halogen-containing perylenetetracarboxylic acid derivatives and the use thereof
The invention relates to compounds of the formula (I) in which Y1 and Y2 are each O or, respectively, NRa or NRb, where Ra and Rb are each H or organyl; Z1 to Z4 are each O or S; R11 to R14, R21 to R24 are each Cl, F; where 1 or 2 of the R11 to R14, R21 to R24 radicals may also be CN and/or 1 R11 to R14, R21 to R24 radical may be H; and where, when Y1 is NRa, Z1 or Z2 may also be NRc, where Ra and Rc together are a bridging X group having from 2 to 5 atoms; and where, when Y2 is NRb, Z3 or Z4 may also be NRd, where Rb and Rd together are a bridging X group having from 2 to 5 atoms; to a process for preparation thereof, and to their use as emitter materials, charge transport materials or exciton transport materials. |
US08674100B2 |
Inhibitors of C-FMS kinase
The invention is directed to compounds of Formula I: wherein Z, X, J, R2 and W are as set forth in the specification, as well as solvates, hydrates, tautomers and pharmaceutically acceptable salts thereof, that inhibit protein tyrosine kinases, especially c-fms kinase. The compounds of formula I are useful for treating a variety of disorders including autoimmune diseases such as rheumatoid arthritis, multiple sclerosis and psoriasis. |
US08674097B2 |
Quinoxalinedione derivatives useful as activators of AMPK-activated protein kinase
The present invention relates to compounds of formula (I) wherein X, Y, Z, R1, R2, R3 are as defined in claim 1, including pharmaceutical compositions thereof and for their use in the treatment and/or prevention of diabetes, metabolic syndrome, obesity, cancer, inflammation. |
US08674095B2 |
Compounds for treating neuropsychiatric conditions
Provided herein are pyrido[2,3-D]pyrimidin-7(8H)-one compounds and compositions useful as PAK inhibitors. Also provided herein are methods of utilizing these compounds for the treatment of neuropsychiatric conditions. The compounds modulate dendritic spine morphology and/or synaptic function. |
US08674094B2 |
Stabilized nucleic acid dark quencher-fluorophore probes
The present invention provides a new class of solids supports for synthesis of modified oligomers of nucleic acids, and nucleic acid probes that have a format expediently synthesized on the new supports. Exemplary solid supports include at least one quencher bound through a linker to the solid support. Various exemplary embodiments include a moiety that stabilizes a duplex, triplex or higher order aggregation (e.g., hybridization) of nucleic acids of which the oligomer of the invention is a component. Other components of the solid support include moieties that stabilize aggregations of nucleic acids, e.g., intercalators, minor groove binding moieties, bases modified with a stabilizing moiety (e.g., alkynyl moieties, and fluoroalkyl moieties), and conformational stabilizing moieties, such as those described in commonly owned U.S. Patent Application Publication No. 2007/0059752. |
US08674092B2 |
Triallyl isocyanurate and process for producing the same
The present invention provides triallyl isocyanurate comprising a less amount of corrosive substances by identifying the corrosive substances among impurities included in the triallyl isocyanurate. Triallyl isocyanurate of the present invention comprises an organic chlorine compound represented by the following chemical formula (I) in an amount of not more than 500 ppm: wherein a bond expressed by a wavy line indicates that the organic chlorine compound is a cis-type compound, a trans-type compound or a mixture comprising the cis-type and trans-type compounds at an optional ratio. |
US08674091B2 |
1,3,5-triazine derivative, process for producing same, and organic electroluminescent element comprising same as constituent
A 1,3,5-triazine derivative represented by formula (1): wherein R1 is hydrogen, C1-4 alkyl group or substituted or unsubstituted phenyl group; n is an integer of 1-3, Ar is a substituted or unsubstituted aromatic hydrocarbon group, provided that Ar is different from two substituted quarterarylenyl groups bonded to the 1,3,5-triazine ring; and V and Y are nitrogen or carbon, provided that a case where both of V and Y are carbon atoms is excluded. The organic electroluminescent device comprising the 1,3,5-triazine derivative as an electron transport material has a long lifetime. |
US08674086B2 |
Nucleotides and oligonucleotides for nucleic acid sequencing
Embodiments of the invention provide non-natural bifunctional nucleotides having both nuclease resistance and nucleic acid synthesis blocking properties and methods of sequencing nucleic acids that employ non-natural bifunctional nucleic acids. Additional embodiments provide non-natural oligonucleotides and methods for sequencing nucleic acids using the non-natural oligonucleotides. Methods according to embodiments of the invention employ electronic detection and fluorescent detection of nucleic acid sequencing reactions. |
US08674084B2 |
Protein expression system
The inventions is based on an expression enhancer sequence derived from the RNA-2 genome segment of a bipartite RNA virus, in which a target initiation site in the RNA-2 genome segment has been mutated. Deletion of appropriate start codons upstream of the main RNA2 translation initiation can greatly increase in foreign protein accumulation without the need for viral replication. Also provided are methods, vectors and systems, including the ‘hyper-translatable’ Cowpea Mosaic Virus (‘CPMV-HT’) based protein expression system. |
US08674083B2 |
Polypeptide variants with altered effector function
The present invention concerns polypeptides comprising a variant Fc region. More particularly, the present invention concerns Fc region-containing polypeptides that have altered effector function as a consequence of one or more amino acid modifications in the Fc region thereof. |
US08674078B2 |
Methods of using BTL-II proteins
The invention provides isolated BTL-II proteins, nucleic acids, antibodies, antagonists, and agonists and methods of making and using the same. Diagnostic, screening, and therapeutic methods using the compositions of the invention are provided. For example, the compositions of the invention can be used for diagnosis and treatment of inflammatory bowel diseases and for enhancing a mucosal immune response to an antigen. |
US08674077B2 |
Processes for producing silk dope
The present invention relates to methods of producing silk dope comprising silk proteins with a coiled-coil structure such as honeybee silk proteins. The silk proteins are obtained from cells producing them, solubilizing the proteins by contacting them with a surfactant or an ionic liquid and concentrating the proteins to produce silk dope. The proteins can be used for a variety of purposes such as in the production of personal care products, plastics, textiles and biomedical products. |
US08674074B2 |
Coagulation factor VII polypeptides
The present invention relates to novel coagulation Factor VII polypeptides, polynucleotide constructs encoding such polypeptides, as well as vectors and host cells comprising and expressing the polynucleotide, pharmaceutical compositions, uses and methods of treatment. |
US08674068B2 |
Peptide capable for binding to gold
The present invention provides a novel peptide capable for binding to gold. The peptide consists of an amino acid sequence represented by SQMMGHMGHGNMNHMNHGGKFDFHH (SEQ ID NO: 01). |
US08674066B2 |
Peptide capable for binding to rhodium
The present invention provides a novel peptide capable for binding to rhodium. The peptide consists of an amino acid sequence represented by SQMMGHMGHGNMNHMNHGGKFDFHH (SEQ ID NO: 01). |
US08674065B2 |
Peptide capable for binding to carbon
Provided is a novel peptide capable for binding to carbon. The peptide consists of an amino acid sequence represented by SQMMGHMGHGNMNHMNHGGKFDFHH (SEQ ID NO:01). |
US08674064B2 |
Immunogenic compositions against human progastrin peptides
Immunogens against human extended-progastrin species comprise (A) a mimetic peptide comprised of (i) the amino acid sequence of a progastrin or a N- and/or C-terminal processed species of a progastrin joined to (ii) a 7 amino-acid spacer coupled to (B) an immunogenic carrier. Illustrative of the mimetic peptide/spacer combination are a 21 amino-acid peptide (SEQ ID NO.: 1) and other, related polypeptides (SEQ ID NOs.: 2-5). Pharmaceutical compositions containing such an immunogen display improved immunological properties, including the induction of effective antibody levels shortly after the administration of an initial course of immunogen. Levels of antibody thus elicited stay elevated for several months and readily elevate to higher levels upon subsequent boosting by a single injection of immunogen. |
US08674063B2 |
Chimerical peptidic molecules with antiviral properties against the viruses of the Flaviviridae family
The present invention is relative to chimerical peptides, whose primary structure holds at least one segment which inhibits the activation of the NS3 protease of a virus from the Flaviviridae family, they also contain a cell penetrating segment and they are capable of inhibiting or attenuate the viral infection. This invention is also relative to pharmaceutical compounds which contain these chimerical peptides for the prevention and/or treatment of the infection caused by a virus of the Flaviviridae family. |
US08674058B2 |
Durability improving agent and cement composition
It is an object of the present invention to provide a durability improving agent for a cement composition that improves the freezing-thawing resistance of the cement composition and also exhibits a sufficient shrinkage reducing performance as well, and a cement composition including such a durability improving agent. Specifically, the present invention provides a durability improving agent for a cement composition, characterized by comprising a hydrocarbon-based compound having an average molecular weight of 150 to 600 and a pour point of 20° C. or less as an active ingredient, and a cement composition including such durability improving agent. |
US08674057B1 |
Aromatic polyimides, polyamides and poly(amide-imide) containing diarylaminofluorene-benzothiazole moieties as two-photon active units
Provided are novel polyimides, poly(amide-imides) and polyamides, which are generated from new two photon diamino-monomers of the formula: wherein para or meta X—C6H4—O— and X═NH2; and CnHn+1 is either a straight or branched alkyl chain, and wherein n is an integer from 1 to 20. |
US08674055B2 |
Method for producing polyamide resin
A method for producing a polyamide resin characterized by comprising thermally polycondension of tetramethylene diamine and either an aliphatic dicarboxylic acid having 7 or more carbon atoms or a salt thereof, and then being melt-polymerized at a temperature equal to or higher than the melting point thereof until the relative viscosity of a 0.01 g/ml solution thereof in 98% sulfuric acid solution with a 0.01 g/ml content at 25° C. becomes 2.2-5.0. |
US08674053B2 |
Process for production of highly polymerized aromatic polycarbonate resin
A method for highly polymerizing an aromatic polycarbonate resin, which enables the increase in molecular weight of the aromatic polycarbonate resin satisfactorily while keeping good quality of the resin. An aromatic polycarbonate is linked to an aliphatic diol compound having a boiling point of 240° C. or higher (preferably in an amount of 0.01 to 1.0 mole per mole of the total amount of the terminal of the aromatic polycarbonate) through a transesterification reaction in the presence of a transesterification catalyst under reduced pressure conditions (preferably at a reduced pressure ranging from 13 kPaA (100 torr) to 0.01 kPaA (0.01 torr)), thereby increasing the molecular weight of the resulting molecule. The weight average molecular weight (Mw) of the aromatic polycarbonate after the transesterification reaction can be increased preferably by 5,000 or more compared to that of the aromatic polycarbonate before the transesterification reaction. |
US08674052B2 |
Carbazole novolak resin
There is provided a resist underlayer film having heat resistance that is used for a lithography process in the production of semiconductor devices, and a high refractive index film having transparency that is used for an electronic device. A polymer comprising a unit structure of Formula (1): wherein each of R1, R2, R3, and R5 may be a hydrogen atom, R4 may be phenyl group or naphthyl group. A resist underlayer film forming composition comprising the polymer, and a resist underlayer film formed from the composition. A high refractive index film forming composition comprising the polymer, and a high refractive index film formed from the composition. |
US08674051B2 |
Polyurea and polyurethane compositions for golf equipment
Golf equipment having improved cut and shear resistance that includes a polyurea composition, preferably saturated and/or water resistant, formed of a polyurea prepolymer and a curing agent, wherein the polyurea prepolymer includes an isocyanate and an amine-terminated compound, and wherein the curing agent includes a hydroxy-terminated curing agent, amine-terminated curing agent, or a mixture thereof. |
US08674048B2 |
Method for chemically incorporating metal elements into polysiloxanes
This invention relates to methods for preparing polyheterosiloxane materials having at least two different non-Si metal elements. The polyheterosiloxane materials prepared by these methods are solid materials which can be easily dispersed in a solvent of choice. |
US08674047B2 |
Doping conjugated polymers and devices
Compositions comprising at least one hole transport material, such as a conjugated polymer, and at least one dopant, providing improved thermal stability. Compositions can be applied to substrates and used in HIL and HTL layers and organic electronic devices such as light emitting devices such as OLEDs or OPVs. The conjugated polymer can be a polythiophene, including a 3,4-substituted polythiophene or a regioregular polythiophene. The dopant can be a silver salt such as silver tetrakis(pentafluorophenyl)borate. Improved methods of making dopant are provided. |
US08674045B2 |
Carbon-fiber precursor fiber, carbon fiber, and processes for producing these
A carbon fiber precursor fiber having a weight average molecular weight Mw(F) of 200,000 to 700,000 and a degree of polydispersity MZ(F)/Mw(F), wherein MZ(F) indicates Z-average molecular weight of the fiber, of 2 to 5. |
US08674042B2 |
High melt flow fluoropolymer
The present invention relates to a partially-crystalline copolymer comprising tetrafluoroethylene, hexafluoropropylene in an amount corresponding to HFPI of from about 2.8 to 5.3, and preferably from about 0.2% to 3% by weight of perfluoro(alkyl vinyl ether), said copolymer having less than about 50 ppm alkali metal ion, having a melt flow rate of within the range of about 30±3 g/10 min, and having no more than about 50 unstable endgroups/106 carbon atoms and which can be extruded at high speed onto conductor over a broad polymer melt temperature range to give insulated wire of high quality. |
US08674041B2 |
Low temperature cure using benzopinacol polymerization initiator
A polymerization initiator for reactive monomers and unsaturated polymers which is the reaction product of a metal-organic titanium compound or a metal-organic zirconium compound and pinacol compound is disclosed. Further disclosed are methods for preparing the polymerization initiator and using the polymerization initiator for low temperature curing. |
US08674039B2 |
Crosslinkable polymer powder composition which is redispersible in water
The invention relates to a crosslinkable polymer powder composition redispersible in water, obtainable by means of free radical polymerization, in an aqueous medium, of one or more monomers from the group consisting of vinyl esters of straight-chain or branched alkylcarboxylic acids having 1 to 15 C atoms, methacrylates and acrylates of alcohols having 1 to 15 C atoms, vinylaromatics, olefins, dienes and vinyl halides, no epoxide-functional comonomers being copolymerized, and subsequent drying of the polymer dispersion obtained thereby, wherein, before and/or during the polymerization and/or before the drying of the polymer dispersion obtained thereby, an epoxy resin is added and, if appropriate after the drying, a curing agent crosslinking with the epoxy resin is added. |
US08674037B2 |
Silicon-containing polymer, method of manufacturing thereof, and curable polymer composition
A silicon-containing polymer represented by the following average unit formula: (O2/2SiR1-R2-C6H4-R2-SiR1O2/2)x [R3 SiO(4-a)/2]y(R4O1/2)z (wherein R1 designates identical or different, substituted or unsubstituted monovalent hydrocarbon groups; R2 designates identical or different, substituted or unsubstituted alkylene groups; R3 designates substituted or unsubstituted monovalent hydrocarbon groups; R4 designates alkyl groups or hydrogen atoms; ‘a’ is a positive number that satisfies the following condition: 0=a=3; and ‘x’, ‘y’, and ‘z’ are positive numbers that satisfy the following conditions: 0 |
US08674034B2 |
Polyisobutylene-based polyurethanes, polyureas and/or polyurethane-polyureas and method for making same
The present invention generally relates to polyisobutylene-based polyurethanes, polyureas and/or polyurethane-polyureas, and to a process for making such compounds. In one embodiment, the polyisobutylene-based polyurethanes, polyureas and/or polyurethane-polyureas also include at least one flexible hydrogen bond acceptor chain extender (HACE). In another embodiment, amine telechelic and hydroxyl telechelic polyisobutylenes are utilized with at least one at least one flexible hydrogen bond acceptor chain extender (HACE) to produce polyurethane-polyureas having various desired mechanical properties in combination with various desired oxidative/hydrolytic stability. |
US08674033B2 |
Biodegradable phase separated segmented multi block co-polymers
The invention is directed to biodegradable, thermoplastic, phase separated segmented multi-block copolymers. The copolymers of the present invention find use in various biomedical applications as well as in pharmaceutical applications. According to the invention, a biodegradable, phase separated copolymer is provided, comprising segments of a soft prepolymer (A) having a glass transition temperature, Tg, lower than 37° C.; and segments of a hard prepolymer (B) having a phase transition temperature, Tm, of 40-100° C. |
US08674032B2 |
Biodegradable multi-block co-polymers
The invention relates to a biodegradable multi-block copolymer, comprising at least two hydrolysable segments derived from pre-polymers A and B, which segments are linked by a multi-functional chain-extender and are chosen from the pre-polymers A and B, and triblock copolymers ABA and BAB, wherein the multi-block copolymer is amorphous at physiological (body) conditions. The invention further relates to a process for preparing said copolymer and to its use as a medical implant, a coating for a medical device or a drug delivery vehicle. |
US08674028B2 |
Polymeric compositions and processes for molding articles
The present invention is directed to polymeric article comprising a blend of (a) a first polymeric component; (b) a second polymeric component, the second polymeric component including a propylene elastomer or a substantially linear or linear ethylene polymer; and (c) at least one reinforcement material. The polymeric articles desirably have one or more of the following characteristics: a soft touch feel, low gloss appearance, or a high surface durability. |
US08674025B2 |
Golf ball resin composition and golf ball
An object of the present invention is to provide a golf ball resin composition with an excellent resilience and fluidity. Another object of the present invention is to provide a golf ball resin composition with a high hardness and durability. Yet another object of the present invention is to provide a golf ball traveling a great distance with an ionomer cover. The present invention provides a golf ball resin composition comprising, (A) an ionomer resin consisting of a metal ion-neutralized product of a binary copolymer composed of an olefin and an α,β-unsaturated carboxylic acid having 3 to 8 carbon atoms; (B) a binary copolymer composed of an olefin and an α,β-unsaturated carboxylic acid having 3 to 8 carbon atoms, and (C) a zinc compound, wherein a content ratio ((A)/(B)) of (A) component to (B) component ranges from 50/50 to 80/20 in a mass ratio and a content of (C) component ranges from 0.1 part to 20 parts with respect to 100 parts by mass of a sum of (A) component and (B) component, and the golf ball resin composition has a melt flow rate (190° C., 2.16 kg) of 15 g/10 min or more. |
US08674024B2 |
Moulding composition
A polyethylene moulding composition having a multimodal molecular weight distribution, having a density according to ISO 1183 at 23° C. in the range of 920 to 960 kg/m3 and an MFR190/2 according to ISO 1133 in the range of 0.05 to 10 g/10 min, said polyethylene moulding composition comprising at least three ethylene polymer fractions having distinct molecular weights: A) 15 to 50 wt % of a low molecular weight ethylene homopolymer or copolymer fraction with a weight average molecular weight Mw in the range of 15 to 40 kg/mol; B) 15 to 50 wt % of a medium molecular weight ethylene homopolymer or copolymer fraction with a weight average molecular weight Mw in the range of 70 to 200 kg/mol; and C) 15 to 50 wt % of a high molecular weight ethylene homopolymer or copolymer fraction with a weight average molecular weight Mw in the range of 220 to 400 kg/mol, provided that one of A, B and C is an ethylene homopolymer and at least one other component is an ethylene copolymer with at least one C3-C10 comonomer; and wherein the composition has—a Shore D hardness measured according to ASTM D2240-05 (15 sec) of at least 56.0. |
US08674023B2 |
Ionomer compositions for golf balls
Certain disclosed embodiments of the present invention concern a golf ball, which includes a core comprising a center, an outer cover layer; and optionally one or more intermediate layers, and where at least one or more of the core, outer cover layer, or one or more intermediate layers if present, includes a styrene ionomer, such as a styrene anhydride copolymer, a basic salt of a styrene-α,β-unsaturated acid copolymer, or a styrene maleic anhydride copolymer. These styrene-based copolymers can be used in combination with additional polymer components. |
US08674014B2 |
Rubber composition for sidewall and tire having sidewall using thereof, and rubber composition for clinch and tire having clinch using thereof
A rubber composition for a sidewall is disclosed, which includes a specific amount of an alkylphenol-sulfur chloride condensate indicated by the formula (B1): and a specific filler, based on a rubber component including a specific amount of a natural rubber and/or an isoprene rubber and a modified butadiene rubber. A tire having the above sidewall is also disclosed. |
US08674013B2 |
Methods for preparing reinforced fluoropolymer composites comprising surface functionalized nanocrystalline cellulose
A method of preparing a reinforced fluoropolymer composite is presented, which includes reacting a surface of a nanocrystalline cellulose with a fluorinated electrophile to form a fluoro-functionalized nanocrystalline in which the outer circumference of the nanocrystalline cellulose has been functionalized with fluorinated substrates, and contacting the fluoro-functionalized nanocrystalline cellulose with a fluoropolymer to form a fluoropolymer composite. Also presented is a method of preparing fluoro-functionalized nanocrystalline cellulose, including reacting a surface of a nanocrystalline cellulose with a fluorinated electrophile forming fluoro-functionalized nanocrystalline cellulose in which the outer circumference of the nanocrystalline cellulose has been functionalized with fluorinated substrates, precipitating the fluoro-functionalized nanocrystalline cellulose and isolating and purifying the fluoro-functionalized nanocrystalline cellulose. |
US08674008B2 |
Electrical tracking resistance compositions, methods and articles of manufacture
This disclosure relates to polycarbonate compositions, methods, and articles of manufacture that at least meets certain electrical tracking resistance requirements. The compositions, methods, and articles of manufacture that meet these requirements contain at least a polycarbonate; a polysiloxane block co-polycarbonate; and a transition metal oxide, e.g. titanium dioxide. |
US08674003B2 |
Adhesive
An adhesive, including: at least 100 weight parts of an unsaturated polyester resin suitable for air-drying, between 0 and 5 weight parts of hydrogenated castor oil, between 1 and 20 weight parts of nano powder, between 0 and 100 weight parts of a filler, and between 1 and 15 weight parts of an anti-shrinking agent. |
US08673993B2 |
Method for producing a cross-linked elastomer
The invention relates to method for producing a crosslinked elastomer by radiating a polymer dispersion of at least one crosslinkable polymer with electromagnetic radiation in the ultraviolet (UV light) and/or visible spectral range, wherein the crosslinking is performed in at least two stages as pre-crosslinking and post-crosslinking and at least one photoinitiator is added to the polymer dispersion to trigger the crosslinking reaction prior to the pre-crosslinking. At least one photoinitiator is added once again to the pre-crosslinked polymer dispersion prior to and/or during the post-crosslinking, and the post-crosslinking is also performed with electromagnetic radiation in the ultraviolet (UV light) and/or visible spectral range. |
US08673991B2 |
Two-part curable polyurethane foam resin composition, molded article using the same, and shoe sole
The two-part curable polyurethane foam resin composition uses little or no petroleum-derived raw materials but a plant-derived raw material so that the biomass ratio can be improved, the load on the environment can be reduced, and excellent physical characteristics can be exhibited. The two-part curable polyurethane foam resin composition includes a main agent that contains an isocyanate-terminated urethane prepolymer (A) and a curing agent that contains an isocyanate-reactive compound (B), water (C), and a catalyst (D), in which a polyol component used in (A) and (B) uses a castor oil polyol (b1) having 1.5 to 2.3 functional groups on average and a polyol (b2) prepared by addition polymerization of lactone to polytetramethylene glycol and/or polytetramethylene glycol (b3), the ratio (b1)/(b2) and/or (b3)=15/85 to 60/40 on a mass basis, and a content of the castor oil polyol (b1) is 10 to 45% by mass. |
US08673986B2 |
Coacervate having an ionic polymer mixed with the adhesive protein of a mussel or of a species of the variome thereof
The present invention relates to a coacervate comprising a mussel adhesive protein and an anionic polymer, and more particularly, to a coacervate prepared by mixing a mussel adhesive protein with an anionic polymer, and a novel use thereof. In the present invention, a coacervate prepared by mixing a mussel adhesive protein and an anionic polymer shows a very excellent adhesive strength to various substrates such as cells or metals, and is able to maintain its adhesive strength in the presence of water or under water, thereby being effectively used as an adhesive. Moreover, it has an activity capable of encapsulating bioactive materials, thereby being effectively used as an active component of a composition for delivering bioactive materials. |
US08673985B2 |
Polycarbonate-polyorganosiloxane- and/or polyurethane-polyorganosiloxane compounds
The present invention relates to novel polycarbonate-polyorganosiloxane and/or polyurethane-polyorganosiloxane compounds, methods for their production, their use, functional formulations containing them, precursors for their production, as well as reactive compositions containing the aforementioned precursors. |
US08673984B2 |
Stable perfluorocarbon emulsion for use as an artificial oxygen carrier
Perfluorocarbon emulsions having a high stability and good oxygen release are disclosed and described. These perfluorocarbon emulsions are typically for use as artificial oxygen carriers. The perfluorocarbon emulsions include a disperse phase of a perfluorocarbon and an emulsion stabilizer, and continuous phase. The emulsion stabilizer can primarily include a poly(ethylene oxide) block copolymer. These stabilized perfluorocarbon emulsions can be used in liquid and/or hydrogel phases of perfusion bioreactors or various other culture systems to enhance cell viability in thick tissue constructs, or as blood substitutes, although other applications may also be considered. |
US08673977B2 |
Choline salts of succinic acid for the treatment of depression, anxiety, schizophrenia, sleep disorder, and epilepsy
The present invention relates to compositions and methods for treatment of depression, anxiety, schizophrenia, sleep disorder, or epilepsy comprising monocholine salt of succinic acid of a formula (I) or a pharmaceutically acceptable salt thereof. Preferably, the pharmaceutically acceptable salt is dicholine salt of succinic acid of a formula (II). |
US08673975B2 |
Indene derivatives as pharmaceutical agents
Compounds of formula (Ia): wherein R1, R2, R3, R4a, R4b, R5, and R6 are defined herein, as well as other indene derivatives are disclosed herein. Pharmaceutical compositions containing the compounds and methods of using the compounds are also disclosed. |
US08673973B2 |
Method for treating ocular hypertension and glaucoma
Provided is a method for treating ocular hypertension and glaucoma with reduced side effects such as keratoconjunctive disorders and macular edema, which comprises administering an ophthalmic composition comprising latanoprost as an active ingredient thereof to a subject in need of said treatment, wherein the ophthalmic composition contains substantially no benzalkonium chloride. |
US08673971B2 |
Derivatives of 4-nerolidylcatechol, pharmaceutical compositions comprising them and process for producing the same
The present invention discloses 4-nerolidylcatechol and its derivatives isolated from South American/Amazon plants (Pothomorphe species) and their potential use as therapeutical agent for treatment of malarial symptoms, including malarial patients resistant to traditional drugs. The present invention also discloses a method for producing 4-nerolidylcatechol and their derivatives. |
US08673968B2 |
Progesterone antagonists
Described herein are compounds which exhibit progesterone antagonistic effects and methods of treating cancer using such compounds. |
US08673967B2 |
Process
A process for producing a composition comprising at least 3% by weight of total tocopherols and tocotrienols comprises: providing a product obtained from palm oil comprising tocopherols and tocotrienols, together with free fatty acids and monoglycerides and diglycerides of fatty acids; hydrolysing at least part of the monoglycerides and diglycerides with a lipase to form the corresponding free fatty acids; removing at least part of the free fatty acids after hydrolysis; and recovering a composition comprising a higher level of tocopherols and tocotrienols than are present in the product obtained from palm oil. |
US08673966B2 |
ALDH-2 inhibitors in the treatment of addiction
Disclosed are novel isoflavone derivatives having the structure of Formula I: which are ALDH-2 inhibitors, useful for treating a patient in need thereof, for dependence upon drugs of addiction, for example addiction to dopamine-producing agent such as cocaine, morphine, amphetamines, nicotine, and alcohol. |
US08673962B2 |
3-phenyl-3-methoxypyrrolidine derivatives as modulators of cortical catecholaminergic neurotransmission
The present invention relates to novel 3-phenyl-3-methoxy-pyrrolidine derivatives, useful for modulating extracellular levels of catecholamines, dopamine and norepinephrine, in cerebral cortical areas of the mammalian brain, and more specifically for the treatment of central nervous system disorders. In other aspects the invention relates to pharmaceutical compositions comprising the 3-phenyl-3-methoxy-pyrrolidine derivatives of the invention and to the use of these compounds for therapeutic applications. |
US08673959B2 |
Polymer having indolocarbazole moiety and divalent linkage
A polymer comprising at least one type of repeat unit comprising at least one type of an optionally substituted indolocarbazole moiety and at least one divalent linkage. |
US08673954B2 |
Benzimidazole derivatives
The present invention discloses compounds of Formula (I), or pharmaceutically acceptable salts, esters, or prodrugs thereof: which inhibit RNA-containing virus, particularly the hepatitis C virus (HCV). Consequently, the compounds of the present invention interfere with the life cycle of the hepatitis C virus and are also useful as antiviral agents. The present invention further relates to pharmaceutical compositions comprising the aforementioned compounds for administration to a subject suffering from HCV infection. The invention also relates to methods of treating an HCV infection in a subject by administering a pharmaceutical composition comprising the compounds of the present invention. |
US08673952B2 |
Benzoxepin PI3K inhibitor compounds and methods of use
Benzoxepin compounds of Formula I, and including stereoisomers, geometric isomers, tautomers, solvates, metabolites and pharmaceutically acceptable salts thereof, are useful for inhibiting lipid kinases including p110 alpha and other isoforms of PI3K, and for treating disorders such as cancer mediated by lipid kinases. Methods of using compounds of Formula I for in vitro, in situ, and in vivo diagnosis, prevention or treatment of such disorders in mammalian cells, or associated pathological conditions, are disclosed. |
US08673947B2 |
Enhanced migraine treatments based on ghrelin mimetics
The present invention relates to method of enhancing the absorption of migraine medications and thereby treating migraines by co-administering to a subject in need thereof an effective amount of a ghrelin mimetic or pharmaceutically acceptable salt, hydrate or solvate thereof and at least one migraine medication selected from a serotonin 5-HT1B/1D receptor agonist, a tryptamine derivative, an ergoline derivative, a non-steroidal anti-inflammatory drug, or an analgesic, or any combination thereof. |
US08673931B2 |
Bicyclic heterocyclic spiro compounds
There are disclosed bicyclic heterocyclic spiro compounds; pharmaceutical compositions comprising these compounds; and methods for the treatment in a mammal of diseases and conditions which are susceptible to modulation of the M1 muscarinic receptor, including Alzheimer's disease, insulin resistance syndrome and type 2 diabetes. Other embodiments are also disclosed. |
US08673926B2 |
Spiro[2.4]heptanes for treatment of flaviviridae infections
Compounds, methods, and compositions for the treatment of infections in or exposure to humans and other host animals of Flaviviridae viruses, including HCV, that includes the administration of an effective amount of a spiro[2.4]heptane as described herein or a pharmaceutically acceptable salt or prodrug thereof, optionally in a pharmaceutically acceptable carrier, are provided. The spiro[2.4]heptane compounds either possess antiviral activity, or are metabolized to a compound that exhibits such activity. |
US08673924B2 |
Substituted pyrazolo[1,5-a]pyrimidines as cyclin dependent kinase inhibitors
In its many embodiments, the present invention provides a method of inhibiting one or more cyclin dependent kinases in a patient comprising administering to said patient a therapeutically effective amount of at least one pyrazolo[1,5-a]pyrimidine compound or a pharmaceutical composition comprising such compound, and methods of treatment, prevention, inhibition, or amelioration of one or more diseases associated with the CDKs using such compounds or pharmaceutical compositions. An example pyrazolo[1,5-a]pyrimidine compound useful in the present invention is shown below: |
US08673921B2 |
Polymorphic forms of 1-[4-(5-cyanoindol-3-yl)butyl]-4-(2-carbamoylbenzofuran-5-yl) piperazine hydrochloride
The invention relates to new crystalline modifications of the hydrochloride of 1-[4-(5-cyanoindol-3-yl)butyl]-4-(2-carbamoyl-benzofuran-5-yl)-piperazine, crystalline modification of the dihydrochloride of 1-[4-(5-cyanoindol-3-yl)butyl]-4-(2-carbamoyl-benzofuran-5-yl)-piperazine and amorphous 1-[4-(5-cyanoindol-3-yl)butyl]-4-(2-carbamoyl-benzofuran-5-yl)-piperazine hydrochloride which are suitable in particular for the preparation of solid medicaments for the treatment or prevention of depressive disorders, anxiety disorders, bipolar disorders, mania, dementia, substance-related disorders, sexual dysfunctions, eating disorders, obesity, fibromyalgia, sleeping disorders, psychiatric disorders, cerebral infarct, tension, for the therapy of side-effects in the treatment of hypertension, cerebral disorders, chronic pain, acromegaly, hypogonadism, secondary amenorrhea, premenstrual syndrome and undesired puerperal lactation. |
US08673920B2 |
Inhibitors of the renal outer medullary potassium channel
This invention relates to compounds having structural Formula I: and pharmaceutically acceptable salts thereof which are inhibitors of the Renal Outer Medullary Potassium (ROMK) channel (Kir1.1). The compounds of Formula I are useful as diuretics and natriuretics and therefore are useful for the therapy and prophylaxis of disorders resulting from excessive salt and water retention, including cardiovascular diseases such as hypertension and chronic and acute heart failure. |
US08673918B2 |
Compositions comprising sphingosine 1 phosphate (S1P) receptor modulators
The present invention relates to stable compositions comprising a sphingosine 1 phosphate (S1P) receptor modulator, suitable for use as a dosage form. The S1P receptor modulators are typically sphingosine analogues, such as 2-substituted 2-amino-propane-1,3-diol or 2-amino-propanol derivatives, e.g. a compound comprising a group of formula Y. |
US08673913B2 |
SHP-2 phosphatase inhibitor
A method of treating SHP-2 phosphatase associated diseases in a subject includes administering a SHP-2 inhibitor to the subject. |
US08673910B2 |
Proteasome inhibitors for selectively inducing apoptosis in cancer cells
The subject invention concerns compounds having activity as inhibitors of proteasomes and methods of using the subject compounds. In one embodiment, a compound of the invention has the chemical structure shown in formula I: or a pharmaceutically acceptable salt or hydrate thereof. In another embodiment, a compound of the invention has the chemical structure shown in formula II: or a pharmaceutically acceptable salt or hydrate thereof. |
US08673908B2 |
Kynurenine production inhibitor
Provided is a kynurenine production inhibitor comprising a nitrogen-containing heterocyclic compound represented by formula (I): (wherein R50 and R51 may be the same or different and each represent a hydrogen atom or the like, G1 and G2 may be the same or different and each represent a nitrogen atom or the like, X represents formula (III): (wherein m1 and m2 may be the same or different and each represent an integer of 0 or 1, Y represents an oxygen atom or the like, and R6 and R7 may be the same or different and each represent a hydrogen atom or the like), R1 represents optionally substituted lower alkyl or the like, R2 represents a hydrogen atom or the like, and R3 represents optionally substituted lower alkyl or the like), and the like. |
US08673905B2 |
Imidazo pyrazines
Compounds of formula and pharmaceutically acceptable salts thereof are described, as well as the pharmaceutical compositions containing said compounds and their pharmaceutically acceptable salts, and the use of said compounds and pharmaceutical compositions for the treatment, control or amelioration of AML. |
US08673897B2 |
Benzodiazepinone compounds and methods of treatment using same
The invention provides 1,4-benzodiazepinone compounds, pharmaceutical compositions, and methods of treating autoimmune disorders, chronic inflammatory disorders, and hyperproliferative disorders. For example, the 1,4-benzodiazepinone compounds and pharmaceutical compositions are contemplated to be useful for treating rheumatoid arthritis, graft-versus-host disease, inflammatory bowel disease, and the like. |
US08673894B2 |
2,5,6,7-tetrahydro-[1,4]oxazepin-3-ylamine or 2,3,6,7-tetrahydro-[1,4]oxazepin-5-ylamine compounds
This invention relates to compounds of the formula wherein A, B and R1 to R7 are as described below, or to pharmaceutically acceptable salts thereof. These compounds are BACE1 and/or BACE2 inhibitors and can be used as medicaments for the therapeutic and/or prophylactic treatment of diseases such as Alzheimer's disease, diabetes, particularly type 2 diabetes, and other metabolic disorders. |
US08673893B2 |
Substituted indazole derivatives active as kinase inhibitors
Substituted indazole derivatives of formula (I) and pharmaceutically acceptable salts thereof, as defined in the specification, process for their preparation and pharmaceutical compositions comprising them are disclosed; the compounds of the invention may be useful in therapy in the treatment of diseases associated with a deregulated protein kinase activity, like cancer. |
US08673890B2 |
2,3-dihydro-1H-isoindol-1-imine derivatives useful as thrombin PAR-1 receptor antagonist
The present invention is directed to novel 2,3-dihydro-1H-isoindol-1-imine derivatives, pharmaceutical compositions containing them and their use in the treatment of disorders and conditions modulated by the thrombin PAR-1 receptor antagonists. |
US08673886B2 |
Use of nifuratel to treat infections caused by Atopobium species
The present invention is directed to the use of nifuratel, or a physiologically acceptable salt thereof, to treat infections caused by Atopobium species. The invention is further directed to the use of nifuratel to treat bacteriuria, urinary tract infections, infections of external genitalia in both sexes, as well as bacterial vaginosis, or mixed vaginal infections in women, when one or more species of the genus Atopobium are among the causative pathogens of those infections. |
US08673878B2 |
Mucosal treatment
The invention provides a method of treatment of a female human or non-human subject to enhance cervical mucus penetrability by spermatozoa, which method comprises vaginally applying to said subject an effective amount of a spermicide-free, physiologically tolerable oligouronate. |
US08673877B2 |
Use of lactulose in the treatment of autism
A treatment for autism in which an effective amount of lactulose is administered in order to bind excess ammonia in the gastrointestinal tract, the bloodstream, and the nervous system in order to prevent or reverse ammonia poisoning caused by the administration of certain antibiotics. Lactulose molecules in the colon are fermented by certain bacteria. The fermentation process lowers the colonic pH, and ammonia, in the form of ammonium ions, is used by the bacteria for amino acid and protein synthesis. This lowers the serum ammonia levels and reduces neurotoxicity. |
US08673874B2 |
Methods for treating pancreatic cancer
The present invention provides a method of treating pancreatic cancer by inhibiting the activity cyclin D1 activity in tumor cells. The invention is based on the finding that cyclin D1 shRNA molecules are capable of attenuating tumor growth and interfering with tumor angiogenesis. |
US08673869B2 |
Determinants of sensitivity to chemotherapeutic agents
The present invention provides methods for determining the level of resistance of a tumor cell to one or more chemotherapeutic agents, comprising measuring the level of expression of a muscle ankyrin repeat protein in the tumor cell. The invention also provides methods for increasing the sensitivity of a tumor cell to one or more chemotherapeutic agents, comprising administering to the cell an effective amount of an antagonist of a muscle ankyrin repeat protein. The invention further provides compositions for use in accordance with methods of the invention. |
US08673868B2 |
Compositions including triciribine and one or more platinum compounds and methods of use thereof
This application encompasses combination therapies including triciribine and related compounds and one or more platinum compounds and compositions with reduced toxicity for the treatment and prevention of tumors, cancer, and other disorders associated with abnormal cell proliferation. |
US08673866B2 |
Stabilized formulation for oral administration of therapeutic agents and related methods
Stable formulations for the oral administration of therapeutic agents, methods for administering therapeutic agents using the formulations, and methods for treating conditions and diseases using the formulations. |
US08673860B2 |
Extended recombinant polypeptides and compositions comprising same
The present invention relates to compositions comprising biologically active proteins linked to extended recombinant polypeptide (XTEN), isolated nucleic acids encoding the compositions and vectors and host cells containing the same, and methods of using such compositions in treatment of glucose-related diseases, metabolic diseases, coagulation disorders, and growth hormone-related disorders and conditions. |
US08673859B2 |
GM-CSF cosmeceutical compositions and methods of use thereof
It has been discovered that granulocyte macrophage colony stimulating factor (“GM-CSF”) promotes migration of activated (but not differentiating) keratinocytes to wound sites. It was also discovered that GM-CSF increases the quantity and improves the quality of collagen. This growth factor specifically increases migration of keratinocytes of the “wound” phenotype but does not have significant effects upon differentiated keratinocytes. Examples demonstrate reversal of skin impairment in multiple animal models of diabetic skin imparment when provided in an effective amount over an effective time period. The examples also demonstrate the efficacy of the formulations in cosmetic applications. A preferred formulation is a sustained release formulation that delivers sufficient growth factor to the skin and the underlying tissue thereof to increase the rate of keratinocyte migration, as well as collagen deposition and fibroblast proliferation, in the skin to promote rejuvenation of skin injuries resistant to repair due to underlying disease, such as diabetes, or aging. |
US08673857B2 |
Long term potentiation with cyclic-GluR6 analogs
This invention discloses CN2097-like compositions that facilitate the induction of long-term potentiation (LTP). In one embodiment the method comprises inducing long-term potentiation in a subject by the method of administering a therapeutically effective dose of a CN2097-like compound. |
US08673853B2 |
Method and device for repairing the larynx, trachea and other fibrocartilaginous tissues
Provided herein are methods and devices for inducing the formation of functional replacement nonarticular cartilage tissues and ligament tissues. These methods and devices involve the use of osteogenic proteins, and are useful in repairing defects in the larynx, trachea, interarticular menisci intervertebral discs, ear, nose, ribs and other fibrocartilaginous tissues in a mammal. |
US08673849B2 |
Lactadherin-derived peptides as antiviral agents
The present invention relates to monomeric and multimeric peptidic compounds which have antiviral activity, particularly against integrin-using viruses, more particularly against rotavirus. Further, the present invention refers to compositions comprising said peptidic compounds for medical use or for use as food additives. |
US08673847B2 |
Peptide based peroxidase inhibitors and methods of using same
The present invention provides peptide-based peroxidase inhibitors having the formula AA1-AA2-AA3, wherein AA1 is a positively charged, negatively charged or neutral amino acid, AA2 is a redox active amino acid, and AA3 is an amino acid possessing a reducing potential such that AA3 is capable of undergoing a redox reaction with a radical of amino acid AA2 or a retro or retro-inverso analog thereof. The result of such a combination is a highly effective inhibitor of peroxidase activity that has potent anti-inflammatory properties in widely diverse models of vascular disease and injury. Exemplary tripeptides effectively inhibit peroxidase mediated LDL oxidation, increase vasodilation in SCD mice, inhibit eosinophil infiltration and collagen deposition in asthma mice, inhibit acute lung injury, and decrease ischemic injury of the heart. |
US08673846B2 |
Cell proliferation-promoting peptide and use thereof
A cell proliferation promoter includes, as an active ingredient, an artificially synthesized peptide that includes (A) an amino acid sequence constituting a membrane-permeable peptide and (B) an amino acid sequence selected from SEQ ID NOs: 19 to 103 or an amino acid sequence formed by substituting, deleting and/or adding one or several amino acid residues in the selected amino acid sequence. |
US08673845B2 |
Carrier peptide fragment and use thereof
The method for transferring a foreign substance provided by the present invention includes the steps of: preparing a construct for transferring a foreign substance that contains a carrier peptide fragment including either the amino acid sequence WRRQARFK (SEQ ID NO. 1) or any amino acid sequence formed by the substitution, deletion, and/or addition (insertion) of 1, 2, or 3 amino acid residues in the amino acid sequence, and a foreign substance of interest that is bonded to the N-terminus and/or C-terminus of the carrier peptide fragment; supplying the construct for transferring a foreign substance to a test sample that contains a target eukaryotic cell; and incubating the test sample that has been supplied with the construct for transferring a foreign substance to thereby transfer the construct into the eukaryotic cell in the test sample. |
US08673844B2 |
Method of inhibiting progression of type 1 diabetes by administering soluble CD137
A method for treating or preventing a T-cell-mediated autoimmune disease is provided herein, the method including administering to a mammal in need thereof a therapeutically effective amount of soluble CD137 or CD137pos regulatory T cells. Also provided are pharmaceutical compositions for treating or preventing T-cell-mediated autoimmune diseases, the pharmaceutical compositions including a therapeutically effective amount of soluble CD137 or CD137pos regulatory T cells and a pharmaceutically-acceptable carrier. |
US08673838B2 |
Solid concentrated fabric softener composition
A solid fabric softening composition is disclosed which includes a quaternary ammonium fabric softening compound which is stabilized to form a solid with a mixture of a water soluble organic salt and a medium to long chain carboxylic acid. This stabilizing combination has been shown to effectively form a solid quaternary ammonium based fabric softening composition with up to as much as 70% by weight of quaternary ammonium compound. The formulations are stable at typical storage temperatures of up to 110° F. and provide fabric softening similar to and even superior to other traditional liquid formulations. |
US08673836B2 |
Laundry detergent composition with a reactive dye
Laundry detergent compositions comprising 0.00001% to 0.01% by weight of reactive dyes are disclosed. The laundry detergent compositions provide the benefit of imparting a favorable shade to fabrics without undesirable buildup of dyes on the fabrics. |
US08673835B2 |
Treatment methods using disinfecting compositions containing a polymer complex of organic acid
The present invention provides compositions which not only effectively kill a broad spectrum of microorganisms present on surfaces on contact but continue to kill microorganisms for a period of time thereafter. |
US08673833B2 |
Low irritancy cleansing compositions
A low irritancy cleansing composition comprises: (a) an anionic surfactant compound of formula (I): wherein R1 represents a C4-36 substituted or unsubstituted hydrocarbyl group; each of R2, R3, R4 and R5 independently represents a hydrogen atom or a C1-4 alkyl group and wherein at least one of R2, R3, R4 and R5 is not hydrogen and M+ represents a cation; and (b) an amphoteric surfactant; wherein the molar ratio of component (a) to component (b) is from 0.25:1 to 4:1 and wherein the composition comprises less than 3 wt % polyethoxylated non-ionic species. |
US08673828B2 |
Lubricating composition with an adaptable coefficient of friction, for a threaded element of a component of a tubular threaded joint
A thin adhesive lubricating composition that can cover at least one thread and a screwing abutment of a threaded element of a component of a tubular threaded joint. The screwing abutment can bear against another abutment of another component of the tubular threaded joint in a terminal make up phase. The lubricating composition includes a matrix in which there is dispersed at least one braking additive selected to impart thereto, in addition to lubrication, a coefficient of friction to make it possible to obtain a torque on shoulder resistance value at least equal to a threshold value. |
US08673825B2 |
Drilling fluid additive with low freezing point
A drilling fluid additive, is provided, and the additive comprises: syrup solids and a liquid medium; and the sugar content of the additive is directly proportionate to the freezing point of the additive. |
US08673824B2 |
Encoded self-assembling chemical libraries (ESACHEL)
The invention provides a chemical compound comprising a chemical moiety (p) capable of performing a binding interaction with a target molecule, and an oligonucleotide (b) or functional analogue thereof. The oligonucleotide (b) or functional analogue comprises at least one self-assembly sequence (b1) capable of performing a combination reaction with at least one self-assembly sequence (b1′) of a complementary oligonucleotide or functional analogue bound to another chemical compound comprising a chemical moiety (q). In some embodiments, the chemical compound comprises a coding sequence (b1) coding for the identification of the chemical moiety (p) and further comprises at least one self-assembly moiety (m) capable of performing a combination reaction with at least one self-assembly moiety (m′) of a similar chemical compound comprising a chemical moiety (q). The invention also provides corresponding libraries of chemical compounds as well as methods of biopanning of for target molecules and of identifying such targets. |
US08673820B2 |
Method of qualifying niobium and/or other super conducting materials for reliable fabrication of superconducting radio frequency (SCRF) cavities
A method of qualifying Niobium and/or other super conducting materials for the reliable fabrication of SCRF cavities, which will invariably deliver high accelerating fields including: identification of the best superconducting lower critical field (HC1) based on subjecting a sample of the superconducting material selectively to mechanical stress, annealing at various temperatures, various chemical treatments, post-chemical treatment baking/annealing; and identification of the best possible thermal conductivity of the material at said best superconducting lower critical field (HC1) to thereby qualify the superconducting material for the reliable fabrication of SCRF cavities adapted to deliver high accelerating fields. |
US08673817B2 |
Control of phenoxyalkanoic acid herbicide-resistant weeds with 4-amino-3-chloro-6-(4-chloro-2-fluoro-3-methoxyphenyl)pyridine-2-carboxylic acid and its salts or esters
4-Amino-3-chloro-6-(4-chloro-2-fluoro-3-methoxyphenyl)pyridine-2-carboxylic acid and its salts or esters effectively controls phenoxyalkanoic acid herbicide-resistant weeds despite having the same mode of action as phenoxyalkanoic acid herbicides. |
US08673814B2 |
Synergistic combinations which are compatible with cultivated plants and which comprise herbicides selected from the group consisting of benzoylcyclohexanediones for use in rice crops
Synergistic combinations are provided which are compatible with cultivated plants and which comprise herbicides selected from the group consisting of benzoylcyclohexanediones for use in rice cropsHerbicidal combinations are provided comprising bensulfuron-methyl, benzobicyclon, bromobutide, cyhalofop-butyl, ethoxysulfuron, fenoxaprop-P-ethyl, fentrazamide, pyrazolynate, pyrimisulfan, sulcotrione, tefuryltrione, tembotrione and, if appropriate, isoxadifen-ethyl are described. These combinations exhibit an effect which is superior to that of the herbicides used individually. |
US08673813B2 |
Pesticide
Composition for controlling insects and representatives of the order Acarina, which comprises a combination of variable amounts of one or more compounds of the formula in which A is an unsubstituted or, depending on the possibility of substitution on the ring system, mono- to tetrasubstituted, aromatic or non-aromatic monocyclic or bicyclic heterocyclic radical, in which the substituents of A can be chosen from the group consisting of C1-C3alkyl, C1-C3alkoxy, halogen, halo-C1-C3alkyl, cyclopropyl, halocyclopropyl, C2-C3alkenyl, C2-C3alkynyl, halo-C2-C3alkenyl, halo-C2-C3alkynyl, halo-C1-C3alkoxy, C1-C3alkylthio, Halo-C1-C3alkylthio, allyloxy, propargyloxy, allylthio, propargylthio, haloallyloxy, haloallylthio, cyano and nitro; R is hydrogen, C1-C6alkyl, phenyl-C1-C4alkyl, C3-C6cycloalkyl, C2-C6alkenyl or C2-C6alkynyl; and X is N—NO2 or N—CN, in the free form or in salt form, if appropriate tautomers, in the free form or salt form, and one or more of the compounds (I) to (CLXXXIV) mentioned according to the invention and at least one auxiliary. A method of controlling pests, a process for the preparation of the composition, its use and plant propagation material treated with it, and the use of the compound of the formula (A) for the preparation of the composition, are described. |
US08673810B2 |
Photo electrodes
Methods of fabricating nano particulate Titanium dioxide photocatalysts onto a conducting substrate are disclosed. The methods include hydrothermal fabrications with heat treatment steps to increase the crystallinity and photoactivity of the titanium dioxide layers. |
US08673808B2 |
Catalyst for hydrocarbon steam cracking, method of preparing the same and method of preparing olefin by using the same
The present invention relates to a catalyst for hydrocarbon steam cracking, a method of preparing the same, and a method of preparing olefin by the hydrocarbon steam cracking by using the catalyst, and more specifically, to a catalyst for hydrocarbon steam cracking for preparing light olefin including an oxide catalyst (0.5≦j≦120, 1≦k≦50, A is transition metal, and x is a number corresponding to the atomic values of Cr, Zr, and A and values of j and k) represented by CrZrjAkOx, wherein the composite catalyst is a type that has an outer radius r2 of 0.5R to 0.96R (where R is a radius of a cracking reaction tube), a thickness (t; r2−r1) of 2 to 6 mm, and a length h of 0.5r2 to 10r2, a method of preparing the same, and a method of preparing light olefins such as ethylene, propylene, etc., by performing the hydrocarbon steam cracking reaction in the presence of the composite catalyst. The present invention can provide catalysts for hydrocarbon steam cracking having high physical strength, excellent stability at high temperature, low non-activation due to coke, and improved yield and selectivity of light olefins. |
US08673807B2 |
Catalyst composition for the synthesis of thin multi-walled carbon nanotube
The present invention relates to a catalyst composition for the synthesis of thin multi-walled carbon nanotube(MWCNT). More particularly, this invention relates to a multi-component metal catalyst composition comprising i) main catalyst of Co and Al, ii) inactive support of Mg and iii) optional co-catalyst at least one selected from Ni, Cr, Mn, Mo, W, Pb, Ti, Sn, or Cu. Further, the present invention affords thin multi-walled carbon nanotube having 5˜20 nm of diameter and 100˜10,000 of aspect ratio in a high yield. |
US08673805B2 |
MoS2 catalyst for the conversion of sugar alcohol to hydrocarbons
Cellulose and hemicellulose from biomass can be broken down to C6 and C5 sugars and further converted to corresponding sugar alcohols. It is now found that a new catalyst, MoS2, is active for the hydrogenation of sugar alcohols to hydrocarbons. Combining the technologies listed above allows us to convert the cellulose/hemicellulose to liquid hydrocarbons. |
US08673803B2 |
Alkaline-earth metal compound-containing zeolite catalyst, method for preparing same, method for regenerating same, and method for producing lower hydrocarbons
An alkaline-earth metal compound-containing zeolite catalyst composed of a composite material comprising at least a first component, a second component, and a third component. The first component is composed of at least one of zeolites selected from a group consisting of proton-type zeolites and ammonium type zeolites. The second component is composed of at least one of alkaline-earth metal compounds. The third component is composed of at least one selected from a group consisting of aluminum oxides, aluminum hydroxides, silicon oxides, silicon hydroxides, and clay minerals. The first component has a molar ratio of Si/Al of 10 or more and 300 or less. Content of the second component relative to the first component is 0.3 mass % or more and less than 10 mass % as alkaline-earth metal. Content of the third component relative to the first component is 15 mass % or more and 200 mass % or less. |
US08673796B2 |
Spinel light-transmitting window material and method for producing the same
To provide a light-transmitting window material made of a spinel sintered body, wherein the largest diameter of pores contained in the light-transmitting window material is not more than 100 μm, and the number of pores having a largest diameter of not less than 10 μm is not more than 2.0 per 1 cm3 of the light-transmitting window material, and wherein light scattering factors are further reduced, and a method for producing a spinel light-transmitting window material including the steps of preparing a spinel molded body; a primary sintering step of sintering the spinel molded body at normal pressure or less or in a vacuum at a temperature in the range of 1500 to 1900° C.; and a secondary sintering step of sintering the spinel molded body under pressure at a temperature in the range of 1500 to 2000° C., wherein the relative density of the spinel molded body after the primary sintering step is 95 to 96% and the relative density of the spinel molded body after the secondary sintering step is 99.8% or more. |
US08673795B2 |
Si3N4 insulator material for corona discharge igniter systems
A silicon nitride material is disclosed which has properties necessary for efficient operation of a corona discharge igniter system in an internal combustion gas engine allowing an increase in fuel efficiency of over 10%. The material is disclosed in a range of compositions, all of which exhibit high dielectric strengths, high mechanical strength, thermal shock resistance and fracture toughness, low dielectric constant and loss tangent and electrical resistivity, all of which significantly increase the efficiency of the igniter system over current state of the art alumina insulators. Moreover, the materials retain their dielectric strength and structural integrity at elevated temperatures, up to 800° C.-1000° C. One embodiment comprises a sintered silicon nitride process comprising powder batching, binder removal and sintering. In the preferred embodiment the method of manufacture for silicon nitride is an SRBSN process comprising powder batching, powder pressing, binder removal, nitriding and sintering. |
US08673794B1 |
Multiphase eutectic ceramics
Solid material powders are described that include a first compound that is a non-oxide ceramic compound and a second compound that is a non-oxide, in relative amounts with respect to each other to form a eutectic mixture. The solid material has two discrete phases arranged in an interpenetrating three-dimensional microstructure. Methods for preparation of the solid materials, and shaped sintered articles from the materials, along with methods and techniques for their manufacture, are also disclosed. |
US08673791B2 |
Method and apparatus for substrate-mask alignment
A shadow masking device for use in the semiconductor industry includes self-aligning mechanical components that permit shadow masks to be exchanged while maintaining precise alignment with the target substrate. The misregistration between any two of the various layers in the formed structure can be kept to less than 40 microns. |
US08673790B2 |
Method of manufacturing a semiconductor device, method of cleaning a process vessel, and substrate processing apparatus
A method of manufacturing a semiconductor device includes supplying a process gas into a process vessel accommodating a substrate to form a thin film on the substrate and supplying a cleaning gas into the process vessel to clean an inside of the process vessel, after the supplying the process gas to form the thin film is performed a predetermined number of times. When cleaning the inside of the process vessel, a fluorine-containing gas, an oxygen-containing gas and a hydrogen-containing gas are supplied as the cleaning gas into the process vessel heated and kept at a pressure less than an atmospheric pressure to remove a deposit including the thin film adhering to the inside of the process vessel through a thermochemical reaction. |
US08673789B2 |
Method for fabricating carbon hard mask and method for fabricating patterns of semiconductor device using the same
A method for fabricating a carbon hard mask layer includes: loading a substrate with a pattern target layer into a chamber; performing a primary thermal treatment on the substrate; depositing a carbon hard mask layer over the pattern target layer by using CxHy gas to perform the primary thermal treatment; performing a secondary thermal treatment on the substrate on which the carbon hard mask layer is deposited; and performing an oxygen treatment on the carbon hard mask layer. |
US08673787B2 |
Method to reduce charge buildup during high aspect ratio contact etch
A method of high aspect ratio contact etching a substantially vertical contact hole in an oxide layer using a hard photoresist mask is described. The oxide layer is deposited on an underlying substrate. A plasma etching gas is formed from a carbon source gas. Dopants are mixed into the gas. The doped plasma etching gas etches a substantially vertical contact hole through the oxide layer by doping carbon chain polymers formed along the sidewalls of the contact holes during the etching process into a conductive state. The conductive state of the carbon chain polymers reduces the charge buildup along sidewalls to prevent twisting of the contact holes by bleeding off the charge and ensuring proper alignment with active area landing regions. The etching stops at the underlying substrate. |
US08673786B2 |
Method for manufacturing microstructure using self-assembly of amphiphilic polymer
According to one embodiment, a method for manufacturing a microstructure includes forming a guide film on a patterning material, forming a cured film, forming a mask member, and performing processing of the patterning material using the mask member as a mask. An opening is made in the guide film. An upper surface of the guide film is hydrophilic, a side surface of the opening is hydrophobic. The forming the cured film includes applying a solution to cover the patterning material and the guide film, separating the solution into a hydrophobic block and a hydrophilic block, and curing the solution. The solution contains an amphiphilic polymer having a hydrophobic portion and a hydrophilic portion. A length of the hydrophobic portion is longer than a length of the hydrophilic portion. The mask member is formed by removing the hydrophilic block from the cured film. |
US08673785B2 |
Gas distribution system having fast gas switching capabilities
A gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus is provided. The gas distribution system can include a gas supply section, a flow control section and a switching section. The gas supply section provides first and second gases, typically gas mixtures, to the flow control section, which controls the flows of the first and second gases to the chamber. The chamber can include multiple zones, and the flow control section can supply the first and second gases to the multiple zones at desired flow ratios of the gases. The gas distribution system can continuously supply the first and second gases to the switching section and the switching section is operable to switch the flows of the first and second gases, such that one of the first and second process gases is supplied to the chamber while the other of the first and second gases is supplied to a by-pass line, and then to switch the gas flows. The switching section preferably includes fast switching valves operable to quickly open and close to allow fast switching of the first and second gases, preferably without the occurrence of undesirable pressure surges or flow instabilities in the flow of either gas. |
US08673784B2 |
Method for producing silicon epitaxial wafer
The method for producing a silicon epitaxial wafer according to the present invention has: a growth step F at which an epitaxial layer is grown on a silicon single crystal substrate; a first polishing step D at which, before the growth step, at least a front surface of the silicon single crystal substrate is polished without using abrasive grains; and a second polishing step G at which at least the front surface of the silicon single crystal substrate is subjected to finish polishing after the growth step. |
US08673783B2 |
Metal conductor chemical mechanical polish
The present disclosure provides a method of fabricating a semiconductor device, a semiconductor device fabricated by such a method, and a chemical mechanical polishing (CMP) tool for performing such a method. In one embodiment, a method of fabricating a semiconductor device includes providing an integrated circuit (IC) wafer including a metal conductor in a trench of a dielectric layer over a substrate, and performing a chemical mechanical polishing (CMP) process to planarize the metal conductor and the dielectric layer. The method further includes cleaning the planarized metal conductor and dielectric layer to remove residue from the CMP process, rinsing the cleaned metal conductor and dielectric layer with an alcohol, and drying the rinsed metal conductor and dielectric layer in an inert gas environment. |
US08673782B2 |
Methods of manufacturing NAND flash memory devices
A NAND flash memory device includes a plurality of continuous conductors disposed on a common level of a multilayer substrate, the plurality of continuous conductors including respective conductive lines extending in parallel along a first direction, respective contact pads disposed at ends of the respective conductive lines and respective conductive dummy lines extending in parallel from the contact pads along a second direction. |
US08673774B2 |
Method for forming a via in a substrate
The present invention relates to a method for forming a via in a substrate. The method includes the following steps: (a) providing a substrate; (b) forming a groove that has a side wall and a bottom wall on a first surface of the substrate; (c) forming a first conductive metal on the side wall and the bottom wall of the groove so as to form a central groove; (d) forming a center insulating material in the central groove; (e) forming an annular groove that surrounds the first conductive metal on the first surface of the substrate; (f) forming a first insulating material in the annular groove; and (g) removing part of the substrate to expose the first conductive metal, the center insulating material and the first insulating material. |
US08673766B2 |
Methods of forming copper-based conductive structures by forming a copper-based seed layer having an as-deposited thickness profile and thereafter performing an etching process and electroless copper deposition
Disclosed herein are various methods of forming copper-based conductive structures on integrated circuit devices. In one example, the method includes forming a trench/via in a layer of insulating material, performing a deposition process to form an as-deposited copper-based seed layer above the layer of insulating material in the trench/via, wherein the copper-based seed layer has a first portion that is positioned above a bottom of the trench/via that is thicker than second portions of the copper seed layer that are positioned above sidewalls of the trench/via, performing an etching process on the as-deposited copper-based seed layer to substantially remove portions of the second portions of the as-deposited copper-based seed layer and performing an electroless deposition process to fill the trench/via with a copper-based material. |
US08673765B2 |
Method and apparatus for back end of line semiconductor device processing
Methods and apparatus are disclosed for the back end of line process for fabrication of integrated circuits (ICs). The inter-metal dielectric (IMD) layer between two metal layers may comprise an etching stop layer over a metal layer, a low-k dielectric layer over the etching stop layer, a dielectric hard mask layer over the low-k dielectric layer, an nitrogen free anti-reflection layer (NFARL) over the dielectric hard mask layer, and a metal-hard-mask (MHM) layer of a thickness in a range from about 180 Å to about 360 Å over the NFARL. The MHM layer thickness is optimized at the range from about 180 Å to about 360 Å to reduce the Cu pits while avoiding the photo overlay shifting issue. |
US08673764B1 |
Method and system for making and cleaning semiconductor device
Various embodiments provide methods and systems for making and/or cleaning semiconductor devices. In one embodiment, a semiconductor device can be formed including a metal layer and a photoresist polymer. During formation, the semiconductor device can be cleaned in a cleaning chamber by a first cleaning solution provided from a solution supply device. After this cleaning process, a second cleaning solution containing metal ions and/or polymer residues can be produced and processed in a solution processing device to at least partially remove the metal ions and/or polymer residues to produce a third cleaning solution for re-use. In an exemplary fabrication or cleaning system, the solution processing device may be configured connecting to either an inlet or an outlet of the cleaning chamber. After cleaning, the semiconductor device can be processed to include a metal plug or an interconnect wiring. |
US08673759B2 |
Dry etch polysilicon removal for replacement gates
Semiconductor devices are formed with a gate last, high-K/metal gate process with complete removal of the polysilicon dummy gate and with a gap having a low aspect ratio for the metal fill. Embodiments include forming a dummy gate electrode on a substrate, the dummy gate electrode having a nitride cap, forming spacers adjacent opposite sides of the dummy gate electrode forming a gate trench therebetween, dry etching the nitride cap, tapering the gate trench top corners; performing a selective dry etch on a portion of the dummy gate electrode, and wet etching the remainder of the dummy gate electrode. |
US08673755B2 |
Semiconductor device having metal gate and manufacturing method thereof
A manufacturing method of a semiconductor device having metal gate includes providing a substrate having a first semiconductor device, a second semiconductor device, and a first insulating layer covering the first semiconductor device and the second semiconductor device formed thereon, performing an etching process to remove a portion of the first insulating layer to expose a portion of the first semiconductor device and the second semiconductor device, forming a second insulating layer covering the first semiconductor device and the second semiconductor device, performing a first planarization process to remove a portion of the second insulating layer, forming a first gate trench and a second gate trench respectively in the first semiconductor device and the second semiconductor device, and forming a first metal gate and a second metal gate respectively in the first gate trench and the second gate trench. |
US08673751B2 |
Laser crystallization system and method of manufacturing display apparatus using the same
A laser crystallization system and a method of manufacturing a display apparatus using the laser crystallization system are disclosed. In one embodiment, the system includes i) a mother substrate in which first, second, and third display regions and ii) a stage for supporting the mother substrate and moving in first and second directions perpendicular to each other. The embodiment also includes i) a first laser irradiation unit for irradiating a first laser beam having a width greater than or identical to a width of a side of one of the first, second, and third display regions in the first direction and ii) a second laser irradiation unit spaced apart from the first laser irradiation unit and irradiating a second laser beam having a width greater than or identical to the width of the one side in the first direction. |
US08673750B2 |
Single crystal silicon TFTs made by lateral crystallization from a nanowire seed
A method can include depositing a thin metal film on a substrate of a sample, establishing a metal island on the substrate by patterning the thin metal film, and annealing the sample to de-wet the metal island and form a metal droplet from the metal island. The method can also include growing a nanowire on the substrate using the metal droplet as a catalyst, depositing a thin film of a semiconductor material on the sample, annealing the sample to allow for lateral crystallization to form a crystal grain, and patterning the crystal grain to establish a crystal island. An electronic device can be fabricated using the crystal island. |
US08673748B2 |
Method for fabricating semiconductor thin film using substrate irradiated with focused light, apparatus for fabricating semiconductor thin film using substrate irradiated with focused light, method for selectively growing semiconductor thin film using substrate irradiated with focused light, and semiconductor element using substrate irradiated with focused light
An apparatus (100) for fabricating a semiconductor thin film includes: substrate surface pretreatment means (101) for pretreating a surface of a substrate; organic layer coating means (102) for coating, with an organic layer, the substrate thus pretreated; focused light irradiation means (103) for irradiating, with focused light, the substrate coated with the organic layer, and for forming a growth-mask layer while controlling layer thickness; first thin film growth means (104) for selectively growing a semiconductor thin film over an area around the growth-mask layer; substrate surface treatment means (105) for, after exposing the surface of the substrate by removing the growth-mask layer, modifying the exposed surface of the substrate; and second thin film growth means (106) for further growing the semiconductor thin film and growing a semiconductor thin film over the modified surface of the substrate. |
US08673747B2 |
Method of fabricating semiconductor device
A method of fabricating a semiconductor device includes: forming an epitaxial layer on a semiconductor substrate; forming a capping layer having a first thickness on the epitaxial layer; and oxidizing the capping layer in an oxygen atmosphere to form a first gate dielectric layer having a second thickness. |
US08673744B2 |
Wiring substrate, manufacturing method thereof, and semiconductor package
A disclosed wiring substrate includes an insulating layer, a recess formed on a surface of the insulating layer, and an alignment mark formed inside of the recess, wherein a face of the alignment mark is roughened, recessed from the surface of the insulating layer, and exposed from the recess. |
US08673743B2 |
Wafer dividing method
A wafer is divided by setting the focal point of a laser beam inside the wafer at positions corresponding to division lines, thereby forming modified layers inside the wafer along the division lines. Each modified layer has a thickness ranging from the vicinity of the front side of the wafer to the vicinity of the back side of the wafer. An etching gas or an etching liquid is supplied to the wafer to erode the modified layers, thereby dividing the wafer into individual devices. The modified layers are not crushed, so fine particles are not generated in dividing the wafer. Accordingly, fine particles do not stick to the surface of each device and cause a reduction in quality. Further, since the modified layers are removed by etching, it is possible to prevent a reduction in die strength of each device due to the remainder of the modified layers. |
US08673738B2 |
Shallow trench isolation structures
Shallow trench isolation structures are provided for use with UTBB (ultra-thin body and buried oxide) semiconductor substrates, which prevent defect mechanisms from occurring, such as the formation of electrical shorts between exposed portions of silicon layers on the sidewalls of shallow trench of a UTBB substrate, in instances when trench fill material of the shallow trench is subsequently etched away and recessed below an upper surface of the UTBB substrate. |
US08673736B2 |
Method of forming SOI-like structure in a bulk semiconductor substrate by annealing a lower portion of a trench while protecting an upper portion of the trench
Bulk silicon is transformed into an SOI-like structure by annealing. Trenches are formed in a bulk substrate to define device sites. The lower portions of the trenches are annealed at low pressure in a hydrogen atmosphere. This transforms the lower trench portions to expanded, spheroidal voids that extend under the device sites. Neighboring voids each reside about half way under an intervening site. A silicon-consuming process forms a liner on the walls of the voids, with the liners on neighboring voids abutting to isolate the intervening device site from the substrate and other device sites. |
US08673735B2 |
Semiconductor device and method for making same
A semiconductor device includes a substrate having at least one nitride material lined isolation cavity; and a hafnium containing dielectric fill at least partially contained in and at least partially covering at least a portion of the at least one nitride lined isolation cavity. |
US08673734B2 |
Semiconductor device and method for fabricating the same
A semiconductor device and a method for fabricating the same are disclosed. The method for fabricating the semiconductor device includes forming an shallow trench isolation (STI) in a substrate, sequentially forming an oxide layer and a nitride layer over the substrate, patterning the nitride layer and the oxide layer to expose a portion of the substrate adjacent to the STI layer, forming a field oxide layer contacting the STI layer in the exposed portion of the substrate, removing the nitride layer, etching a portion of the patterned oxide layer to form a first gate oxide layer contacting the field oxide layer, forming a second gate oxide layer over the substrate, and forming a gate pattern over the field oxide layer, the first gate oxide layer, and the second gate oxide layer. |
US08673731B2 |
Techniques for gate workfunction engineering to reduce short channel effects in planar CMOS devices
Techniques for gate workfunction engineering using a workfunction setting material to reduce short channel effects in planar CMOS devices are provided. In one aspect, a method of fabricating a CMOS device includes the following steps. A SOI wafer is provided having a SOI layer over a BOX. A patterned dielectric is formed on the wafer having trenches therein present over active areas in which a gate stack will be formed. Into each of the trenches depositing: (i) a conformal gate dielectric (ii) a conformal gate metal layer and (iii) a conformal workfunction setting metal layer. A volume of the conformal gate metal layer and/or a volume of the conformal workfunction setting metal layer deposited into a given one of the trenches are/is proportional to a length of the gate stack being formed in the given trench. A CMOS device is also provided. |
US08673729B1 |
finFET eDRAM strap connection structure
A method of forming a strap connection structure for connecting an embedded dynamic random access memory (eDRAM) to a transistor comprises forming a buried oxide layer in a substrate, the buried oxide layer defining an SOI layer on a surface of the substrate; forming a deep trench through the SOI layer and the buried oxide layer in the substrate; forming a storage capacitor in a lower portion of the deep trench; conformally doping a sidewall of an upper portion of the deep trench; depositing a metal strap on the conformally doped sidewall and on the storage capacitor; forming at least one fin in the SOI layer, the fin being in communication with the metal strap; forming a spacer over the metal strap and over a juncture of the fin and the metal strap; and depositing a passive word line on the spacer. |
US08673728B2 |
Complementary stress liner to improve DGO/AVT devices and poly and diffusion resistors
Electron mobility and hole mobility is improved in long channel semiconductor devices and resistors by employing complementary stress liners. Embodiments include forming a long channel semiconductor device on a substrate, and forming a complementary stress liner on the semiconductor device. Embodiments include forming a resistor on a substrate, and tuning the resistance of the resistor by forming a complementary stress liner on the resistor. Compressive stress liners are employed for improving electron mobility in n-type devices, and tensile stress liners are employed for improving hole mobility in p-type devices. |
US08673727B1 |
Flexible non-volatile memory
A manufacturing method for manufacturing a flexible non-volatile memory is provided. The manufacturing method comprises the steps outlined below. A flexible substrate is provided. A planarization layer is formed on the flexible substrate. A metal bottom electrode layer is deposited on the planarization layer. A mask is formed to define a plurality of patterns. An AZTO layer having a plurality of electrically independent AZTO cells is deposited on the metal bottom electrode layer corresponding to the patterns. A top electrode layer is deposited on the AZTO layer corresponding to the AZTO cells to form a plurality of non-volatile memory cells. |
US08673726B2 |
Transistor structure with a sidewall-defined intrinsic base to extrinsic base link-up region and method of forming the transistor
Disclosed are embodiments of a bipolar or heterojunction bipolar transistor and a method of forming the transistor. The transistor can incorporate a dielectric layer sandwiched between an intrinsic base layer and a raised extrinsic base layer to reduce collector-base capacitance Ccb, a sidewall-defined conductive strap for an intrinsic base layer to extrinsic base layer link-up region to reduce base resistance Rb and a dielectric spacer between the extrinsic base layer and an emitter layer to reduce base-emitter Cbe capacitance. The method allows for self-aligning of the emitter to base regions and incorporates the use of a sacrificial dielectric layer, which must be thick enough to withstand etch and cleaning processes and still remain intact to function as an etch stop layer when the conductive strap is subsequently formed. A chemically enhanced high pressure, low temperature oxidation (HIPOX) process can be used to form such a sacrificial dielectric layer. |
US08673724B2 |
Methods of fabricating semiconductor devices
Provided are methods of fabricating a semiconductor device that include providing a substrate that includes a first region having a gate pattern and a second region having a first trench and an insulating layer that fills the first trench. A portion of a sidewall of the first trench is exposed by etching part of the insulating layer and a first spacer is formed on a sidewall of the gate pattern. A second spacer is formed on the exposed sidewall of the first trench, wherein the first spacer and the second spacer are formed simultaneously. |
US08673720B2 |
Structure and fabrication of field-effect transistor having nitrided gate dielectric layer with tailored vertical nitrogen concentration profile
An insulated-gate field-effect transistor (110, 114, or 122) is fabricated so that its gate dielectric layer (500, 566, or 700) contains nitrogen having a vertical concentration profile specially tailored to prevent boron in the overlying gate electrode (502, 568, or 702) from significantly penetrating through the gate dielectric layer into the underlying channel zone (484, 554, or 684) while simultaneously avoiding the movement of nitrogen from the gate dielectric layer into the underlying semiconductor body. Damage which could otherwise result from undesired boron in the channel zone and from undesired nitrogen in the semiconductor body is substantially avoided. |
US08673718B2 |
Methods of forming FinFET devices with alternative channel materials
One method involves providing a substrate comprised of first and second semiconductor materials, performing an etching process through a hard mask layer to define a plurality of trenches that define first and second portions of a fin for a FinFET device, wherein the first portion is the first material and the second portion is the second material, forming a layer of insulating material in the trenches, performing a planarization process on the insulating material, performing etching processes to remove the hard mask layer and reduce a thickness of the second portion, thereby defining a cavity, performing a deposition process to form a third portion of the fin on the second portion, wherein the third portion is a third semiconducting material that is different from the second material, and performing a process such that a post-etch upper surface of the insulating material is below an upper surface of the third portion. |
US08673714B2 |
Semiconductor device and method of forming the same
A semiconductor device includes a semiconductor substrate having a groove; a gate insulator; a first diffusion region; a gate electrode; a hydrogen-containing insulator; and a fluorine-containing insulator. The gate insulator covers inside surfaces of the groove. The first diffusion region is formed in the substrate. The first diffusion region has a first contact surface that contacts the gate insulator. The gate electrode is formed on the gate insulator and in the groove. The hydrogen-containing insulator is formed over the gate electrode and in the groove. The hydrogen-containing insulator is adjacent to the gate insulator. The fluorine-containing insulator is formed on the hydrogen-containing insulator and in the groove. The first contact surface includes Si—H bonds and Si—F bonds. |
US08673713B2 |
Method for forming a transistor with recessed drain and source areas and non-conformal metal silicide regions
A non-conformal metal silicide in a transistor of recessed drain and source configuration may provide enhanced efficiency with respect to strain-inducing mechanisms, drain/source resistance and the like. For this purpose, in some cases, an amorphizing implantation process may be performed prior to the silicidation process, while in other cases an anisotropic deposition of the refractory metal may be used. |
US08673712B2 |
Power transistor with high voltage counter implant
Presented herein is a field effect transistor device, optionally a lateral power transistor, and a method for forming the same, comprising providing a substrate, creating a doped buried layer, and creating a primary well in the substrate on the buried layer. A drift drain may be created in the primary well and a counter implant region implanted in the primary well and between the drift drain and the buried layer. The primary well may comprise a first and second implant region with the second implant region at a depth less than the first. The counter implant may be at a depth between the first and second implant regions. The primary well and counter implant region may comprise dopants of the same conductivity type, or both p+-type dopants. A gate may be formed over a portion of a drift drain. |
US08673706B2 |
Methods of forming layers comprising epitaxial silicon
The invention includes methods of forming layers comprising epitaxial silicon. In one implementation, an opening is formed within a first material received over a monocrystalline material. Opposing sidewalls of the opening are lined with a second material, with monocrystalline material being exposed at a base of the second material-lined opening. A silicon-comprising layer is epitaxially grown from the exposed monocrystalline material within the second material-lined opening. At least a portion of the second material lining is in situ removed. Other aspects and implementations are contemplated. |
US08673705B2 |
Method of producing thin film transistor and thin film transistor
[Object] To provide a method of producing a thin film transistor superior in productivity and capable of preventing variation in transistor characteristics among devices from occurring to improve carrier mobility, and a thin film transistor.[Solving Means] In a method of producing a thin-film transistor according to the present invention, a solid-state green laser is irradiated onto a channel portion of an amorphous silicon film using a source electrode film and a drain electrode film as masks, thereby improving mobility. Since the channel portion of the amorphous silicon film is crystallized by the irradiation of the solid-state green laser, laser oscillation characteristics can be more stable than in a conventional method that uses an excimer laser. Further, laser irradiation onto a large-size substrate at uniform output characteristics in plane becomes possible, with the result that a variation in crystallinity of channel portions among devices can be avoided. Moreover, since a maintenance cycle of a laser oscillator becomes longer, a downtime cost of the apparatus can be reduced and productivity can be improved. |
US08673704B2 |
FinFET and method for manufacturing the same
A FinFET and a method for manufacturing the same are disclosed. The FinFET comprises an etching stop layer on a semiconductor substrate; a semiconductor fin on the etching stop layer; a gate conductor extending in a direction perpendicular to a length direction of the semiconductor fin and covering at least two side surfaces of the semiconductor fin; a gate dielectric layer between the gate conductor and the semiconductor fin; a source region and a drain region which are provided at two ends of the semiconductor fin respectively; and an interlayer insulating layer adjoining the etching stop layer below the gate dielectric layer, and separating the gate conductor from the etching stop layer and the semiconductor fin. A height of the fin of the FinFET is approximately equal to a thickness of a semiconductor layer for forming the semiconductor fin. |
US08673703B2 |
Fabrication of graphene nanoelectronic devices on SOI structures
A semiconductor-on-insulator structure and a method of forming the silicon-on-insulator structure including an integrated graphene layer are disclosed. In an embodiment, the method comprises processing a silicon material to form a buried oxide layer within the silicon material, a silicon substrate below the buried oxide, and a silicon-on-insulator layer on the buried oxide. A graphene layer is transferred onto the silicon-on-insulator layer. Source and drain regions are formed in the silicon-on-insulator layer, and a gate is formed above the graphene. In one embodiment, the processing includes growing a respective oxide layer on each of first and second silicon sections, and joining these silicon sections together via the oxide layers to form the silicon material. The processing, in an embodiment, further includes removing a portion of the first silicon section, leaving a residual silicon layer on the bonded oxide, and the graphene layer is positioned on this residual silicon layer. |
US08673702B2 |
Field shield dielectric as a mask during semiconductor ink jet printing
A display device and method for fabricating includes patterning a field shield dielectric layer to expose conductors and form a cavity over the conductors. InkJet printing a semiconductor material fills a portion of the cavity in contact with the conductors. An insulation material is deposited on the semiconductor material. A pixel pad is formed over the insulation material and the field shield dielectric layer. A pixel is formed which includes a thin film transistor with an ink jet printed semiconductor layer. |
US08673700B2 |
Superjunction structures for power devices and methods of manufacture
A power device includes a semiconductor region which in turn includes a plurality of alternately arranged pillars of first and second conductivity type. Each of the plurality of pillars of second conductivity type further includes a plurality of implant regions of the second conductivity type arranged on top of one another along the depth of pillars of second conductivity type, and a trench portion filled with semiconductor material of the second conductivity type directly above the plurality of implant regions of second conductivity type. |
US08673699B2 |
Semiconductor structure having NFET extension last implants
A method of forming a semiconductor structure which includes an extremely thin silicon-on-insulator (ETSOI) semiconductor structure having a PFET portion and an NFET portion, a gate structure in the PFET portion and the NFET portion, a high quality nitride spacer adjacent to the gate structures in the PFET portion and the NFET portion and a doped faceted epitaxial silicon germanium raised source/drain (RSD) in the PFET portion. An amorphous silicon layer is formed on the RSD in the PFET portion. A faceted epitaxial silicon RSD is formed on the ETSOI adjacent to the high quality nitride in the NFET portion. The amorphous layer in the PFET portion prevents epitaxial growth in the PFET portion during formation of the RSD in the NFET portion. Extensions are ion implanted into the ETSOI underneath the gate structure in the NFET portion. |
US08673697B2 |
Thin film transistor, method of fabricating the same and organic light emitting diode display device having the same
A method of fabricating a thin film transistor, comprising steps of preparing a substrate; forming a polycrystalline silicon layer on the substrate; injecting impurities into the polycrystalline silicon layer for channel doping; patterning the polycrystalline silicon layer and forming a semiconductor layer; annealing the semiconductor layer in an H2O atmosphere, and forming a thermal oxide layer on the semiconductor layer; forming a silicon nitride layer on the thermal oxide layer; forming a gate electrode at a location corresponding to a predetermined region of the semiconductor layer; forming an interlayer insulating layer on the entire surface of the substrate; and forming source and drain electrodes electrically connected with the semiconductor layer. |
US08673696B2 |
SOI semiconductor device comprising a substrate diode with reduced metal silicide leakage
When forming substrate diodes in SOI devices, superior diode characteristics may be preserved by providing an additional spacer element in the substrate opening and/or by using a superior contact patterning regime on the basis of a sacrificial fill material. In both cases, integrity of a metal silicide in the substrate diode may be preserved, thereby avoiding undue deviations from the desired ideal diode characteristics. In some illustrative embodiments, the superior diode characteristics may be achieved without requiring any additional lithography step. |
US08673695B2 |
Sapphire wafer dividing method
A sapphire wafer dividing method including a modified layer forming step of forming a plurality of modified layers inside a sapphire wafer along a plurality of crossing division lines formed on the front side where a light emitting layer is formed, and a chamfering and dividing step of forming a plurality of cut grooves on the back side of the sapphire wafer along the division lines, thereby dividing the sapphire wafer into individual light emitting devices along the modified layers as a division start point, wherein the corners of the back side of each light emitting device are chamfered by the formation of the cut grooves in the chamfering and dividing step. |
US08673691B2 |
Method for manufacturing a semiconductor device
A method for manufacturing a semiconductor device has a step of forming a first substrate; a step of facing a first main electrode to the first metal foil, and electrically connecting the first main electrode and the first metal foil; a step of facing a second main electrode to the second metal foil, and electrically connecting the second main electrode and the second metal foil; a step of forming a second substrate; and steps of facing a surface side of the second substrate to a surface side of the first substrate; electrically connecting the third metal foil and a third main electrode provided on a main surface of the first semiconductor element; and electrically connecting the fourth metal foil and a fourth main electrode provided on a main surface of the second semiconductor element. |
US08673688B2 |
Semiconductor package and method of manufacturing the same
A semiconductor package includes a circuit substrate, a semiconductor chip on the circuit substrate, an inner solder ball between the circuit substrate and the semiconductor chip, and dummy solder filling a dummy opening in at least one of an substrate insulation layer of the circuit substrate and a chip insulation layer. The dummy solder does not electrically connect the semiconductor chip with the substrate. The circuit substrate may include a base substrate, a substrate connection terminal on the base substrate, and the substrate insulation layer covering the base substrate. The semiconductor chip may include a chip connection terminal and the chip insulation layer exposing the chip connection terminal. The inner solder ball may be interposed between the substrate connection terminal and the chip connection terminal to electrically connect the circuit substrate to the semiconductor chip. |
US08673685B1 |
Electronic component mounting line and electronic component mounting method
Disclosed is an electronic component mounting line on which a substrate undergoes solder paste printing, electronic component placements, and then reflow, while being moved from upstream to downstream. The line includes: a substrate feeding machine; a printing machine for applying solder paste to a first placement area of the substrate; a first electronic component placement machine for placing a first electronic component on the first placement area; a second electronic component placement machine for dispensing a thermosetting resin onto a reinforcement position on a peripheral edge portion of a second placement area of the substrate, and for placing on the area the second electronic component having solder bumps; and a reflow machine for bonding the electronic components to the substrate, by heating and cooling the resultant. The second electronic component is placed after the resin is dispensed, such that a peripheral edge portion thereof comes in contact with the resin. |
US08673684B2 |
Semiconductor device and manufacturing method thereof
A disclosed semiconductor device includes a wiring board, a semiconductor element mounted on a principal surface of the wiring board with flip chip mounting, a first conductive pattern formed on the principal surface along at least an edge portion of the semiconductor element, a second conductive pattern formed on the principal surface along the first conductive pattern and away from the first conductive pattern, a passive element bridging between the first conductive pattern and the second conductive pattern on the principal surface of the wiring board, and a resin layer filling a space between the wiring board and the semiconductor chip, wherein the resin layer extends between the semiconductor element and the first conductive pattern on the principal surface of the wiring board. |
US08673679B2 |
Enhanced vision system for screen printing pattern alignment
Embodiments of the invention also generally provide a solar cell formation process that includes the formation of metal contacts over heavily doped regions that are formed in a desired pattern on a surface of a substrate. Embodiments of the invention also provide an inspection system and supporting hardware that is used to reliably position a similarly shaped, or patterned, metal contact structure on the patterned heavily doped regions to allow an Ohmic contact to be made. The metal contact structure, such as fingers and busbars, are formed on the heavily doped regions so that a high quality electrical connection can be formed between these two regions. |
US08673676B2 |
Surface processing method of silicon substrate for solar cell, and manufacturing method of solar cell
Disclosed is a surface processing method of a crystalline silicon substrate for a solar cell, and a method for manufacturing a solar cell. The surface processing method of a substrate for a solar cell comprises first surface processing step for forming a plurality of first protrusions on surfaces of a substrate by etching the crystalline silicon substrate by using an aqueous solution, second surface processing step for forming a plurality of second protrusions smaller than the first protrusions by adhering etching residues onto an upper surface, a light receiving surface among the surfaces of the substrate, by using first etching gas, and residue removing step for removing etching residues adhered onto the upper surface of the substrate having undergone the second surface processing step. |
US08673675B2 |
Humidity control and method for thin film photovoltaic materials
A method for processing a thin film photovoltaic module. The method includes providing a plurality of substrates, each of the substrates having a first electrode layer and an overlying absorber layer composed of copper indium gallium selenide (CIGS) or copper indium selenide (CIS) material. The absorber material comprises a plurality of sodium bearing species. The method maintains the plurality of substrates in a controlled environment after formation of at least the absorber layer through one or more processes up to a lamination process. The controlled environment has a relative humidity of less than 10% and a temperature ranging from about 10 degrees Celsius to about 40 degrees Celsius. The method subjects the plurality of substrates to a liquid comprising water at a temperature from about 10 degrees Celsius to about 80 degrees Celsius to process the plurality of substrates after formation of the absorber layer. The plurality of substrates having the absorber layer is subjected to an environment having a relative humidity of greater than about 10% to a time period of less then four hours. |
US08673672B2 |
Method for making Cu2-xSe nanoparticles and method for making deposited Cu2-xSe thin film by electrophoresis
In the present invention, copper(I) selenide (Cu2-xSe) nanoparticles are fabricated by pyrolysis in an inert atmosphere. Uniformly dispersed Cu2-xSe particles are synthesized by altering Cu/Se ratio, the concentration of Se Precursors (TOP Se), reaction time and temperature. Analysis by inductively coupled plasma atomic emission spectroscopy (ICP-AES) of said Cu2-xSe nanoparticles reveals that the composition of the nanoparticles is Cu 1.95Se, wherein x=0.05. In addition, Cu2-xSe is dissolved in ethanol to deposit thin films by electrophoretical deposition (EPD) in an inert atmosphere, wherein a positive electrode and a negative electrode are employed. The positive electrode is made of stainless steel plate and the negative electrode is made of indium tin oxide on a glass substrate. Investigations on properties and surface morphology thereof in different electrophoretical conditions are carried out. The rate of EPD is found to significantly influence the quality of thin films. |
US08673669B2 |
Method of making a CMOS image sensor and method of suppressing dark leakage and crosstalk for a CMOS image sensor
A CMOS image sensor, in which an implantation process is performed on substrate under isolation structures each disposed between two adjacent photosensor cell structures. The implantation process is a destructive implantation to form lattice effects/trap centers. No defect repair process is carried out after the implantation process is performed. The implants can reside at the isolation structures or in the substrate under the isolation structures. Dark leakage and crosstalk are thus suppressed. |
US08673662B2 |
Light-emitting diode cutting method and product thereof
A light-emitting diode (LED) cutting method includes the following steps: positioning and retaining an LED die or an LED epitaxial substrate on a die retainer; introducing a liquid medium for preventing reflection of sound wave between a cutting tool and the die; activating a power source to drive a magnetostrictive material or piezoelectric ceramic material mounted on a machine to serve as a kinetic source by inducing volume expansion/compression that generates an up-and-down piston-like movement; and operating the cutting tool having super hard micro-particles of diamond, CBN, or SiC electroformed on the cutting tool to perform breaking cutting on an LED workpiece. |
US08673661B2 |
Display apparatus and method of manufacturing the same
A display apparatus including: a plurality of thin film transistors; and an interconnect region, wherein each of the thin film transistors includes a first protective film held in contact with a channel layer and disposed remotely from a gate electrode, a second protective film disposed on the first protective film, and a source and drain electrode assembly including a pair of electrodes held in contact with the channel layer, and the interconnect region includes a first interconnect, a second interconnect disposed in alignment with the first interconnect, and an insulating layer interposed between the first interconnect and the second interconnect and having a stacked structure including a first insulating film joined to the gate insulating film and a second insulating film joined to the second protective film. |
US08673659B2 |
Method of fabricating semiconductor device including process monitoring pattern having overlapping input/output pad array area
The semiconductor device includes a process monitoring pattern and an input/output (I/O) pad array area, the process monitoring pattern including a lower layer having a peripheral area surrounding a first internal area, the first internal area exposed by an internal open area, an external structure on the peripheral area of the lower layer, and a first dam disposed in the peripheral area spaced apart from the external structure by an external open area, the first dam defining the first internal area. The peripheral area overlaps the input/output (I/O) pad array area of the semiconductor device. |
US08673646B2 |
Electrochemical biosensor for direct determination of percentage of glycated hemoglobin
The invention provides electrochemical biosensors for direct determination of percentage of glycated hemoglobin in blood samples without the need of a separated measurement of total hemoglobin content in blood samples. The invention provides methods for using the electrochemical biosensors. |
US08673644B2 |
Serum markers for type II diabetes mellitus
A method for identifying persons with increased risk of developing type 2 diabetes mellitus utilizing selected biomarkers described hereafter either alone or in combination. The present invention allows for broad based, reliable, screening of large population bases and provides other advantages, including the formulation of effective strategies for characterizing, archiving, and contrasting data from multiple sample types under varying conditions. |
US08673643B2 |
Closed loop monitoring of automated molecular pathology system
A closed loop automated method for staining of a biological sample is provided. The method comprises providing a biological sample, staining at least a portion of the biological sample by flowing in a reagent, monitoring one or more optical characteristics of the biological sample, and calculating a figure of merit based on at least one of the optical characteristics. An automated device for iterative staining of a biological sample is also provided. |
US08673641B2 |
On-line detection method of chromium-free coating film thickness on surface of strip steel
A measuring method of chromium-free coating film thickness on surface of strip steel comprising selecting two water-soluble chemical substances containing elements P, Ca, Ti, Ba or Sr and not reacting with a chromium-free coating liquid; adding the chemical substances into the chromium-free coating liquid and agitating them to be homogeneous, thereafter, fabricating a reference sample of coating film; using a ray emitted by an off-line film thickness instrument to excite the two water-soluble chemical substances so as to obtain characteristic spectrums to obtain a correction function expression between the measured film thickness and the thickness correction value by fitting; adding the water-soluble chemical substance which has a weak characteristic spectrum into a chromium-free coating liquid, and using the expression to obtain the actual coating film thickness. The method is capable to monitor film thickness with no adverse effect on adhesiveness and corrosion-proof of the coating film. |
US08673640B2 |
Porous scaffold, method of producing the same and method of using the porous scaffold
A porous scaffold having pores for seeding cells characterized in that, in the outer peripheral face of the porous main body having the pores for seeding cells, a porous membrane having pores smaller than the cells is located. Thus, it is possible to provide a porous scaffold whereby the cells can be seeded at a high efficiency while preventing cell leakage and, moreover, even cells having little adhesiveness can be adhered. |
US08673636B2 |
Method for enzymatic treatment, device and kit used the same
One embodiment provides a method for enzymatic treatment, including the steps of forming a closed space on a local tissue area with a device and infusing an enzyme solution into the closed space for enzymatic treatment. The method according to the embodiment is capable of treating the local tissue area with enzymes for enhancing cell proliferation in the treated tissue area and preventing damage of the adjacent normal tissues. A device and kit used for the method are also provided. |
US08673635B2 |
Isolated liver stem cells
Isolated liver progenitor stem cells and cell populations of isolated liver progenitor stem cells are disclosed. The progenitor stem cells originate from adult liver, especially human adult liver. The isolated progenitor stem cells have uses in medicine, hepatology, inborn errors of liver metabolism transplantation, infectious diseases and liver failure. Methods of isolating these cells and their culture is described. The isolated cells are characterized before and after differentiation. Their use for transplantation and as animal models of human disease, toxicology and pharmacology is disclosed. |
US08673627B2 |
Apparatus and methods for performing electrochemical reactions
The invention is directed to apparatus and methods for delivering multiple reagents to, and monitoring, a plurality of analytical reactions carried out on a large-scale array of electronic sensors underminimal noise conditions. In one aspect, the invention provides method of improving signal-to-noise ratios of output signals from the electronic sensors sensing analytes or reaction byproducts by subtracting an average of output signals measured from neighboring sensors where analyte or reaction byproducts are absent. In other aspects, the invention provides an array of electronic sensors integrated with a microwell array for confining analytes and/or particles for analytical reactions and a method for identifying microwells containing analytes and/or particles by passing a sensor-active reagent over the array and correlating sensor response times to the presence or absence of analytes or particles. Such detection of analyte- or particle-containing microwells may be used as a step in additional noise reduction methods. |
US08673621B2 |
Biomolecule interaction using atomic force microscope
The present patent application describes a cantilever for atomic force microscopy (AFM), which includes a cantilever body having a fixed end and a free end, the free end having a surface region being chemically modified by a dendron in which a plurality of termini of the branched region of the dendron are bound to the surface, and a terminus of the linear region of the dendron is functionalized. |
US08673620B2 |
Radioresistant alga of the Coccomyxa genus
The invention relates to novel algae of the Coccomyxa genus, in particular the algae of a novel species called Coccomyxa actinabiotis, and to the use thereof for metal uptake from aqueous media, and in particular from radioactive media. |
US08673619B2 |
Production of cyanobacterial or algal biomass using chitin as a nitrogen source
A process of growing a culture of cyanobacteria or algae using chitin or chitosan as a source of nitrogen for photosynthetic growth is described. This process can be used to remove pollutants from nitrogen-deficient natural waters or wastewaters. Biomass that results from photosynthetic growth on chitin can be used, either as whole cells or the isolated components of the cells, for a large variety of commercial purposes. |
US08673618B2 |
Construction of highly efficient cellulase compositions for enzymatic hydrolysis of cellulose
This invention provides novel enzyme compositions using newly identified and isolated C. lucknowense enzymes, including CBH Ib CBH IIb, EG II, EG VI, β-glucosidase, and xylanase II in conjunction with previously identified enzymes CBH Ia, CBH IIa (previously described as Endo 43), and EG V. These enzyme compositions demonstrate an extremely high ability to convert lignocellulosic biomass (e.g., Avicel, cotton, Douglas fir wood pretreated by organosolv) to glucose. CBH Ia and IIb, which both have a cellulose-binding module (CBM) displayed a pronounced synergism with three major endoglucanases (EG II, EG V, EG VI) from the same fungus in hydrolysis of cotton as well as a strong synergy with each other. The enzyme compositions are effective in hydrolysis of the lignocellulosic biomass. |
US08673617B2 |
Culture medium for Haemophilus influenzae type B
The invention relates to a culture medium for Haemophilus influenzae type b, characterized in that the source of protein nitrogen is of non-animal origin and comprises at least one plant peptone and in that the heme source consists of protoporphyrin IX. This medium serves in particular for the production of polyribosyl phosphate (PRP) and for the manufacture of a vaccine against Haemophilus influenzae type b meningitis. |
US08673602B2 |
Recombinant bacteria having improved sucrose utilization
Recombinant bacteria having an improved ability to utilize sucrose are provided. These recombinant bacteria have nucleotide sequences encoding sucrose utilization polypeptides integrated into their genome between the yihP gene or its homolog and the yihO gene or its homolog. Additionally, methods of utilizing the recombinant bacteria to produce products such as glycerol and glycerol-derived products are provided. |
US08673599B2 |
Preparation of 6-aminocaproic acid from 5-formylvaleric acid
The invention relates to a method for preparing 6-aminocaproic acid (hereinafter also referred to as ‘6-ACA’) using a biocatalyst. The invention further relates to a method for preparing e-caprolactam (hereafter referred to as ‘caprolactam’) by cyclising such 6-ACA. The invention further relates to a host cell, a micro-organism, or a polynucleotide which may be used in the preparation of 6-ACA or caprolactam. |
US08673598B2 |
Microbial succinic acid producers and purification of succinic acid
The present invention relates to bacterial strains, capable of utilizing glycerol as a carbon source for the fermentative production of succinic acid, wherein said strains are genetically modified so that they comprise a deregulation of their endogenous pyruvate-formate-lyase enzyme activity, as well as to methods of producing organic acids, in particular succinic acid, by making use of such microorganism. The present invention also relates to the downstream processing of the produced organic acids by cation exchange chromatography. |
US08673595B2 |
Sample analysis method and assay kit used therein
One embodiment is related to a method of analyzing plural samples. The method includes amplifying a plurality of samples using a first primer and second primer, wherein the first primer includes a tag sequence having a sequence different from a sample to one another and wherein a second primer used in pair with the first primer in independent reaction systems for the respective samples to obtain an amplified product in which the tag sequence is introduced, mixing amplified products obtained in the plurality of reaction systems, making the mixed amplified product react with a nucleic acid probe immobilized on a substrate, and detecting the amount of hybridization that has occurred. |
US08673592B2 |
Anti system ASC amino acid transporter 2 (ASCT2) antibody
An object of the present invention is to provide a monoclonal antibody which is useful for treating or diagnosing a disease relating to system ASC amino acid transporter 2 (ASCT2) or a method using the antibody. The present invention provides a monoclonal antibody which specifically recognizes a native three-dimensional structure of an extracellular region of system ASC amino acid transporter 2 (ASCT2) and binds to the extracellular region, or an antibody fragment thereof; a hybridoma which produces the antibody; a DNA which encodes the antibody; a vector which contains the DNA; a transformant obtainable by introducing the vector; a process for producing an antibody or an antibody fragment thereof using the hybridoma or the transformant; and a therapeutic agent using the antibody or the antibody fragment thereof, and a diagnostic agent using the antibody or the antibody fragment thereof. |
US08673591B2 |
Genetic incorporation of 3-aminotyrosine into reductases
This invention provides reductase proteins that comprise NH2Y unnatural amino acid residues, systems of orthogonal elements for incorporating NH2Y into reductases and methods of using NH2Y amino acid residues in reductases as molecular probes for probing reductases function, structure and activity. |
US08673579B2 |
Peptide probes for diagnostics and therapeutics
Disclosed are agents and methods that may be used to diagnose and treat a variety of diseases associated with conformationally-altered proteins. The agents and methods may be used to identify and deliver drugs useful for treating diseases associated with conformationally-altered proteins. |
US08673577B2 |
Method for examining acute renal disorder
Provided is a test method for acute kidney injury, including detecting urinary podocalyxin. According to the test method, a subject to be tested who has a higher value for the urinary podocalyxin than a reference value can be assessed to have acute kidney injury. Further, as compared to a conventional method, the test method allows acute kidney injury to be assessed accurately and non-invasively, which allows a physical burden on a patient to be reduced. Thus, the test method is useful. |
US08673573B2 |
Use of eIF3m for the diagnosis and treatment of cancer
Disclosed are a cancer marker-detecting composition comprising an agent for measuring an mRNA or protein expression level of eIF3m, a cancer diagnosis kit comprising the same, a method for detecting an eIF3m polynucleotide or protein by treating a biological specimen with the agent to detect a substance binding specifically to the agent and quantitatively comparing the substance between a subject and a normal control, and a method for the treatment and prevention of cancer comprising an agent for down-regulating the expression of an eIF3m polynucleotide or protein. |
US08673571B2 |
Method for accurate assessment of DNA quality after bisulfite treatment
The present invention is directed to methods useful for determining DNA quality after bisulfite treatment. The methods include a PCR-based assay, which allows ab-initio assessment of the DNA quality after bisulfite treatment and can help to prevent inaccurate quantitative measurement resulting from poor bisulfite treatment. |
US08673567B2 |
Method and kit for nucleic acid sequence detection
A method and kit for detecting the presence of a target sequence in a polynucleotide analyte contained in a sample are disclosed. In practicing the method, the sample is mixed with a single-stranded DNA target probe having a sequence capable of hybridizing with the target sequence, under conditions effective to form a double-stranded complex of the analyte and the single-stranded DNA target probe, and the single-stranded DNA target probe in the complex is reacted in the presence of a polymerase and one to three nucleotide triphosphates, to add a selected one or more target-directed nucleotide bases to single-stranded DNA target probe's 3′ end to produce a modified probe. The modified probe is hybridized with a single-stranded DNA detection probe, the two probes are ligated to form a two-probe ligation product, and the presence of the ligation product is detected. |
US08673566B2 |
Method for detection of Staphylococcus epidermidis
A method for detecting the bacteria Staphylococcus epidermidis includes isolating DNA from a biological sample suspected of containing the bacteria. The method further includes subjecting the DNA to a Polymerase Chain Reaction (PCR) amplification method utilizing at least one primer derived from a cell division gene. The method may further include characterizing an indicator of a Staphylococcus epidermidis phenotype of interest. The method additionally includes detecting the bacterium Staphylococcus epidermidis by visualizing the product of the polymerase chain reaction. Amplification products of cell division genes and virulence genes are also provided. |
US08673563B2 |
Amplification method of methylated or unmethylated nucleic acid
The object of the present invention is to provide a gene amplification method, wherein the method can amplify both methylated and unmethylated nucleic acids present in a biological sample, and further regulate the amplification ratio of the methylated and/or unmethylated nucleic acid as needed. Such objects can be solved by an amplification method using a nonspecific primer which can hybridize both with methylated and unmethylated nucleic acids and a specific primer which specifically hybridizes with either methylated or unmethylated nucleic acid, and further by an amplification method which can change the amplification ratio of methylated or unmethylated nucleic acid by changing the mixing rate of these primers. |
US08673561B2 |
Methods for nucleic acid manipulation
A method for replicating and amplifying a target nucleic acid sequence is described. A method of the invention involves the formation of a recombination intermediate without the prior denaturing of a nucleic acid duplex through the use of a recombination factor. The recombination intermediate is treated with a high fidelity polymerase to permit the replication and amplification of the target nucleic acid sequence. In preferred embodiments, the polymerase comprises a polymerase holoenzyme. In further preferred embodiments, the recombination factor is bacteriophage T4 UvsX protein or homologs from other species, and the polymerase holoenzyme comprises a polymerase enzyme, a clamp protein and a clamp loader protein, derived from viral, bacteriophage, prokaryotic, archaebacterial, or eukaryotic systems. |
US08673560B2 |
Methods and compositions for multiplex PCR
The present invention provides methods, compositions, kits, systems and apparatus that are useful for multiplex PCR of one or more nucleic acids present in a sample. In particular, various target-specific primers are provided that allow for the selective amplification of one or more target sequences. In one aspect, the invention relates to target-specific primers useful for the selective amplification of one or more target sequences associated with cancer or inherited disease. In some aspects, amplified target sequences obtained using the disclosed methods, kits, systems and apparatuses can be used in various downstream processes including nucleic acid sequencing and used to detect the presence of genetic variants. |
US08673557B2 |
Coupling endonucleases with end-processing enzymes drives high efficiency gene disruption
The present disclosure relates to the co-expression of an endonuclease with an end-processing enzyme for the purpose of enhanced processing of the polynucleotide ends generated by endonuclease cleavage. |
US08673554B2 |
Multiplex cell signalling assays
Disclosed are methods useful in multiplex cell-based assays for compound screening employing imaging instrumentation. The methods described herein offer high content information relating to the biological potency of test agents, off-target effects and cellular toxicity of potential drug candidates. |
US08673550B2 |
MSP nanopores and related methods
Provided herein are Mycobacterium smegmatis porin nanopores, systems that comprise these nanopores, and methods of using and making these nanopores. Such nanopores may be wild-type MspA porins, mutant MspA porins, wild-type MspA paralog porins, wild-type MspA homolog porins, mutant MspA paralog porins, mutant MspA homolog porins, or single-chain Msp porins. Also provided are bacterial strains capable of inducible Msp porin expression. |
US08673545B2 |
Method for manufacturing liquid crystal display device
In a method of manufacturing a liquid crystal display device in which a plurality of pixels are arranged in a matrix, each of the pixels has an insulator wall structure at a boundary of the pixels, and a wall electrode is provided at least at a side of the wall structure, the wall structure being formed by: using a chemically amplified resist as a material of the wall structure, a step of applying the chemically amplified resist; a step of exposing and developing the chemically amplified resist; a step of irradiating light on an entire surface to perform post exposure; a step of pre-calcinating the chemically amplified resist at a temperature lower than a main calcination temperature; and a step of performing main calcination at a temperature higher than a pre-calcination temperature. |
US08673544B2 |
Method of forming openings
A method for forming openings is provided. First, a substrate with a silicon-containing photo resist layer thereon is provided. Second, a first photo resist pattern is formed on the silicon-containing photo resist layer. Later, a first etching procedure is carried out on the silicon-containing photo resist layer to form a plurality of first openings by using the first photo resist pattern as an etching mask. Next, a second photo resist pattern is formed on the silicon-containing photo resist layer. Then, a second etching procedure is carried out on the silicon-containing photo resist layer to form a plurality of second openings by using the second photo resist pattern as an etching mask. |
US08673542B2 |
Method and system for charged particle beam lithography
There is disclosed a lithography method and system implemented by a charged particle beam passed through a shaping slit member having plural circular apertures of different diameters. The method and system operate to delineate a circular pattern by shooting the shaped circular beam passed through the desired circular aperture onto a workpiece. The method and system consists of causing circular beams shaped using different ones of the circular apertures to be shot onto the workpiece such that the circular beams are coincident with each other in center position to thereby delineate a circular pattern of a desired size. Consequently, circular patterns in a wide range of sizes can be obtained, although a limited number of circular apertures are used. |
US08673540B2 |
Photosensitive polymides
The invention pertains to an isocyanate-modified photosensitive polyimide. The photosensitive polyimide of the invention possesses excellent heat resistance, chemical resistance and flexibility, and can be used in a liquid photo resist composition or dry film photo resist composition, or used in a solder resist, coverlay film, or printed wiring board. |
US08673535B2 |
Thermal image receiver elements having release agents
A thermal image receiver element dry image receiving layer has a Tg of at least 25° C. and is the outermost layer. The dry image receiving layer has a dry thickness of at least 0.5 μm and up to and including 5 μm. It comprises a water-dispersible release agent and a polymer binder matrix that consists essentially of: (1) a water-dispersible acrylic polymer comprising chemically reacted or chemically non-reacted hydroxyl, phospho, phosphonate, sulfo, sulfonate, carboxy, or carboxylate groups, and (2) a water-dispersible polyester that has a Tg of 30° C. or less. The water-dispersible acrylic polymer is present in an amount of at least 55 weight % and at a dry ratio to the water-dispersible polyester of at least 1:1. The thermal image receiver element can be used to prepare thermal dye images after thermal transfer from a thermal donor element. |
US08673527B2 |
Toner processes
The present disclosure provides toners and processes for making said toners. In embodiments, the toners include a fluorescent additive having a fluorescent agent. Toners are colorless when viewed under natural light but when exposed to UV light of a specific wavelength the toners are rendered bright green due to the presence of the fluorescent agent. |
US08673525B2 |
Electrophotographic photoreceptor, process cartridge and image forming apparatus
There is provided an electrophotographic photoreceptor comprising, in this order a substrate; a photosensitive layer; and a protective layer including oxygen and gallium, the protective layer including a first region and a second region that is present closer to the substrate than the first region and has a ratio of the number of atoms of oxygen to the number of atoms of gallium (oxygen/gallium) larger than that in the first region. |
US08673524B2 |
Electrophotographic photoreceptor, process cartridge, and image forming apparatus
An electrophotographic photoreceptor includes a conductive substrate; an undercoat layer which is provided on the conductive substrate and includes a binder resin and metal oxide particles of which the surfaces are treated with at least two kinds of coupling agents of a first coupling agent having an electron-donating group and a second coupling agent having an electron-accepting group; and a photosensitive layer which is provided on the undercoat layer. |
US08673522B2 |
Method for manufacturing photomask and photomask manufactured using the same
A method for manufacturing a photomask includes forming a photoresist film on a substrate, and forming a defect detecting pattern on the photoresist film. The defect detecting pattern has a first pattern elongated in a first direction and a second pattern overlapping one end of the first pattern and elongated in a second direction different from the first direction. The first pattern and the second pattern are formed using electron beams (e-beam) diffracted by a same amplifier. |
US08673508B2 |
Nonaqueous electrolyte for lithium battery and lithium battery using same
A nonaqueous electrolytic solution for lithium battery comprises an electrolyte salt dissolved in a nonaqueous solvent and contains a carboxylate compound represented by the following general formula (I) in an amount of from 0.01 to 10% by mass of the nonaqueous electrolytic solution. (In the formula R1 and R2 each independently represent a hydrogen atom, or an alkyl group having from 1 to 6 carbon atoms; R3 represents a hydrogen atom, a methyl group, or a group —CH2CO2CR1R2C≡CH (R1 and R2 have the same meaning as above).) A lithium battery uses the nonaqueous electrolytic solution having excellent cycle property and storage property. |
US08673503B2 |
Polyurethane gel electrolytes with improved conductance and/or solvent retention
Disclosed are gel electrolytes comprising a polymer, which is a cross-linked polyurethane prepared from a poly(alkyleneoxide) triol and a diisocyanate compound; a lithium salt; and a solvent, which is a carbonate solvent, a lactone solvent, or mixtures thereof. |
US08673499B2 |
Lithium ion secondary battery
The positive electrode of the lithium ion secondary battery includes active material particles containing a lithium composite oxide represented by: LivNi1-w-x-y-zCowCaxMgyMzO2 (0.85≦v≦1.25, 0 |
US08673498B2 |
Nonaqueous electrolyte secondary battery
A nonaqueous electrolyte secondary battery includes a positive electrode (5), a negative electrode (6) and a porous insulation layer (7). The positive electrode (5) includes a positive electrode current collector (51) and a positive electrode mixture layer (52), and the negative electrode (6) includes a negative electrode current collector (61) and a negative electrode active material layer (62). After charging the nonaqueous electrolyte secondary battery, when a surface of the positive electrode mixture layer (52) and a surface of the negative electrode active material layer (62) are brought in contact with each other, terminals are provided, respectively, on the positive electrode current collector (51) and the negative electrode current collector (62) and a resistance value between the terminals is measured, the resistance value is 1.6 Ω·cm2 or more. |
US08673497B2 |
Process for the preparation of crystalline lithium-, iron- and phosphate-comprising materials
The present invention relates to a Process for the preparation of compounds of general formula (I), Lia-bM1bFe1-cM2cPd-eM3eOx, wherein M1, M2, M3, a, b, c, d and e: M1: Na, K, Rb and/or Cs, M2: Mn, Mg, Ca, Ti, Co, Ni, Cr, V, M3: Si, S, a: 0.8-1.9, b: 0-0.3, c: 0-0.9, d: 0.8-1.9, e: 0-0.5, x: 1.0-8, depending on the amount and oxidation state of Li, M1, Fe, M2, P, M3, wherein compounds of general formula (I) are neutrally charged, comprising the following steps (A) providing a mixture comprising at least one lithium-comprising compound, at least one iron-comprising compound, in which iron has the oxidation state +3, and at least one M1-comprising compound, if present, and/or at least one M2-comprising compound, if present, and/or least one M3-comprising compound, if present, and at least one reducing agent which is oxidized to at least one compound comprising at least one phosphorous atom in oxidation state +5, (B) optionally drying the mixture provided in step (A), in order to obtain a solid compound and (C) calcining the solid compound obtained from step (A) or (B) at a temperature of 300 to 1000° C. |
US08673489B2 |
Nonaqueous electrolytic solution and nonaqeuous-electrolyte secondary battery
An object of the invention is to provide a nonaqueous electrolytic solution which is capable of bringing about a nonaqueous-electrolyte secondary battery improved in initial charge capacity, input/output characteristics, and impedance characteristics. The invention relates to a nonaqueous electrolytic solution which comprises: a nonaqueous solvent; LiPF6; and a specific fluorosulfonic acid salt, and to a nonaqueous-electrolyte secondary battery containing the nonaqueous electrolytic solution. |
US08673482B2 |
Secondary battery including an extension wall
A secondary battery includes an electrode assembly; a can containing the electrode assembly and including a plate, a wall extending from the plate in a first direction to a first end and defining a first cavity having a first opening opposite the plate, and a first extension wall extending from the first end in the first direction to a second end, the first extension wall defining a second cavity having a second opening opposite the plate; and a cap assembly including a cap plate and sealing the first cavity at the first opening. |
US08673481B2 |
Battery system
A housing (610, 620) for a button-type battery (β) includes a cavity with resilient conductive terminals (300, 400) therein and a door (500) opposite the terminals (300, 400).When a battery (β) is pushed inside the cavity and the door (500) is moved to its closed position, the resilient terminals (300, 400) hold the battery (β) in place, applying a force urging the battery (β) against the door (500) to better maintain electrical contact.When the door (500) is moved to its open position, the resilient terminals (300, 400) push the battery (β) at least one fifth of its diameter out of the cavity, so a user can pull out the battery (β) by hand and change the battery (β) easily. |
US08673474B2 |
Electricity storage module and electricity storage device equipped therewith
An electricity storage module includes a casing 110 that includes an intake port 114 through which a cooling medium is taken in, located at one end of the casing, and an outlet port 115 through which the cooling medium is let out, located at another end of the casing. A plurality of electricity storage elements 140 are arrayed from the intake port 114 toward the outlet port 115 with clearances set between the electricity storage elements, and the clearances present between the electricity storage elements 140 are altered so as to achieve a higher flow velocity for the cooling medium on the outlet port side compared to the flow velocity of the cooling medium on the intake port side. |
US08673472B2 |
Low profile battery pack
A battery pack according to the present disclosure includes a first module array, a second module array, and a center channel. The first module array includes a first frame and a first cassette of battery modules disposed within the first frame. The first cassette of battery modules includes a first plurality of prismatic cells and a first plurality of module terminals. The second module array includes a second frame and a second cassette of battery modules disposed within the second frame. The second cassette of battery modules includes a second plurality of prismatic cells and a second plurality of module terminals. The center channel extends through a center of the battery pack and connects the first module array to the second module array. The first plurality of module terminals and the second plurality of module terminals are disposed adjacent to the center channel on opposite sides of the center channel. |
US08673470B2 |
Secondary cell
A secondary cell includes: an electrode roll in which a positive foil on which a positive-electrode material is coated and a negative foil on which a negative-electrode material is coated are winded through a separator into a flat shape around a winding core; a case in which the electrode roll is housed; a cover which seals the case; a positive terminal and a negative terminal provided on the cover; a positive electrode current collector plate that is electrically connected to the positive foil of the electrode roll and the positive terminal and held by the cover; and a negative electrode current collector plate that is electrically connected to the negative foil of the electrode roll and the negative terminal and held by the cover. The positive electrode current collector plate and the negative electrode current collector plate are each connected to the winding core. |
US08673467B2 |
Fuel cell vehicle
A fuel cell vehicle includes under a floor of the vehicle: a fuel cell generating electric power through an electrochemical reaction between reaction gases; a fluid supply/discharge unit for the fuel cell; and a converter converting electric power from the fuel cell, the converter being contained in a center tunnel provided, at a center in a vehicle width direction, so as to be curved toward a cabin along a vehicle axis in a front-back direction, the fuel cell and the unit being arranged on a rear side of the vehicle relative to the converter and arranged in the vehicle width direction, wherein the converter is provided to be offset toward the fuel cell with respect to a centerline of the center tunnel along the vehicle axis and to be offset toward the unit with respect to a centerline of the fuel cell along the vehicle axis. |
US08673465B2 |
Magnetic recording medium, method of fabricating the same, and storage apparatus
A magnetic recording medium includes a recording layer having a granular structure in which magnetic particles are dispersed within a non-magnetic base, and a non-magnetic material embedded in grooves of patterns formed on the recording layer. The magnetic particles have an inverted truncated cone shape with a diameter larger in an upper region of the recording layer than in a lower region of the recording layer. |
US08673463B2 |
Method to synthesize ordered magnetic alloys at low temperature
The present invention relates to a method of synthesizing an ordered magnetic alloy comprising obtaining a substrate and performing sequential sputter deposition of multiple atomic monolayers of the magnetic alloy. |
US08673457B2 |
Organic electroluminescence device and light emitting apparatus
There is provided an organic electroluminescence device including: a pair of electrodes formed of an anode and a cathode; and an organic compound layer provided between the pair of electrodes, in which: the organic compound layer contains a metal so that the metal partially forms a coordination bond with an organic compound; and a ratio of the number of metal atoms involved in the coordination to the total number of metal atoms in the layer is 0.11 or more to 0.42 or less. The organic electroluminescence device has excellent light emitting property that is not largely impaired even after the device is driven for a long time period. |
US08673455B2 |
In-situ composite formation of damage tolerant coatings utilizing laser
A coating steel component with a pattern of an iron based matrix with crystalline particles metallurgically bound to the surface of a steel substrate for use as disc cutters or other components with one or more abrading surfaces that can experience significant abrasive wear, high point loads, and large shear stresses during use. The coated component contains a pattern of features in the shape of freckles or stripes that are laser formed and fused to the steel substrate. The features can display an inner core that is harder than the steel substrate but generally softer than the matrix surrounding the core, providing toughness and wear resistance to the features. The features result from processing an amorphous alloy where the resulting matrix can be amorphous, partially devitrified or fully devitrified. |
US08673453B2 |
High thermal conductivity metal matrix composites
Discontinuous diamond particulate containing metal matrix composites of high thermal conductivity and methods for producing these composites are provided. The manufacturing method includes producing a thin reaction formed and diffusion bonded functionally graded interactive SiC surface layer on diamond particles. The interactive surface converted SiC coated diamond particles are then disposed into a mold and between the particles and permitted to rapidly solidify under pressure. The surface conversion interactive SiC coating on the diamond particles achieves minimal interface thermal resistance with the metal matrix which translates into good mechanical strength and stiffness of the composites and facilitates near theoretical thermal conductivity levels to be attained in the composite. Secondary working of the diamond metal composite can be performed for producing thin sheet product. |
US08673449B2 |
Cooking release sheet materials and release surfaces
A cooking release material includes a layer comprising fluoropolymer. The cooking release material has a major surface having nucleation structures in a density of at least 10 per square inch. The cooking release material can include a reinforcement material, the layer coated over the reinforcement material. The cooking release material can include a second layer forming the major surface. |
US08673447B2 |
Water-soluble substrate with resistance to dissolution prior to being immersed in water
A water-soluble substrate, and more particularly a water-soluble substrate that is resistant to contact with small amounts of water, and methods of making the same are disclosed. The water-soluble substrate comprises a first surface and a second surface. At least two coating layers are applied to at least one of said first and second surfaces, wherein said at least two coating layers substantially cover said at least one of said first and second surfaces, and wherein a lubricant is applied between said at least two coating layers. Articles, such as pouches, made from the water-soluble substrate, are also disclosed herein. |
US08673442B2 |
Label, a method in labelling and use of an adhesive
A label including a substrate having a face side and a rear side, and an adhesive layer arranged on the rear side of the substrate. The adhesive layer is non-tacky but activatable to become tacky. The adhesive layer includes a deactivating agent that is activatable by external energy so that the agent, when activated, begins to transform the adhesive layer to become non-tacky. A method for attaching a label to an item. The use of an adhesive in labelling. |
US08673438B2 |
Self-healing and adaptive shaped articles
A solid electrolyte and a piezoelectric material are incorporated into composite shaped articles to provide them with self-healing and adaptive qualities. The piezoelectric constituent converts the mechanical energy concentrated in critical areas into electrical energy which, in turn, guides and drives electrolytic transport of mass within the solid electrolyte towards, and its electrodeposition at critical areas to render self-healing and adaptive effects. |
US08673432B2 |
Aliphatic polyester sheet and molded body composed of the same
An aliphatic polyester-based sheet including at least Layer A including an aliphatic polyester, wherein XA of the Layer A is 3-60% and the Layer A contains a crystal nucleating agent and a compound having hydrogen bonding properties with the crystal nucleating agent, and haze of the entire sheet is less than 10%. |
US08673430B2 |
Substrates having improved crockfastness
An ink-printed substrate comprising a substrate and water-based ink composition printed on the substrate, wherein the ink-printed substrate has an average crockfastness of at least about 2.5 or greater. |
US08673429B2 |
Transparent conductive film, transparent conductive laminate, touch panel, and method for producing transparent conductive film
The transparent conductive film of the present invention is a transparent conductive film, comprising a transparent film substrate, and a first transparent dielectric layer, a second transparent dielectric layer and a transparent conductive layer that are formed on one or both sides of the transparent film substrate in this order from the transparent film substrate side, wherein the transparent conductive layer is patterned, the relation n2 |
US08673427B2 |
System, method and apparatus for increasing average reflectance of a roofing product for sloped roof
A roofing product and method includes coating a substrate with bitumen to yield a bitumen coated substrate having an exposure zone. First granules are adhered to the exposure zone to yield a first granule coating. The first granules have a solar reflectance greater than 35. An adhesive is adhered to at least a portion of the first granule coating. An open portion of the first granule coating that is free of the adhesive is maintained. An overlay of non-white second granules is adhered to the adhesive, such that the overlay of second granules and the adhesive provide a raised structure above a plane of the first granule coating. |
US08673426B2 |
Driver circuit, method of manufacturing the driver circuit, and display device including the driver circuit
Provided are a driver circuit which suppresses damage of a semiconductor element due to ESD in a manufacturing process, a method of manufacturing the driver circuit. Further provided are a driver circuit provided with a protection circuit with low leakage current, and a method of manufacturing the driver circuit. By providing a protection circuit in a driver circuit to be electrically connected to a semiconductor element in the driver circuit, and by forming, at the same time, a transistor which serves as the semiconductor element in the driver circuit and a transistor included in the protection circuit in the driver circuit, damage of the semiconductor element due to ESD is suppressed in the process of manufacturing the driver circuit. Further, by using an oxide semiconductor film for the transistor included in the protection circuit in the driver circuit, leakage current in the protection circuit is reduced. |
US08673425B2 |
Touch panel and manufacturing method thereof
A touch panel includes a substrate, a transparent conductive layer, a conductive decoration pad, a decoration layer and an opaque conductive layer. The transparent conductive layer is disposed on the substrate, and the conductive decoration pad is disposed on the transparent conductive layer. The decoration layer is disposed on the conductive decoration pad and the transparent conductive layer, and has an opening located on the conductive decoration pad. The opaque conductive layer is disposed on the decoration layer and electrically connected with the transparent conductive layer through the opening and the conductive decoration pad. |
US08673424B2 |
Snap in weatherstripping
A snap in weatherstripping where the backing has a plurality of alternating notched out spaces along each side to facilitate ease of insertion into a channel without the need to slide the weatherstripping longitudinally into the channel. The snap in weatherstripping can be pushed into the channel with a gentle side to side or rolling motion, thus eliminating the difficulties associated with longitudinally sliding a weatherstripping into a channel. The snap in arrangement of the weatherstripping eases replacement, and also accommodates variations in tolerance between the weatherstripping and the channel, making it desirable for replacement of existing degraded weatherstripping. |
US08673419B2 |
Stretch releasable adhesive tape
An adhesive tape that is stretch releasable, articles that contain the adhesive tape, and uses of the adhesive tape are disclosed. The adhesive tapes include a backing layer that is adjacent to at least one pressure-sensitive adhesive layer. The backing layer typically is optically clear and includes a poly(alkylene)copolymer. The pressure-sensitive adhesive layer is a silicone-based adhesive composition. In many embodiments, the adhesive tape is optically clear. |
US08673415B2 |
Printable film
The invention relates to printable films comprising a substrate and at least a surface layer, said layer covering at least one face of said substrate and comprising a water-dispersible polymer and an ethylenically unsaturated compound; to a process for the manufacture of such films; to printed films and especially to printed labels obtained from such printable films. |
US08673414B2 |
Heat-shrinkable polyester film, process for production thereof, and package
The present invention provides a heat-shrinkable polyester film with very good perforation-tear property and also very high productivity. A heat-shrinkable polyester film made of a polyester resin including ethylene terephthalate as a main constituent and containing 15% by mole or more of at least one monomer capable of forming an amorphous component in the whole components of the polyester resin, which exhibits specific heat shrinkage properties and specific mechanical properties after the heat shrinkage. |
US08673412B2 |
Adhesive composition for polarizing plates, polarizing plate with adhesive using same, and liquid crystal display device using same
Provided is an adhesive composition for polarizing plates, which is used for adhering polarizing plates, and which exhibits excellent durability even under high-temperature and high-moisture conditions, and which minimizes the occurrence of white streaks. Also provided are a polarizing plate with adhesive and liquid crystal display device using said adhesive composition. The adhesive composition for polarizing plates contains the following: (A) carboxyl group [CG]-containing (meth)acrylic copolymer [MACP] using 1.5 to 3 wt % CG-containing (meth)acrylic monomer [MAM] and 97 to 98.5% MAM not having a reactive functional group; (B) CG- and hydroxyl group [HG]-containing MACP using 0.5 to 3 wt % CG-containing MAM, 0.3 to 1 wt % HG-containing MAM, and 96 to 99.2 wt % MAM not having a reactive functional group; (C) toluene diisocyanate-type isocyanate compound; and (D) a silane coupling agent. The respective weight average molecular weight of (A) and (B) fall within the range of 950,000 to 1,050,000, the weight ratio of (A)/(B) falls within the range of 70/30 to 90/10, and (C) is contained in a ratio of 10 to 20 parts by weight with respect to 100 parts by weight of the total weight of MACP. |
US08673408B2 |
Plasma film deposition method
A plasma nozzle supplies a plasmatized electric discharge gas, and a first supply section in a flow regulator which is interposed between the plasma nozzle and a base member supplies a first liquid-phase raw material. A second supply section which is separate from the first supply section supplies a second liquid-phase raw material. The first liquid-phase raw material which is activated by a plasmatized electric discharge gas and deposited on the base member while in a liquid phase is caused to interact with the second liquid-phase raw material which is activated by the plasmatized electric discharge gas, and solidified into a film on the base member. |
US08673407B2 |
Three dimensional engineering of planar optical structures
Three dimensional optical structures are described that can have various integrations between optical devices within and between layers of the optical structure. Optical turning elements can provide optical pathways between layers of optical devices. Methods are described that provide for great versatility on contouring optical materials throughout the optical structure. Various new optical devices are enabled by the improved optical processing approaches. |
US08673403B2 |
Method for forming fine pattern of polymer thin film
Provided is a method of forming a fine pattern of a polymer thin film using a phenomenon that another material having a large difference in surface energy in comparison with a polymer thin film pattern is dewetted on the polymer thin film pattern. Two polymer materials having a large difference in surface energy can be applied to readily and conveniently form a fine pattern of a polymer thin film of micrometer or sub-micrometer grade. |
US08673402B2 |
Spray clad wear plate
The present disclosure relates to a method of spray cladding a wear plate. The method may include melting an alloy including glass forming chemistry, pouring the alloy through a nozzle to form an alloy stream, forming droplets of the alloy stream, and forming a coating of the alloy on a base metal. The base plate may exhibit a first hardness H1 of Rc 55 or less and the alloy coated base plate may exhibit a hardness H2, wherein H2>H1. In addition, the coating may exhibit nanscale or near-nanscale microstructural features in the range of 0.1 nm to 1,000 nm. Furthermore, the alloy coated base plate may exhibit a toughness of greater than 60 ft-lbs. |
US08673399B2 |
Bandgap-shifted semiconductor surface and method for making same, and apparatus for using same
Titania is a semiconductor and photocatalyst that is also chemically inert. With its bandgap of 3.2 and greater, to activate the photocatalytic property of titania requires light of about 390 nm wavelength, which is in the ultra-violet, where sunlight is very low in intensity. A method and devices are disclosed wherein stress is induced and managed in a thin film of titania in order to shift and lower the bandgap energy into the longer wavelengths that are more abundant in sunlight. Applications of this stress-induced bandgap-shifted titania photocatalytic surface include photoelectrolysis for production of hydrogen gas from water, photovoltaics for production of electricity, and photocatalysis for detoxification and disinfection. |
US08673398B2 |
Method for treating a substrate
A method for treating a substrate is described. In accordance with one aspect, the method includes applying a polymer coating to a substrate, and bringing the polymer coating into contact with a heated surface in a pressure nip while the coating is still in a wet state. Optionally the polymer coating may include a crosslinkable material, and a crosslinking agent may be used to promote crosslinking. The polymer coating replicates the heated surface. A product produced in accordance with the described method is also disclosed. The product is characterized by having subsurface voids within the coating. |
US08673397B2 |
Methods of fabricating and coating a component
A component is disclosed. The component comprises a substrate comprising an outer surface and an inner surface, where the inner surface defines at least one hollow, interior space, where the outer surface defines one or more grooves, and where each of the one or more grooves extends at least partially along the surface of the substrate and has a base. One or more access holes extend through the base of a respective groove to place the groove in fluid communication with respective ones of the at least one hollow interior space. The component further comprises a coating disposed over at least a portion of the substrate surface, where the coating comprises one or more layers. At least one of the layers defines one or more permeable slots, such that the respective layer does not completely bridge each of the one or more grooves. The grooves and the coating together define one or more channels for cooling the component. Methods for fabricating and coating a component are also provided. |
US08673395B2 |
Film deposition apparatus, film deposition method, and storage medium
A disclosed film deposition apparatus includes a turntable including a substrate receiving area; a first reaction gas supplier for supplying a first reaction gas to a surface of the turntable having the substrate receiving area; a second reaction gas supplier, arranged away from the first reaction gas supplier along a circumferential direction of the turntable, for supplying a second reaction gas to the surface; a separation area located along the circumferential direction between a first process area of the first reaction gas and a second process area of the second reaction gas; a separation gas supplier for supplying a first separation gas to both sides of the separation area; a first heating unit for heating the first separation gas to the separation gas supplier; an evacuation opening for evacuating the gases supplied to the turntable; and a driver for rotating the turntable in the circumferential direction. |
US08673390B2 |
Methods of making crystalline tantalum pentoxide
There is disclosed a method of forming crystalline tantalum pentoxide on a ruthenium-containing material having an oxygen-containing surface wherein the oxygen-containing surface is contacted with a treating composition, such as water, to remove at least some oxygen. Crystalline tantalum pentoxide is formed on at least a portion of the surface having reduced oxygen content. |
US08673382B2 |
Thick juice beverages
A thickened juice beverage contains juice and homogenized pulp and/or homogenized finisher-derived solids. The beverage has a measured viscosity between about 50 and about 125 cps at the time of manufacture and the pulp or solids do not significantly change the smoothness or taste profile of the juice. The homogenized pulp and homogenized finisher-derived solids have an average particle size of less than 1000 microns and 1500 microns, respectively. Optionally, the juice beverage meets the standard of identity of a 100% juice, such as an orange juice. |
US08673380B2 |
Method of infusing flavor in cereal grains
A method of infusing flavor into cereal grains. The method includes the steps of preparing a liquid seasoning having a flavor, increasing the porosity of the cereal grains, infusing the more-porous cereal grains with the flavor of the liquid seasoning, and locking the flavor of the liquid seasoning into the flavor-infused cereal grains. |
US08673378B2 |
Tomato peeling process
A method for peeling tomatoes is disclosed, the method comprises the steps of providing a tomato having a peel and an underlying fruit; washing the tomato with a solution to break down naringenin chalcone in the peel; treating the tomato peel with a caustic solution including OH″ molecules to separate the peel from the fruit; introducing pH adjusted water to the OH″-treated tomato; and peeling the tomato to remove the peel from the underlying fruit. |
US08673373B2 |
Homeopathic formulations for treatment of herpes virus symptoms
Compositions and methods of preparing and using such compositions to treat the symptoms of herpes virus. |
US08673370B2 |
Method of improving cardiovascular health
A nutritional supplement including β-cryptoxanthin is found to be effective at lowering blood pressure in mammals. β-cryptoxanthin therefore may be used to maintain cardiovascular health by lowering blood pressure, preventing high, elevated blood pressure and/or maintaining healthy blood pressure. Administration of β-cryptoxanthin in combination with safflower oil is particularly effective. |
US08673368B2 |
Cannabinoid-containing plant extracts as neuroprotective agents
The invention relates to the use of cannabinoid-containing plant extracts in the prevention or treatment of neural degeneration. In particular, the invention relates to use of one or more cannabinoid-containing plant extracts in the prevention or treatment of neural degeneration, wherein the one or more cannabinoid-containing plant extracts comprise: i) a cannabinoid-containing fraction; and ii) a non-cannabinoid containing fraction. |
US08673367B2 |
Nano silver-zinc oxide composition
A new composite comprises (a) 10.1-99.9% by weight of elemental Ag and (b) 0.1-89.9% by weight of ZnO, wherein the sum of (a) and (b) makes 90% or more by weight of the composite and wherein the elemental Ag has a primary particle size of 10-200 nm and/or the ZnO has a primary particle size of 0.1 to below 50 μm and/or the composite has a particle size distribution of 0.1-50 μm and/or a BET surface area of 10-100 m2/g. The novel composite may be obtained by the steps (i) mixing a first mixture of at least one Ag-salt with a second mixture of at least one Zn-salt thereby forming a third mixture of Ag- and Zn-salts, (ii) adding the third mixture to a mixture of a carbonate source, (iii) co-precipitating of the Ag- and Zn-carbonates formed in step (ii), (iv) washing of the Ag- and Zn-carbonates and (v) thermal decompositing of the Ag- and Zn-carbonates. The novel composites are useful to impart antimicrobial properties to surfaces, articles or bulk compositions, especially to membrane systems for gas- or water separation. |
US08673366B2 |
Chemotherapeutic and prophylactic pharmaceutical compositions
The present invention provides pharmaceutical compositions and methods for making the same, which are highly effective against cancer, viruses, amoebae, bacteria (both gram-negative and -positive), fungi, algae, bacterial spores, yeast, and the like. The compounds preferably rely on employing the synergistic effect of organo-metal compounds and the concomitant generation of reactive oxygen species (ROS) through the agency of reducing cofactors. The aqueous solution may be condensed and dried using conventional methods available to those skilled in the art to produce gels as well as solids, such as tablets or powders. Solid forms include tablets, capsules, suppositories, and the like or mixtures thereof. In addition, the solid form of the present compositions may be activated upon solubilization in a liquid. |
US08673360B2 |
Compositions that enable rapid-acting and highly absorptive intranasal administration
Powdery compositions for intranasal administration, which comprise non-peptide/non-protein drugs and as a carrier, crystalline cellulose aggregates having a particular cribriform particle diameter, yield rapid action and high absorbability of the drugs. |
US08673356B2 |
Stable fixed dose topical formulation
The present invention relates to stable fixed dose topical formulations comprising an antiacne agent and an antibiotic, which exhibit storage stability at a temperature of about 40° C. and relative humidity of about 75% for a period of at least 3 months. Particularly, the present invention relates to stable fixed dose topical formulations comprising therapeutically effective amounts of (a) adapalene-containing microspheres and (b) clindamycin, a process for their preparation thereof and their use for the treatment of acne. |
US08673354B2 |
Adhesives and methods of applying the same
A composition including pre-gel including at least one phenol-based compound, excluding phloroglucinol and derivatives, at least one water miscible polymer, at least one cross linking agent capable of interacting with the polymer. The polymer is selected from one or more natural and/or synthetic carbohydrate, and salt of an anionic polysaccharide. |
US08673352B2 |
Modified release dosage form
The present invention relates to a medicinal dosage form having a first core, a second core, and a shell that surrounds a first portion of each core and a fill material that covers a second portion of at least one core, wherein the fill material that is provided over at least one core is not in contact with any portion of the other core. e. The inventive dosage forms provide modified release of one or more active ingredients contained therein. The present invention also relates to methods for manufacturing such medicinal dosage forms. |
US08673349B2 |
Lipid-based compositions of antiinfectives for treating pulmonary infections and methods of use thereof
A system for treating or providing prophylaxus against a pulmonary infection is disclosed comprising: a) a pharmaceutical formulation comprising a mixture of free antiinfective and antiinfective encapsulated in a lipid-based composition, and b) an inhalation delivery device. A method for providing prophylaxis against a pulmonary infection in a patient and a method of reducing the loss of antiinfective encapsulated in a lipid-based composition upon nebulization comprising administering an aerosolized pharmaceutical formulation comprising a mixture of free antiinfective and antiinfective encapsulated in a lipid-based composition is also disclosed. |
US08673343B2 |
Preparation and administration of jojoba product for reducing weight, fat and blood lipid levels and for the prevention and treatment of cancer
A method of orally administering jojoba for fat reduction, blood lipid reduction, and cancer prevention and treatment in humans is disclosed. The method incorporates a sufficient quantity of jojoba, defatted jojoba meal, jojoba extract, defatted jojoba meal extract, naturally derived or synthesized simmondsin, or any naturally derived or synthesized simmondsin derivative or analogue, including aglycon, or any mixture thereof into an individual's diet in the form of a food, dietary supplement, or drug to provide simmondsin component in an amount greater than 0.01% of one's total daily diet by weight, or 0.05 gram/day. The dosage amount and duration of the administration can vary to achieve and maintain one's desired body composition and blood lipid levels. The dosage and duration of administration are increased for cancer prevention and treatment. |
US08673327B2 |
Solid antiperspirant and/or deodorant composition in the form of a water-in-oil emulsion based on silicone emulsifiers and on waxes; method for treating body odours
The invention relates to a solid composition in the form of a water-in-oil emulsion comprising, in a cosmetically acceptable carrier: (i) at least one discontinuous aqueous phase, (ii) at least one fatty phase comprising at least one particular wax, (iii) at least one silicone emulsifier selected in the group consisting in alkyldimethicone copolyols of particular formula (I) and dimethicone copolyols of particular formula (II) and mixtures there of (iv) and at least one antiperspirant active agent and/or one deodorant active agent. The invention relates to a method for treating body odors associated with human perspiration, and in particular body odors which are especially underarm odors. The invention relates to a method for preparing a solid composition in the form of a water-in-oil emulsion as defined above. |
US08673326B2 |
Oil-in-water emulsion composition and method for producing the same
The present invention provides an oil-in-water emulsion composition having excellent emulsion stability, low sticky feeling, and low skin irritation. The oil-in-water emulsion composition according to the present invention comprising (a) 1 to 20 mass % of a powder component, (b) 0.001 to 0.5 mass % of a cationic surfactant having two alkyl chains with 12 or more and 22 or less carbon atoms, (c) an oil phase component, and (d) a water phase component, having a structure wherein (a) powder particles are adsorbed on the oil droplets dispersed in the water phase. |
US08673325B1 |
Cosmetic compositions comprising EPA and salicylic acid and methods of making and using same
The present disclosure is directed generally to cosmetic compositions comprising EPA free acid and salicylic acid. In some embodiments, the cosmetic compositions have a cosmetically acceptable odor. |
US08673318B2 |
Method for the cultivation of primary cells and for the amplification of viruses under serum free conditions
The present invention relates to a method for the cultivation of primary cells. The primary cells are cultivated in a serum free medium comprising a factor selected from the group consisting of growth factors and attachment factors. The method for the cultivation of primary cells may be one step in a method for the amplification of viruses, such as poxviruses. According to this latter method the primary cells are cultivated in a serum free medium comprising a factor selected from the group consisting of growth factors and attachment factors. The cells are then infected with the virus and the infected cells are cultivated in serum free medium until progeny virus is produced. |
US08673311B2 |
Bacterial spore having therapeutic agent adsorbed on its surface
The present invention relates to a method of coating a spore with one or more therapeutic agents. The present invention also relates to a coated spore obtained by the method of the present invention and the use of the coated spore as a vaccine. |
US08673308B2 |
Targeting of CD8+ T-lymphocytes to treat neurodegenerative diseases
Methods and therapeutic agents are disclosed for treating neurodegenerative disorders by depletion of CD8 positive T cells by using antibodies, FAb fragments of antibodies or similar agents that sequester, neutralize or deplete the CD8+ cytotoxic T cells. |
US08673306B2 |
Compositions and methods of use for antibodies of dickkopf-1
Antibodies and fragments that bind to the protein target Dickkopf (DKK1) are provided, as are methods of use and kits, for treating a target cell, in particular, a cell associated with an osteolytic condition. |
US08673303B2 |
Cripto blocking antibodies and uses thereof
The invention provides Cripto blocking antibodies, or biologically functional fragments thereof, and uses thereof. Antibodies which bind Cripto and modulate Cripto signaling are provided. Antibodies which bind Cripto and block the interaction between Cripto and ALK4 are provided. Antibodies which bind Cripto and modulate tumor growth are also provided. Antibodies which bind Cripto, modulate signaling, and modulate tumor growth are also provided. Antibodies which bind Cripto, block the interaction between Cripto and ALK4 and modulate tumor growth are provided. The invention also provides methods of using these antibodies in therapeutic, diagnostic, and research applications. |
US08673299B2 |
Anti-RANTES antibodies
The invention relates to fully human monoclonal antibodies, and fragments thereof, that bind to the chemokine Regulated upon Activation, Normal T-cell Expressed, and Secreted (RANTES, CCL5), thereby modulating the interaction between RANTES and one of more of its receptors, such as, e.g., CCR1, CCR3, CCR4 and CCR5, and/or modulating the biological activities of RANTES. The invention also relates to the use of these or any anti-RANTES antibodies in the prevention or treatment of immune-related disorders and in the amelioration of one or more symptoms associated with an immune-related disorder. |
US08673297B2 |
Chlorine dioxide based cleaner/sanitizer
This invention relates to an improved chlorine dioxide solution or liquid mixture containing a phosphate and, as well, as to a composition for forming the chlorine dioxide and phosphate liquid mixture. This improved chlorine dioxide solution is used to clean and/or sanitize without causing corrosion. The corrosion nature of the chlorine dioxide solution is lessened due to the addition of phosphate to the composition. |
US08673296B2 |
Method and composition for producing a cellular allogeneic vaccine
The present invention relates to a method for the production of a cellular allogeneic vaccine, which is based upon an allogeneic APC, comprising the following steps: isolation of an APC from a subject or providing an APC already established and/or isolated from a myeloid leukemia cell line, and modifying the APC with an antigen using any of the following methods: pulsing, transfection, infection or fusion; treatment using an agent capable of removing sialic acid on cell surfaces; and optionally culturing the APC in a suitable medium, an allogeneic vaccine obtainable by the above method, a composition comprising said vaccine and a pharmaceutically acceptable carrier and therapeutic use of said vaccine or said composition. |
US08673295B2 |
Thermoresponsive, biodegradable, elastomeric material and uses therefor
Provided are novel biocompatible copolymers and compositions comprising the copolymers. The copolymers are non-toxic and typically have an LCST below 37° C. Compositions comprising the copolymers can be used for wound treatment, as a cellular growth matrix or niche and for injection into cardiac tissue to repair and mechanically support damaged tissue. The copolymers comprise numerous ester linkages so that the copolymers are erodeable in situ. Degradation products of the copolymers are soluble and non-toxic. The copolymers can be amine-reactive so that they can conjugate with proteins, such as collagen. Active ingredients, such as drugs, can be incorporated into compositions comprising the copolymers. |
US08673288B2 |
Compositions and methods for inhibiting entry of a hepatic virus
The present invention embraces Niemann-Pick C1-like 1 protein antagonists and agents that inhibit hepatic virus infection for use in the prevention and treatment of a hepatic virus infection. |
US08673286B2 |
DOPA-functionalized, branched, poly(aklylene oxide) adhesives
The invention describes DOPA functionalized, branched, polyalkylene oxide materials that are useful as adhesives. |
US08673284B2 |
Cosmetic compositions containing block copolymers, tackifiers and a selective solvent for hard blocks
A cosmetic composition containing at least one block copolymer having at least one hard segment and at least one soft segment, at least one tackifier component, at least one solvent capable of solubilizing the at least one hard segment, and optionally, at least one colorant, and wherein the at least one hard segment has a Tg value of about 50° C. or more and the at least one soft segment has a Tg value of about 20° C. or less. |
US08673278B2 |
Bruise amelioration composition and method of use
A bruise amelioration composition that can be applied for one hour to an affected site, such as a bruise, contusion or blister, in the form of a gel, liquid or adhesive bandage. The composition includes at least 40% by weight of glycerin and at least 2% by weight of primrose oil. Preferably, the composition includes a fragrance, such as peach oil and marjoram and 1% to 10% by weight of vitamins A, C, D, E and K. |
US08673273B2 |
Hair straightening composition comprising urea
An aqueous based hair straightening composition having a pH from 12 to 14 comprising i) an hydroxide ion generator; and ii) from 0.5 wt % to 20 wt % of the total composition of urea in which the weight ratio of urea to hydroxide generator is greater than 1:1. |
US08673271B2 |
Compositions for mouth containing an anionic surfactant having reduced astringency
The present invention relates to an oral care composition, which contains the following components (A), (B) and (C): (A) an inorganic acid and/or an organic acid, (B) an anionic surfactant, (C) at least one compound selected from polyglycerin fatty acid esters, sorbitan fatty acid esters, polyoxyethylene higher alcohol ethers having from 6 to 14 carbon atoms, polyoxyethylene fatty acid esters and polyoxyethylene polyoxypropylene copolymers. The oral care composition according to the present invention is significantly excellent in reducing astringency and bitterness inherent in anionic surfactants. |
US08673270B2 |
RGD-containing peptidomimetics and uses thereof
The invention provides RGD-containing cyclic peptidomimetics; conjugates of said peptidomimetics and a moiety of a payload selected from fluorescent probes, photosensitizers, chelating agents, or cytotoxic agents; and pharmaceutical compositions comprising these conjugates. The conjugates of the invention are useful both for diagnostic purposes and treatment of various diseases, disorders and conditions. More specifically, conjugates comprising fluorescent probes can be used for diagnostic purposes, e.g., visualization of organs and tissues, and diagnosis of tumors; conjugates comprising photosensitizers can be used for photodynamic therapy of both tumors and nonneoplastic tissues; conjugates comprising chelating agents can be used in radio imaging or radiotherapy; and conjugates comprising cytotoxic agents can be used for in targeted chemotherapy. |
US08673266B2 |
Polyvinyl alcohol microspheres, injectable solutions and therapeutic uses of the same
The present invention relates to microspheres useful for embolization which comprises polyvinylalcohol. The present invention also relates to an injectable suspension suitable for embolization which comprises the polyvinylalcohol microspheres and a suitable liquid carrier. The present invention further relates to a method for prophylactic or therapeutic embolization which comprises administering to a mammal an injectable suspension containing the polyvinylalcohol microspheres and a suitable liquid carrier. Finally, the present invention relates to a process for producing the polyvinylalcohol microspheres. |
US08673263B2 |
Hydrocarbon conversion process using a high throughput process for manufacturing molecular sieves of MFI framework type
A method of crystallizing a crystalline molecular sieve having a pore size in the range of from about 2 to about 19 Å, said method comprising the steps of (a) providing a mixture comprising at least one source of ions of tetravalent element (Y), at least one trivalent element hydroxide source (OH−), and water, said mixture having a solid-content in the range of from about 20% to about 30%; and (b) treating said mixture to form the desired crystalline molecular sieve with stirring at crystallization conditions sufficient to obtain a weight hourly throughput from about 0.005 to about 1 hr−1, wherein said crystallization conditions comprise a temperature in the range of from about 200° C. to about 500° C. and a crystallization time less than 100 hr, wherein said crystalline molecular sieve has a zeolite framework type of MFI. |
US08673262B2 |
Production of hydrogen from oxygenated hydrocarbons
The invention provides a process of producing hydrogen that involves aqueous phase reforming of an oxygenated hydrocarbon, preferably one obtained from a renewable source such as biomass. The reaction is carried out in the absence of electrolytes and in the presence of a dispersed particulate heterogeneous catalyst. The reaction is carried out under pressure and relatively low temperature in a stirred tank reactor, preferably a continuous stirred tank reactor. |
US08673260B2 |
Development of earth-abundant mixed-metal sulfide nanoparticles for use in solar energy conversion
This invention relates to a process for the phase-controlled synthesis of ternary and quaternary mixed-metal sulfide nanoparticles by reacting soft metal ions with hard metal ions in a high-boiling organic solvent in the presence of a complexing and activating ligands to control the reactivity of the metal ions. Ternary and quaternary mixed metal sulfides nanoparticles of copper, sulfur, and iron, aluminum, tin, and silicon are preferred. This invention also relates to the phase controlled preparation of polymorphs of bornite nanoparticles and the phase controlled preparation of stabilized α- and γ-chalconite nanoparticles. |
US08673258B2 |
Enhanced carbon nanotube
Techniques for manufacturing an enhanced carbon nanotube (CNT) assembly are provided. In one embodiment, a method of manufacturing an enhanced CNT assembly comprises preparing a metal tip, preparing a CNT plus transition-metal colloidal solution, forming a CNT plus transition-metal composite assembly by using the prepared metal tip and CNT plus transition-metal colloidal solution, and growing the CNT plus transition-metal composite assembly. |
US08673256B2 |
Carbonation of metal silicates for long-term CO2 sequestration
In a preferred embodiment, the invention relates to a process of sequestering carbon dioxide. The process comprises the steps of: (a) reacting a metal silicate with a caustic alkali-metal hydroxide to produce a hydroxide of the metal formerly contained in the silicate; (b) reacting carbon dioxide with at least one of a caustic alkali-metal hydroxide and an alkali-metal silicate to produce at least one of an alkali-metal carbonate and an alkali-metal bicarbonate; and (c) reacting the metal hydroxide product of step (a) with at least one of the alkali-metal carbonate and the alkali-metal bicarbonate produced in step (b) to produce a carbonate of the metal formerly contained in the metal silicate of step (a). |
US08673252B2 |
Biomimetic hydroxyapatite synthesis
A method for preparing nanoscale hydroxyapatite particles by combining an amount of a calcium ion source, which includes calcium acetate, and an amount of a phosphate ion source, wherein the amounts are sufficient to produce nanoscale hydroxyapatite particles and the amounts are combined under ambient conditions to produce the hydroxyapatite particles. Nanoscale hydroxyapatite particles are also presented. |
US08673251B2 |
Methods of preparing Clusterboron
The invention provides new methods for synthesis of ClusterBoron® (B18H22). Preferred methods of the invention include generation of the conjugate acid of B20H182− and degradation of the acid in solution to produce B18H22 in high yields and high purity. The invention further provides isotopically enriched boranes, particularly isotopically enriched 10B18H22 and 11B18H22. |
US08673249B2 |
Process of removing NOx from flue gas
The invention includes a process for reducing the amount of NOx discharged to atmosphere from a FCC unit, having a regenerator and a means for collecting and supporting catalyst particles. The process comprises adding a catalyst to the regenerator flue gas prior to entering the collecting means and precipitating the catalyst in the collecting means to form a catalyst bed. Ammonia or ammonia precursor is added to the flue gas prior to and/or within the collecting means. The flue gas NOx is reacted with the ammonia or ammonia precursor at 200° C. to 800° C. in the presence of the catalyst bed to reduce the NOx amount, and the flue gas containing a reduced amount of NOx is discharged to atmosphere. The catalyst is one or more supported transition or lanthanide metal catalysts. The process can also be utilized in any combustion process. |
US08673244B2 |
Apparatus for producing alcohols from olefins
The present invention relates to an apparatus for producing alcohols from olefins, comprising: a hydroformylation reactor wherein aldehydes are produced from olefins; a catalyst/aldehydes separator; a hydrogenation reactor wherein the aldehydes are hydrogenated to produce alcohols; and a distillation column. The hydroformylation reactor is equipped with a distributor plate, which has a broad contact surface for providing sufficient reaction area for reactants such as olefins and synthesis gas, and allows the reaction mixture to circulate and mix sufficiently, which contribute to excellent efficiency in terms of production of aldehydes. In addition, the hydrogenation reactor suppresses sub-reactions to improve the production yield of alcohols. |
US08673243B2 |
Reactor and manufacturing method of reactor
A reactor includes respective first and second introduction passages for introducing first and second reactants, a merging passage in which the first reactant merges with the second reactant, and a reaction passage in which the two merged reactants react with each other. First and second introduction grooves respectively constituting part of the first and second introduction passages are formed in a first surface of the base of the flow path structure of the reactor, while a reaction groove constituting part of the reaction passage is formed in a second surface of the base. A merging hole constituting part of the merging passage runs from the first surface of the base to the second surface thereof. The downstream end of the first introduction groove and the downstream end of the second introduction groove merge at the merging hole from different directions. |
US08673232B2 |
Isothermal tube reactor
A tube isothermal chemical reactor (1), comprising a vessel (2) with a central axis (A-A), and an annular tube heat exchanger (40) embedded in a catalytic reaction space, the exchanger (40) comprising a plurality of concentric ranks (10, 11) of tube packs (10a), each of said tube packs comprising parallel tubes (30), a respective heat-exchange fluid feeder (20) and a respective heat-exchange fluid collector (21). |
US08673231B2 |
Exchanger-reactor with bayonet tubes and chimneys suspended from the upper dome of the reactor
The present invention describes a vertical cylindrical exchanger-reactor for carrying out endothermic reactions, comprising a shell enclosing a plurality of tubes inside which the reactive fluid moves, said tubes being of the bayonet type, and the heat transfer fluid, in this case hot gases, being channeled inside chimneys surrounding said bayonet tubes. The bayonet tubes and the chimneys are suspended from the upper dome of the reactor. This reactor may operate with a pressure difference between the tube side and the shell of up to 100 bars. The hot gases are admitted into the reactor at temperatures of up to 1300° C. |
US08673230B2 |
Support system of heat exchanger plates in isothermal chemical reactors
System for supporting a plate heat exchanger (10, 100, 200) inside an isothermal chemical reactor (1), comprising a circumferential ring structure (40) fixed at least to top radial sides (19s) of the plates (11), said structure being formed as a single or double ring. |
US08673229B2 |
Compositions containing biosoluble inorganic fibers and micaceous binders
Compositions that include a mixture of biosoluble inorganic fibers and a micaceous binder are described. The compositions can be prepared free of refractory ceramic fibers that are respirable but durable in a physiological medium. The compositions are typically in the form of a sheet material or a paste and can be used, for example, as a protective packing material around the pollution control element or as an insulating material in the end cone region in a pollution control device. Sheet materials formed from the compositions have an area of a X-Y plane that decrease less than about 6 percent when heated to about 900° C. |
US08673225B2 |
Continuous countercurrent fluidized moving bed (FMB) and/or expanded moving bed (EMB)
A composite continuous countercurrent fluidized moving bed (FMB) and/or expanded moving bed (EMB) may be used for carrying out processes of recovery, purification or reaction of single or multiple component/s of interest, by contacting liquid phase containing the component/s with a solid adsorbent in continuous countercurrent mode. The net movement of the solids is against the liquid, flowing in upward direction through stages/columns, and sedimenting solids from the one stage/column are continuously fed to the top of another stage/column placed below or alongside of previous stage/column; and also operating in fluidized/expanded bed mode, wherein its countercurrent contact with up-flowing liquid is carried out. A system described herein may include a number of stages/columns. The FMB/EMB system can be used in processes for continuous recovery, purifications or reactions of various products. |
US08673223B1 |
Fan powered air freshener automobile visor clip
A portable powered air freshener includes an air displacement mechanism carried by a housing including a fan. A scent capsule is carried by the housing and has a chamber containing a fragrant material and a permeable membrane through which a fragrance of the fragrant material can permeate over time. |
US08673218B2 |
Bioanalytical instrumentation using a light source subsystem
The invention relates to a light source for irradiating molecules present in a detection volume with one or more selected wavelengths of light and directing the fluorescence, absorbance, transmittance, scattering onto one or more detectors. Molecular interactions with the light allow for the identification and quantitation of participating chemical moieties in reactions utilizing physical or chemical tags, most typically fluorescent and chromophore labels. The invention can also use the light source to separately and simultaneously irradiate a plurality of capillaries or other flow confining structures with one or more selected wavelengths of light and separately and simultaneously detect fluorescence produced within the capillaries or other flow confining structures. In various embodiments, the flow confining structures can allow separation or transportation of molecules and include capillary, micro bore and milli bore flow systems. The capillaries are used to separate molecules that are chemically tagged with appropriate fluorescent or chromophore groups. |
US08673216B2 |
Detection of trace chemicals and method therefor
The invention provides devices, systems, and methods for detecting an analyte vapor. Particularly, electronegative analyte vapors, such as those vapors evolving from explosive compounds, are typical analytes detected the devices. The devices operate using a resistivity change mechanism wherein a nanostructured chemiresistive material undergoes a resistivity change in the presence of an analyte vapor. A resistivity change indicates the presence of an analyte. |
US08673214B2 |
Self contained in-vitro diagnostic device
A portable apparatus for measuring a glucose level of a user having: a card-like member; a processor within the card-like member; at least one glucose sensor comprising a reagent, the glucose sensor generating a signal indicative of a measured glucose level upon application of a blood sample to the glucose sensor, wherein the glucose sensor is fixed to the card-like member and operably coupled to the processor; and at least one cover alterable between a first position in which the glucose sensor is covered and a second position in which the glucose sensor is exposed for use. |
US08673212B2 |
Apparatus to decontaminate equipment containing internal channels
A self contained unit and system for determining whether medical equipment or devices such as endoscopes, minimally invasive surgical instruments (MIS), etc., are blocked, or substantially free flowing, or are disconnected or leaking before they are subjected to cleaning and/or a disinfecting process that is either sequential or simultaneous. The apparatus has a manifold that generally receives predetermined amounts of a gas and/or liquid for dispensing to one and preferably a plurality of channels. Advantageously, the test apparatus of the present invention can be utilized as a stand-alone unit that is able to monitor the noted medical equipment or devices with regard to the flow of a gas and/or liquid therethrough such as large or small lumens and such flow can also be automatically verified by a system independent of human intervention. Alternatively, the test apparatus can be utilized as part of a comprehensive system in conjunction with other devices for testing, cleaning, and/or disinfecting. |
US08673210B2 |
Method for tracking the use of a medical apparatus
The method of the invention comprises the following steps: during the disinfection (A) of the apparatus, recording (1) with an acquisition and processing system the disinfection date and data relative to the apparatus disinfection type and level together with identification information of said apparatus; during the use (C) of the apparatus on a patient, recording (5) with said system the date and information concerning the apparatus type of use and the patient together with identification information of said apparatus; sequencing with the acquisition and processing system the information on the disinfection and use on a patient together with identification information of said apparatus; before each use, developing using the acquisition and processing system an instruction allowing or forbidding (6) the use of the apparatus based on the sequencing. |
US08673206B2 |
Methods of extruding a honeycomb body
Methods of extruding a honeycomb body with an extruder comprise the step of feeding batch material to the extruder, wherein the batch material comprises a ceramic or ceramic-forming material. The methods further include the step of rotating at least one mixing screw to cause the batch material to travel along a flow path defined by a barrel of the extruder. The methods further include the step of indexing a carriage to remove a first device from the flow path and introduce a second device into the flow path of the batch material. In one example, the pressure of the batch material changes less than about 25% as a result of indexing the carriage. In addition or alternatively, further methods include the step of reducing a decrease in temperature of the batch material resulting from the step of indexing. In further examples, the method includes the step of pre-filling a second honeycomb extrusion die held by the carriage with a plugging material. |
US08673204B2 |
Large returnable container, method and apparatus for molding the same, and blow mold with separate heating zones
A method of molding a large returnable container includes a heat treatment step for subjecting a thick preform formed of a polyester resin or a primary blow-molded article to intermediate blow molding with heating to obtain an intermediate molded article, and a final blow molding step that includes subjecting the intermediate molded article that has shrunk to final blow molding with heating to obtain a large returnable container. The heat treatment step includes disposing the preform or the primary blow-molded article in a heat treatment mold, and pressurizing the preform or the primary blow-molded article so that a shoulder and a body obtained by blow molding close contact to a cavity surface of the heat treatment mold, and are subjected to a heat treatment, the shoulder being heated at a low temperature as compared with the body. |
US08673203B2 |
Method of depositing material
A method for depositing material at an intersection region (116) of at least two surfaces (102,104,106,108,110) of a component (100). The method comprises a first deposition process providing material at said intersection and directing an energy beam (114) toward the intersection region to join the material to the component. The beam is maintained at an obtuse angle relative to at least two of the surfaces such that substantially all of the working area of the beam is incident upon the intersection region. |
US08673201B2 |
Non-cement fire door core
The present invention describes a fire resistant building material composition, useful for example as a fire door core and to a method of making this composition. The building material of the present invention is prepared from an aqueous mixture of predominately expanded perlite, a small amount of a binder component consisting essentially of calcined gypsum and an organic binder, and optionally a fibrous reinforcement. |
US08673190B2 |
Method for manufacturing dosage forms
Systems, methods and apparatuses for manufacturing dosage forms, and to dosage forms made using such systems, methods and apparatuses are provided. Novel compression, thermal cycle molding, and thermal setting molding modules are disclosed. One or more of such modules may be linked, preferably via novel transfer device, into an overall system for making dosage forms. |
US08673188B2 |
Carbon-carbon parts and methods for making same
A carbon/carbon part and a process for making carbon/carbon parts is provided. The process involves forming steps, carbonization steps and densification steps. The forming steps may include needling fibrous layers to form fibers that extend in three directions. The carbonization steps may include applying pressure to increase the fiber volume ratio of the fibrous preform. The densification steps may include filling the voids of the fibrous preform with a carbon matrix. |
US08673175B2 |
Method of recycling cholesteric liquid crystal
A method of recycling a cholesteric liquid crystal is provided. The method includes providing a display medium material containing a micro-encapsulated cholesteric liquid crystal. The display medium material is mixed with a hydrophilic solvent to form a mixture having a temperature of between 70° C. and 100° C. Then, a hydrophobic solvent is added to mix with the hydrophilic solvent. The display medium material, the hydrophobic solvent and the hydrophilic solvent are mixed to form a mixture having a hydrophobic layer and a hydrophilic layer. The hydrophobic layer and the hydrophilic layer of the mixture are separated, wherein the hydrophobic layer contains a cholesteric liquid crystal and the hydrophobic solvent. Then, the hydrophobic solvent is removed from the hydrophobic layer to obtain the cholesteric liquid crystal. |
US08673168B2 |
Method for producing silicon fine particles and method for controlling particle diameter of silicon fine particles
An object and project of the present invention is to provide a method for producing silicon fine particles and a method for controlling a particle diameter of silicon fine particles which enable efficient production of silicon fine particles having a uniform particle diameter. A the characteristics of the present invention is producing silicon fine particles having a smaller particle diameter than silicon particles and controlling a particle diameter of silicon fine particles by immersing the silicon particles into an etching solution and irradiating the silicon particles immersed in the etching solution with light having a larger energy than a band gap energy of the silicon particles. |
US08673166B2 |
Plasma processing apparatus and plasma processing method
In a plasma processing apparatus, thrust-up pins are elevated and a thrust-up force is detected when electrostatic attraction for a substrate by a substrate holding device is ceased after completion of plasma processing, the elevation of the thrust-up pins is ceased upon detection of a detection threshold, and a stepped elevating operation in which the elevation and stoppage of the thrust-up pins are repeated a plurality of times are thereafter commenced on condition that the detected thrust-up force falls to or below the detection threshold and that release of the substrate from a placement surface has not been completed. In the stepped elevating operation, operation timing of the thrust-up device is controlled so that the completion of the release of the substrate from the placement surface is detected when the thrust-up pins are stopped after being elevated and so that the stepped elevating operation is continued on condition that the release has not been completed. |
US08673162B2 |
Methods for substrate surface planarization during magnetic patterning by plasma immersion ion implantation
A method and apparatus for planarizing magnetically susceptible layers of substrates is provided. A patterned resist is formed on the magnetically susceptible layer, and the substrate is subjected to a plasma immersion ion implantation process to change a magnetic property of the magnetically susceptible layer according to the pattern of the resist material. The substrate is subjected to a plasma material removal process either before or after the implantation process to planarize the surface of the magnetically susceptible layer resulting from the implantation process. The plasma material removal process may be directional or non-directional. |
US08673161B2 |
Structure formation using metal deposited on a RIE-able seedlayer
Methods for fabricating a device component are provided. A substrate comprising a RIE stop layer, an oxide layer formed on the RIE stop layer, and a RIE-able layer formed on the oxide layer may be provided. A resist layer may be patterned on the RIE-able layer. A metal layer may be formed on portions of the RIE-able layer that are not covered by the resist layer. The resist layer may be removed and an RIE performed to remove exposed portions of the RIE-able layer and portions of the oxide layer beneath the exposed portions of the RIE-able layer. Thereafter, the metal layer may be removed, and the component may be formed in an opening in the oxide layer formed during the RIE. |
US08673159B2 |
Enhanced in-line rotary separator
Apparatus and methods for separating a fluid, with the apparatus including a rotatable drum having an inner drum wall and an outer drum wall disposed around the inner drum wall to define a separation passage therebetween. The apparatus also includes radial separator blades that are curved in a circumferential direction and are disposed in the separation passage of the drum, the radial separator blades extending radially at least partially between the inner drum wall and the outer drum wall. The apparatus further includes a first circumferential separator blade that is curved in a radial direction and is disposed in the separation passage of the drum, the first circumferential separator blade extending at least partially around the inner drum wall. The apparatus also includes a housing disposed around the drum and configured to receive a higher-density component of the fluid separated in the separation passage. |
US08673157B2 |
Photoreactor
The present invention relates to a reactor for the photocatalytic treatment of liquid or gaseous streams, which reactor comprises a tube through which the stream to be treated flows, wherein, in the tube, there are arranged at least one light source, at least one flat means M1 provided with at least one photocatalytically active material and at least one flat means M2 reflecting the light radiation radiated by the at least one light source, wherein the reflecting surface of the at least one means M2 and the inner wall of the tube are at an angle greater than or equal to 0°, in such a manner that the light exiting from the light source is reflected by the at least one means M2 onto the photocatalytically active material, and to a method for the photocatalytic treatment of liquid or gaseous streams by irradiation with light in the reactor according to the invention. |
US08673149B1 |
Liquid filter assembly
A liquid filter assembly comprising a filter subassembly is inserted within an elongated tubular filter body, enclosed by a pair of end caps. The filter subassembly includes a plurality of filtration discs placed onto a tubular core and inner support member. A disc aperture is provided concentrically through each filtration disc. An outer filter support member and a central filter support member support each filtration disc. The outer filter support member engages with an interior of the elongated body and an end wall engages with the disc perimeter. The central filter support member engages with an exterior of the inner support member and an end wall engages with the peripheral edge about the filtration disc aperture. Fluid passes through the first end cap orifice, continuing through the inner support member, transferring flow through the filtration discs, returning through the inner support member, and exiting the opposite end cap orifice. |
US08673144B2 |
Flow rate control
A liquid sample is prepared at a preparation site and then processed, e.g. in an HPLC column. The sample is prepared and conveyed to the device at a flow rate which is substantially less than the flow rate through the device. The different flow rates are preferably provided by variable rate working fluid supplies which drive the sample from the preparation site and through the device. |
US08673143B2 |
Portable system for on-site iodine extraction from an aqueous solution
A portable system for extracting iodine from brine on-site is disclosed. The portable system includes a mobile platform containing a treatment unit for oxidizing iodine ions into elemental iodine, an adsorption unit capable of binding iodine, and may also have an electrolytic cell and/or a gas-liquid separator. The treatment unit and the adsorption unit may be located in the same or different portable operating devices. When transported to a field site containing natural gas wells, the natural gas wells provide a fluid stream containing natural gas and brine. The separators can separate the natural gas from the brine, and the brine is then run through the treatment unit and the adsorption unit to bind iodine present in the brine. The brine is then returned to the natural gas well. Upon saturation, the portable operating device is transported to a second location, where iodine is extracted from the adsorption unit. |
US08673142B2 |
System for enhancing a wastewater treatment process
A system for enhancing an activated sludge process including at least one biological reactor. A weighting agent impregnation subsystem is coupled to the biological reactor for mixing biological flocs and weighting agent to impregnate the weighting agent into the biological flocs to form weighted biological flocs. A weighting agent recovery subsystem is configured to recover the weighting agent from the weighted biological flocs and reintroducing the recovered weighting agent to the weighting agent impregnation subsystem. |
US08673141B2 |
Oil filter device
The present invention provides a suction oil filter device for an engine or a transmission that has an oil inlet and an oil outlet on the filter housing, a suction oil pump connectable to the oil outlet, using which a partial vacuum may be generated between oil inlet and oil outlet, a fine filter medium and an ultrafine filter medium, which are situated between the oil inlet and the oil outlet. The fine filter medium is attached to the oil inlet or to the oil outlet, and the ultrafine filter medium is situated before the fine filter medium in the flow direction and in a secondary flow area of the filter housing. A high filtration performance may thus be achieved at low production outlay. |
US08673139B2 |
Dialysis machine, in particular peritoneal dialysis machine
A dialysis machine, in particular a peritoneal dialysis machine, to which a fluid system having a multi-chamber container with at least two chambers with individual solutions separated by a partition arrangement to be opened mechanically can be coupled includes a controller and at least one sensor for the determination of a measured variable in the fluid system. The controller has an apparatus for the automatic checking of the proper opening of the partition arrangement with reference to the measured variable determined by the sensor. |
US08673135B2 |
Coal liquefaction complex with minimal carbon dioxide emissions
The invention described herein relates to a novel process for reducing the carbon dioxide emissions from a coal and/or biomass liquefaction facility by utilizing a steam methane reformer unit in the complex designed to produce additional hydrogen which can be thereafter utilized in the process, as required for the plant fired heaters (including the SMR furnace), and for the production of plant steam. The plant light ends (C1, C2, etc.), which are normally utilized as fuel gas streams are the primary feeds to the SMR Unit along with the tail gas purge from a gasification complex within the facility. |
US08673134B2 |
Removal of nitrogen compounds from FCC distillate
A method for the removal of nitrogen compounds from FCC feed or from catalytically cracked distillates including FCC cycle oils by using formaldehyde to selectively couple organic heterocyclic nitrogen species in the FCC feed or FCC distillate to form higher boiling coupling products out of the boiling range of FCC distillate. Removal of the nitrogenous compounds improves the operation of subsequent hydrodesulfurization steps needed for the distillate fraction to conform to low sulfur standards. The formaldehyde is preferably used in the form of paraformaldehyde. The reaction between the nitrogenous compounds in the cycle oil fraction with the formaldehyde is conveniently carried out in the cycle oil pumparound circuit of the FCC main column. |
US08673132B2 |
Heavy oil conversion process with in-situ potassium sulfide generation
The present invention relates to a process for regeneration of alkali metal salt reagent used in desulfurization of heavy oil feedstreams. In particular, the present invention relates to a process utilizing potassium hydroxide as an external supply reagent to a heavy oil conversion process and in-situ conversion of the spent reactants utilized in such process into a potassium sulfide reagent for reintroduction into the heavy oil conversion process. |
US08673129B2 |
High-throughput cavitation and electrocoagulation apparatus
The invention relates to a cavitation and electrocoagulation reactor comprising a hollow cylindrical cathode having a cylindrical anode coaxially disposed therein to form an annular interelectrode gap between the contact surfaces. An inlet sleeve and an outlet sleeve disposed in the respective inlet and outlet of the cathode and abutting against opposite ends of the anode seal the interelectrode gap from the respective inlet and outlet of the cathode. A set of channels disposed in the wall of the inlet sleeve connect the inlet to the interelectrode gap. Similarly, a set of openings disposed in the outlet sleeve connect the interelectrode gap to the cathode outlet. An electrical source has first and second terminals respectively connected to the anode and the cathode. The method for removing contaminants from a contaminated fluid flow involves the simultaneous application of hydrodynamic cavitation and electrocoagulation to the contaminated fluid flow. |
US08673123B2 |
Magnetron sputtering device having rotatable substrate holder
A magnetron sputtering device includes a target holder, a substrate holder, and a first driver. The target holder defines a sputtering space therein, and includes at least one target tray arranged at a periphery of the sputtering space. The substrate holder is rotatably positioned in the sputtering space. The first driver is connected to the substrate holder. The first driver is operable to rotate the substrate holder relative to the target holder. |
US08673119B2 |
Photovoltaic panel-interfaced solar-greenhouse distillation systems
A hybrid photovoltaic panel-interfaced solar-greenhouse distillation technology is provided that is capable of utilizing solar waste heat to perform liquid distillation while co-generating solar electricity. Solar waste heat co-generated at a photovoltaic panel is effectively utilized by in situ distillation liquid as an immediate heat sink in thermo contact with the photovoltaic panel front surface, thus providing beneficial cooling of the photovoltaic panel and co-making of distillation products while generating electricity with significant improvement on total-process solar energy utilization efficiency. Its enabled beneficial utilization of waste heat can provide a series of distillation-related products such as: freshwater, sea salts, distilled water, hot water, hot steam, saline/brine products, and brine photobiological cultures for production of advanced biofuels and bioproducts, in addition to solar electricity. |
US08673118B2 |
Press device with an extended nip, a paper making machine and a method of operating a press device
The present invention relates to a press device (1) that comprises an extended nip roll (2) and a counter roll (3). The extended nip roll (2) has a flexible jacket (4) and a support body (5) inside the flexible jacket (4). The extended nip (2) roll also has internal means for causing the support body (5) to move or expand radially towards an inner surface (6) of the flexible jacket (4) to form a nip with the counter roll. The rolls (2, 3) have axial ends (7, 8, 10, 11) that are supported in bearing housings (9, 12). One or several actuators (13) such as hydraulic cylinders connects bearing housings (9, 12) to each other and the actuator or actuators (13) are arranged to act on the bearing housings (9, 12) to cause them to move to each other in a closing movement. A mechanical stop (14) which is separate from the rolls (2,3) is arranged to halt the closing movement. The invention also relates to a machine that uses the press device and to a method of operating the press device. |
US08673117B2 |
Degrading agent compositions for temporary wet strength systems in tissue products
A degrading agent composition for use in manufacturing a tissue product generally includes a degrading agent, a degradation inhibiting carrier, and a non-ionic surfactant. The non-ionic surfactant stabilizes the viscosity of the composition as a function of time. The degrading agent composition can be used in combination with a temporary wet strength agent to produce a tissue product exhibiting high initial wet tensile strength and desirable decay properties. Processes for forming the tissue product and pumpable fluids containing the degrading agent composition are also disclosed. |
US08673116B2 |
Water disintegratable fibrous sheet
To provide a water disintegratable fibrous sheet with high water disintegratability and wet strength, that can be used as a cleaning sheet. A prescribed water disintegratable fibrous sheet comprising 30-50% by mass of unbeaten pulp (a) with a beating degree of 700 cc or greater; 20-40% by mass of beaten pulp (b) with a beating degree of 400-700 cc; 15-45% by mass of regenerated cellulose (c) with a beating degree of 700 cc or greater; and 2-15% by mass of fibrillated purified cellulose (d) with a beating degree of 0-400 cc. |
US08673115B2 |
Method of making a fabric-creped absorbent cellulosic sheet
A method of making a fabric-creped absorbent cellulosic sheet includes forming a nascent web from a papermaking furnish, the nascent web having a generally random distribution of papermaking fiber, transferring the nascent web having the generally random distribution of papermaking fiber to a translating transfer surface that is moving at a transfer surface speed, drying the web, to a consistency of from about 30 to about 60 percent, including compactively dewatering the web prior to or concurrently with transfer of the web to the transfer surface, fabric-creping the web from the transfer surface at a consistency of from about 30 to about 60 percent utilizing a creping fabric with a patterned creping surface, the fabric-creping step occurring under pressure in a fabric creping nip defined between the transfer surface and the creping fabric, the web being creped from the transfer surface and redistributed on the creping fabric. |
US08673114B2 |
Method for producing a watermark element, watermark element, and also applications
According to one aspect, the invention relates to a method for producing a watermark element (10) for forming a shadow watermark image in a substrate by means of dewatering of a fibrous suspension, wherein the watermark element (10) comprises a body with a relief side (12) having a relief (14) and a dewatering side (16) positioned opposite the relief side, perforations (18) being provided at least in the relief (14), a perforation (18) comprising a channel (20) with a channel inlet (21) at the relief side (12) and a channel outlet (22) at the dewatering side (16), which method includes the steps of forming perforations in a body in a mechanical manner and introducing a relief in the intended relief side, the perforations (18) being formed in such a way that the dewatering capacity, expressed as the open surface area of the channel inlet(s) per unit of surface area of the relief, is dependent on the height (h) of the channel inlet (21) with respect to the dewatering side (16), it being the case that the higher the height, the lower the dewatering capacity. |
US08673107B2 |
Methods for fabricating optically encoded particles and methods for optically encoding objects with such particles
A method for labeling an object such as a biological sample, including printing at least two different light-emitting species onto a substrate which are capable of displaying a unique optical signature when excited; and externally attaching the object to the printed at least two different light-emitting species. Also, a method for conducting a large scale test, including printing a first label onto a first substrate, the first label comprising at least two different light-emitting species capable of displaying a first unique optical signature when excited; printing a second label onto the first substrate or a second substrate, the second label comprising at least two different light-emitting species capable of displaying a second unique optical signature when excited; externally attaching a first object, such as a first biological sample to the first label; externally attaching a second object, such as a second biological sample to the second label; processing the first and second objects in a combined manner; analyzing the processed first and second objects; and identifying the analyzed first and second objects using their respective first and second labels. |
US08673106B1 |
Methods and apparatus for forming molded thermal plastic polymer components
Apparatus and methods of forming a molded thermoplastic piece are provided. The molded thermoplastic piece can have an outer layer of one or more sheets of reinforced flexible thermoplastic and a porous layer, such as cork. The outer layer can a mechanical bond with the porous layer. |
US08673103B2 |
Method of fabricating an armor panel
A fabricating method for an armor panel uses a template to position tiles on an adhesive surface. The template includes an L-shaped frame and cells having precise wall thickness. Tiles are fitted in the cells against the surface. The template is repeatedly repositioned so new tiles can be fitted into unoccupied cells to form a desired tile array. A border is placed around the array, forming a cavity. Resin is poured into the cavity. A back plate placed over the array presses against the resin. Excess resin exits the cavity through an interface between the border and the adhesive surface and through a gap between the back plate and border. After the resin cures the border is removed. |
US08673102B2 |
Inflatable structure and method of manufacture
A method of thermoforming an inflatable structure of dissimilar materials includes the steps of providing a first sheet formed of a first material and a second sheet formed of a second material different than the first material, the second sheet being spaced from the first sheet, and a valve being positioned between the first and second sheets; heating the first and second sheets to a temperature above their glass transition temperature and below their melting point; bonding the first and second sheets together in a mold having an opposed pair of cavities to form an inflatable structure having a seal around a periphery thereof, the valve extending through the seal and being in fluid communication with an interior and exterior of the inflatable structure; and trimming excess material from the periphery of the inflatable structure. |
US08673099B2 |
Compressed inner covering hinged segmented stent-graft
An implantable prosthesis including a longitudinally compressed generally tubular substrate defining a longitudinal axis, a plurality of expandable segments disposed over the substrate and spaced apart along the longitudinal axis, and a graft member positioned over the segments. The graft member may include a lattice structure. Adjacent expandable segments may be connected by one or more filaments. |
US08673097B2 |
Anchoring loops of fibers needled into a carrier sheet
Methods of forming a loop product are provided. Methods include needling polymeric fibers through a substrate to form hook-engageable loop structures of the fibers extending from one surface of the substrate and then using heat and pressure to soften and bond polymer of the fibers directly to the substrate and adjacent fibers, thereby anchoring the loop structures to resist fiber pullout under fastening loads. Loop products are also provided. |
US08673095B2 |
System and method for repairing a screen for use in the paper mill industry
A system and method for repairing a screen for use in the paper mill industry consists in using a small circular-shaped die or rotary cutter to cut through a multilayer wire. A first, small diameter punch die is used to cut through all of the damaged layers and then, each successive punch die is configured with a larger diameter and a flange that makes it go less deeply so that it cuts all but the bottom most damaged layer. The process is repeated for each layer with increasing diameter punch dies so that each hole is larger in diameter. In doing so, it is easy to reach the bottom most layer from the top, put in a patch and micro weld it and then move up to the next layer and still having room for the welding because of a larger hole still on the layer above it. |
US08673085B2 |
Controlling method of dishwasher
A method for controlling a dishwasher including the steps of first determining a steam operation mode for washing dishes and second determining at least one of a motor rotation speed, a number of repetitions of a steam supply step, a steam washing pattern, and an amount of detergent based on the determined steam operation mode. |
US08673084B1 |
Methods for varnish removal and prevention in an internal combustion engine
Methods for removing and preventing the buildup of unwanted deposits and varnishes on combustion chamber surfaces, particularly injector-igniter components that are exposed to combustion events. A method of removing deposits from an injector-igniter comprises monitoring the current across a pair of electrodes in the injector-igniter, comparing the current with a predetermined threshold level, and performing a cleaning cycle if the current exceeds the threshold level. The cleaning cycle may comprise injecting oxidant through the injector-igniter and into the combustion chamber. The cleaning cycle may further comprise ionizing the oxidant with an electrical discharge having a first polarity and ionizing the oxidant a second time with an electrical discharge having a second polarity. In other cases the cleaning cycle comprises injecting hydrogen through the injector-igniter and into the combustion chamber. In still other cases the cleaning cycle may comprise injecting coolant onto the electrodes. |
US08673083B2 |
Method of cleaning showerhead
A showerhead cleaning rack is disclosed. The showerhead cleaning rack includes a frame and a support body, wherein the support body is located and connected with the frame. The support body has a plurality of positioning parts. The positioning parts are used for holding the showerhead. The showerhead cleaning rack is used in an ultrasonic cleaning trough. By utilizing the oscillation of the ultrasonic wave generated in the ultrasonic cleaning trough, the pollutants on the showerhead is cleaned. An ultrasonic cleaning method with the showerhead cleaning rack is also provided. |
US08673081B2 |
High throughput multi-wafer epitaxial reactor
An epitaxial reactor enabling simultaneous deposition of thin films on a multiplicity of wafers is disclosed. During deposition, a number of wafers are contained within a wafer sleeve comprising a number of wafer carrier plates spaced closely apart to minimize the process volume. Process gases flow preferentially into the interior volume of the wafer sleeve, which is heated by one or more lamp modules. Purge gases flow outside the wafer sleeve within a reactor chamber to minimize deposition on the walls of the chamber. In addition, sequencing of the illumination of the individual lamps in the lamp module may further improve the linearity of variation in deposition rates within the wafer sleeve. To improve uniformity, the direction of process gas flow may be varied in a cross-flow configuration. Combining lamp sequencing with cross-flow processing in a multiple reactor system enables high throughput deposition with good film uniformities and efficient use of process gases. |
US08673076B2 |
Substrate processing apparatus and semiconductor device producing method
Disclosed is a substrate processing apparatus which comprises reaction tubes (3,4) for processing multiple substrates (27), a heater (5) for heating the substrates, and gas introducing nozzles (6,7,8,9,10) for supplying a gas into the reaction tubes. Each of the gas introducing nozzles (6,7,8,9) is structured so that at least the channel cross section of a portion facing the heater (5) is larger than those of the other portions. |
US08673074B2 |
Growth of planar non-polar {1 -1 0 0} M-plane and semi-polar {1 1 -2 2} gallium nitride with hydride vapor phase epitaxy (HVPE)
A method of growing planar non-polar m-plane or semi-polar III-Nitride material, such as an m-plane gallium nitride (GaN) epitaxial layer, wherein the III-Nitride material is grown on a suitable substrate, such as an m-plane sapphire substrate, using hydride vapor phase epitaxy (HVPE). The method includes in-situ pretreatment of the substrate at elevated temperatures in an atmosphere of ammonia and argon, growing an intermediate layer such as an aluminum nitride (AlN) or aluminum-gallium nitride (AlGaN) on the annealed substrate, and growing the non-polar m-plane III-Nitride epitaxial layer on the intermediate layer using HVPE. |
US08673072B2 |
Crystal grower with integrated litz coil
An apparatus and method of manufacturing a crystal grower is disclosed. The crystal growing apparatus includes a receptacle constructed to receive a material selected to grow a crystal and an induction heater constructed to heat the material, with the induction heater comprising a Litz coil and a hose constructed to receive the Litz coil therein. The hose further comprises an inner liner formed of an electrically non-conductive material, a reinforcement layer surrounding the inner liner to provide structural reinforcement thereto, and an outer liner applied about the reinforcement layer to form an exterior of the hose. |
US08673066B2 |
Dust-removing method
In a porous filter, dust that has been collected from a gas through a filter group installed in a vessel body onto filter surfaces is removed from the filter surfaces by backwashing in which the supply and stoppage of a high-pressure gas ejected toward porous filter elements are repeated by means of a valve operation. Backwash tanks having upstream backwash valves and downstream backwash valves are installed in backwash pipes through which the high-pressure gas is guided from high-pressure-gas supply equipment to backwash nozzles for ejecting the backwash high-pressure gas toward the filter group to form a backwash high-pressure-medium supply line. The outlet pressure of the high-pressure-gas supply equipment is set to “1/critical pressure ratio” or more times a filter inlet gas pressure so that the flow speed of the high-pressure gas ejected from the backwash nozzle is the speed of sound or higher. |
US08673064B2 |
Partial wall-flow filter and method
A partial wall-flow filter having some unplugged flow-through channels and some plugged channels. Desirable combinations of filtration efficiency and back pressure may be provided by combinations of t wall≦305 urn, MPD≦20 μm, % P≧50%, and CD≧250 cpsi wherein t wall is the transverse thickness of the porous walls, MPD is a mean pore diameter of the porous walls, % P is the total porosity of the porous walls, and CD is the cell density of the channels. In one embodiment, some of the plugged channels are located adjacent to the inlet end and some are located adjacent to the outlet end. Systems and method including the partial wall-flow filter are also described. |
US08673062B2 |
Method for purifying gases and obtaining acid gases
A method of removing acid gases from raw gas is disclosed in which the raw gas is supplied to an absorption column where it is contacted with a physical absorption agent, having a boiling point lower than 100° C. at atmospheric pressure, under elevated operating pressure to load, the physical absorption agent with acid gases and usable gases and then the physical absorption agent loaded with acid gases and usable gases is driven from the absorption column at its sump while drawing off at the head of the absorption column a purified top gas containing up to a few ppm of acid-gas components. Following the absorption, the physical absorption agent undergoes stripping to remove usable gases, and regeneration to remove the acid gases as well as to provide a regenerated physical absorbent which may be used to treat additional raw gas. |
US08673061B2 |
Methods for facilitating use of dimethyl sulfoxide (DMSO) by removal of same, related compounds, or associated odors
Several embodiments of the invention relate to methods for removing compositions comprising dimethyl sulfoxide (DMSO) or related compounds, or odors associated with same. In several embodiments, systems including activated carbon filters, adsorbents, odor adsorbing fabrics, masks, clean air members and clean air supply assemblies are provided in order to perform said methods. In some embodiments the systems and methods facilitating the treatment of traumatic brain injury, ischemic stroke, atherosclerosis, spinal cord trauma, and neurodegenerative illnesses with compositions comprising DMSO. |
US08673060B2 |
Composite H2O absorber for sealed medical devices
There are described composite absorbers for sealed medical devices, which comprise an active species dispersed in a polymeric matrix having an H2O transmission comprised between 0.05 g mm −2 d−1 and 5.4 g mm m−2 d−1 at 25° C. and 100% RH, and a method for H2O removal from the sealed medical devices by means of said composite absorbers. |
US08673058B2 |
Method and arrangement for removing solid particles and tar component from carbon monoxide gas
A method and arrangement for removing solid particles and tar component from carbon monoxide gas. In the method, carbon monoxide gas is conducted from a ferroalloy smelting furnace (1) to a gas scrubber (2), the carbon monoxide gas is scrubbed in the gas scrubber (2) by a liquid medium for removing part of the solid particles, the carbon monoxide gas is conducted from the gas scrubber to a blower (3), the flow rate of the carbon monoxide gas is increased by the blower (3), and the carbon monoxide gas is conducted to a particulate filter (5) and the remaining solid particles are essentially filtered in the particulate filter (5) from the carbon monoxide gas. Prior to conducting the carbon monoxide gas to the particulate filter (5), it is conducted to a particle feeder (4), where finely divided adsorbent particles (A) are fed in the carbon monoxide gas for adhering the tar component on the surface of the adsorbent particles, and the carbon monoxide gas flow containing adsorbent particles is conducted to the particulate filter (5), in which case the tar component adhered on the adsorbent particles is discharged in the particulate filter (5). |
US08673052B2 |
Process for preparing tantalum powder for capacitors
The present invention provides a process for preparing a tantalum powder with high specific capacity, which process comprising the steps of, in sequence, (1) a first reduction step: mixing tantalum oxide powder and a first reducing agent powder homogenously, and then carrying out reduction reaction in hydrogen and/or inert gas or vacuum atmosphere to obtain a tantalum suboxides powder; (2) a second reduction step: mixing the tantalum suboxides powder obtained from the step (1), in which impurities have been removed, and a second reducing agent powder homogenously, and then carrying out reduction reaction in hydrogen and/or inert gas or vacuum atmosphere to obtain a tantalum powder having high oxygen content; (3) a third reduction step: mixing the tantalum powder having high oxygen content obtained from the step (2), in which impurities have been removed, with a third reducing agent powder homogenously, and then carrying out reduction reaction in hydrogen and/or inert gas or vacuum atmosphere to obtain a tantalum metal powder suitable for capacitors; wherein after each reduction step, the oxidation product of reducing agent and the residual reducing agent are removed from the reaction product. |
US08673048B2 |
Magnetic separation of iron from aluminum or magnesium alloy melts
Iron impurities may be removed from volumes of molten aluminum or magnesium metals or alloys by applying a static magnetic field gradient to each of the molten metal volumes, or melts. The magnetic field gradient is applied to each of the melts so that separate-phase iron impurities suspended therein will move in the direction of the applied magnetic field and become concentrated in a predetermined region of the of the melts, thereby forming an iron-rich region. The remaining iron-depleted region of each of the melts can be physically separated from the as-formed iron-rich region and cast into shaped articles of manufacture or into semi-finished articles for further processing. Such articles will have a lower iron-content than the original molten metal volumes. |
US08673039B2 |
Enhanced vane bundle design
An enhanced vane bundle design that provides additional inlet chord area. An enhanced vane bundle for use in separator vessels includes top and bottom convexly-curved outlet baffles that extend horizontally from the outlet-side of the vane pack, the outlet baffles including a plurality of corresponding holes. The enhanced vane bundle further includes curved plates affixed to the convexly-curved outlet baffles, the curved plates and the convexly-curved outlet baffles define vertical pockets that extend vertically through an entirety of an outlet chord area on an outlet side of the enhanced vane bundle. The enhanced vane bundle further includes a plurality of tubes connecting the corresponding holes of the outlet baffles and extending vertically through the entirety of the outlet chord area. |
US08673037B2 |
Air filter with balanced seal
An air filter and dust collector are provided. In one embodiment, the air filter includes a filter element coupled at a first end to a end cap and at a second end to a first side of a rectangular filter flange assembly. The rectangular filter flange assembly includes a central aperture open to an interior region bounded by the filter element. A rectangular gasket is disposed on the second side of the filter flange assembly and circumscribes the central aperture. |
US08673034B2 |
Methods and systems for integrated boiler feed water heating
Methods and systems for a gasifier system are provided. The gasifier system includes a gasifier including a syngas cooler configured to transfer heat from a reaction zone of the gasifier to a flow of fluid through the syngas cooler, a reaction vessel coupled in flow communication with the syngas cooler wherein the reaction vessel is adapted to receive the flow of fluid and generate heat in an exothermic shift reaction. The system also includes a heat exchanger coupled in flow communication with the reaction vessel, the heat exchanger adapted to produce relatively high pressure steam using the generated heat. |
US08673031B2 |
Pretreated densified biomass products
A product comprising at least one densified biomass particulate of a given mass having no added binder and comprised of a plurality of lignin-coated plant biomass fibers is provided, wherein the at least one densified biomass particulate has an intrinsic density substantially equivalent to a binder-containing densified biomass particulate of the same given mass and h a substantially smooth, non-flakey outer surface. Methods for using and making the product are also described. |
US08673029B2 |
Use of fuels or fuel additives based on triglycerides of modified structure and process for their preparation
The invention is directed to the use of triglycerides of modified structure, mostly known per se, as fuels, combustibles, or fuel and/or combustible additives, and to the fuels comprising them. In the description and in the claims the expression “fuel” means liquid motor propulsion materials and/or liquid combustibles. In addition, the invention is directed to new procedures for the simple, economical and environment protecting preparation of the triglycerides of modified structure and/or the mixtures comprising them, and at the same time the glycerol produced in the known procedures in large amounts can be utilized. |
US08673026B2 |
Assembled battery manufacturing method
Cells (1) are first manufactured (S01). Each cell (1) is inspected (S02) and then adjusted to SOC 40% (S03). Thereafter, the cells (1) are assembled to form a cell stack (90) (S04). In the cell stack (90), the cells (1) constituting the cell stack (90) are collectively discharged so that their SOC becomes 30% (S05). This collective discharge is performed to collectively discharge the cells (1) in the cell stack (90). Thus, the same discharging conditions (equipment, time, environment, etc.) are established for the cells (1). |
US08673022B2 |
Colouring composition
The present invention relates to a two part aqueous oxidative coloring composition for keratin fibers especially human hair with improved and homogeneous conditioning effect from root to tip, improved color fastness against washing and for achieving homogeneous even coloration. Present invention is on a two part aqueous composition for coloring keratin fibers especially human hair, characterized in that the first part A is an aqueous composition and comprises at least one oxidative dye precursor, optionally at least one coupling agent and/or at least one direct dye and furthermore comprising at least one anionic surfactant the second part Part B is an aqueous composition and comprises at least one oxidizing agent and at least one cationic polymer. |
US08673020B2 |
Esophageal valve device for placing in the cardia
A luminal valve for placing in a body lumen comprises at least four valve leaflets. The valve has a normally closed configuration in which the leaflets are engaged and an open configuration in which the leaflets are open. The valve may be mounted to an esophageal support. |
US08673018B2 |
Methods of using water-soluble inorganic compounds for implants
A method for controlling generation of biologically desirable voids in a composition placed in proximity to bone or other tissue in a patient by selecting at least one water-soluble inorganic material having a desired particle size and solubility, and mixing the water-soluble inorganic material with at least one poorly-water-soluble or biodegradable matrix material. The matrix material, after it is mixed with the water-soluble inorganic material, is placed into the patient in proximity to tissue so that the water-soluble inorganic material dissolves at a predetermined rate to generate biologically desirable voids in the matrix material into which bone or other tissue can then grow. |
US08673012B2 |
Intervertebral spacer and insertion tool providing multiple angles of insertion
An intervertebral spacer can have a leading end and a trailing end that includes an engaging portion configured to securely engage complementary features of an insertion tool at any of a plurality of different angles. The engaging portion can have a first radius and a major axis extending from the leading end to the trailing end. The trailing end can have a channel formed around a partially cylindrical portion having a second radius that is less than the first radius. The channel can be configured to accept an extending portion of the insertion tool. |
US08673011B2 |
Expandable cage
An apparatus supports the spine between vertebrae and promotes spinal fusion. The apparatus generally includes a first supporting member, a second support member, and an expansion member. The first support member has a first longitudinal passage extending therethrough, a first supporting end configured to engage tissue, and a rack configured to engage a tool. The second supporting member contains a second longitudinal passage extending therethrough and a second supporting end configured to engage tissue. The second longitudinal passage is dimensioned to receive at least a portion of the first supporting member. The first and second supporting members are configured to move with respect to each other. The expansion member is removably positioned between the first and second supporting members and is adapted to maintain the first and second supporting members in a fixed relative position. |
US08673010B2 |
Flexible chain implants and instrumentation
A flexible chain implant for insertion into an interior volume of a vertebral body. The implant may be implanted in an insertion position for sliding through a cannula and is flexible for packing into the interior volume in an implanted configuration. The implant randomly separates in the implanted configuration. The implant includes a top member and a bottom member, wherein the top and bottom members are coupled to one another at a coupled portion. The top and bottom members preferably each include an inner surface such that the inner surfaces include a plurality of alternating projections and recesses so that the projections are received within the recesses in an insertion position. Alternatively, the implant may include a plurality of substantially non-flexible bodies and a plurality of substantially flexible links interconnecting the bodies. The non-flexible bodies include a plurality of facets and/or abutment surfaces. |
US08673008B2 |
Posterior spinal arthroplasty system
A lumbar disc prosthesis is provided including a first member with a vertebral disc contact surface and a recessed portion on an opposing surface thereof; a second member with a vertebral disc contact surface and a protruding portion on an opposing surface thereof. The protruding portion of the second member engages with the recessed portion of the first member in use. A facet joint prosthesis is provided, including a first member for attachment to a first posterior lumbar disc in use and a second member for attachment to a second posterior lumbar disc in use, where at least a part of the first member is telescopically mounted in at least a part of the second member in use. |
US08673005B1 |
System and methods for spinal fusion
A system and method for spinal fusion comprising a spinal fusion implant of non-bone construction releasably coupled to an insertion instrument dimensioned to introduce the spinal fusion implant into any of a variety of spinal target sites, in particular into the thoracic region of the spine. |
US08673003B1 |
Method for improving the early detection of breast cancer and device therefor
A device for improving the early detection of a malignant breast cancer tumor in the breast of a human being includes a foreign body implant which is shaped and dimensioned to imitate a breast tumor. The foreign body implant is implanted in the breast of a human being and provides a tactile standard with which to compare a possible actual breast cancer tumor. During periodic breast self examination, the human being feels the foreign body implant to reinforce a tactile memory of what breast tumors feel like. |
US08673000B2 |
Stents for prosthetic heart valves
A stented valve including a generally tubular stent structure that has a longitudinal axis, first and second opposite ends, a plurality of commissure support structures spaced from the first and second ends and extending generally parallel to the longitudinal axis, at least one structural wire positioned between each two adjacent commissure support structures, and at least one wing portion extending from two adjacent commissure support structures and toward one of the first and second ends of the stent structure. The stented valve further includes a valve structure attached within the generally tubular stent structure to the commissure support structures. |
US08672999B2 |
Prosthetic heart valve assemblies
A prosthetic heart valve assembly comprises a plurality of leaflets configured to replace the function of a native heart valve. Each leaflet comprises laminar pericardium tissue. Each leaflet has a coaptation edge formed by laser cutting. The tissue layers of each leaflet are fused together along an entire length of the coaptation edge, and tissue adjacent each coaptation edge does not have significant thermal energy damage. |
US08672998B2 |
Method for anchoring a mitral valve
An artificial mitral valve is anchored in the left atrium by placing the valve between the annulus of the natural mitral valve and an artificial annulus. The artificial annulus is formed by inserting a tool into the coronary sinus, and adjusting the tool to force the wall of the left atrium to form an annulus above the artificial valve, this locking it in place and forming a hemostatic seal. |
US08672997B2 |
Valve with sinus
A valve with a structural member and valve leaflets that provide a sinus. |
US08672996B2 |
Self-expanding medical device
The invention relates to a helical coil comprising multiple reversing sense helical coil units that are capable of drug elution, come in lengths appropriate for long, diffuse lesions, have the ability to have a step-wise tapering diameter, and provide all the benefits of a small closed cell stent design while maintaining high flexibility, high radial force and crush resistance due to an underlying helical coil. |
US08672991B2 |
Delivery and recovery sheaths for medical devices
A deployment control system provides controlled deployment of an embolic protection device which may include a guide wire, an expandable filter attached to the guide wire near its distal end, and a restraining sheath that maintains the expanded filter in a collapsed position. The deployment control system includes a torque control device which allows the physician to torque the guide wire into the patient's anatomy and a mechanism for preventing the guide wire from buckling as the restraining sheath is being retracted to deploy the expandable filter. A recovery control system for recovering the embolic protection device includes an inner catheter which extends within a lumen of an outer recovery sheath in a coaxial arrangement. A distal portion of the inner catheter extends beyond another recovery sheath during advancement of the recovery system into the vasculature. The recovery sheath can be advanced over the inner catheter to collapse the expandable filter. The proximal ends of the inner catheter and recovery sheath include handle portions having snap mechanisms which hold the components together as the recovery system is being advanced into the patient's vasculature. |
US08672989B2 |
Apparatus and method of placement of a graft or graft system
An endoluminal prosthesis system deployable in a region of a patient's vasculature having one or more branch vessels, having a main graft body having a first opening in a wall portion of the main graft body and a pre-loaded guidewire positioned inside the main graft body and advanced through the first opening. One or more branch grafts can be attached to the main graft body to cover one or more openings in the main graft body. |
US08672983B2 |
Orthopedic plate system
An orthopedic medical apparatus and methods are disclosed, among which are a cylindrical orthopedic plate and clamps and fixation members for attaching the plate to tissue such as bone tissue. In one embodiment, the plate includes sides and ends that are cylindrical, and the clamps are adapted to fit thereto. Additional apparatus in the form of a lateral connecting member and a connecting plate usable with the orthopedic plate are also disclosed. Methods for using the disclosed apparatus are also described. |
US08672980B2 |
Implant retaining device
There is provided an implant retaining device, which has the effect of preventing an intervertebral implant from jutting out of the receiving bed. The implant retaining device generally includes a plate having at least one throughbore to receive a screw, and a screw for securing the plate to the vertebrae. The plate may be dimensioned to cover a portion of the opening of a receiving bed, and thus, need only be secured to a single vertebral body. In an alternate embodiment, the plate may be used during bone fracture correction procedures to prevent a bone screw from backing out of engagement with adjacent bone sections. A method of retaining an intervertebral implant using the device is also provided. |
US08672979B2 |
Spinal stabilization system for the stabilization and fixation of the lumbar spine and method for using same
A system and method for the stabilization and fixation of the lumbar spine. The system can include a plurality of pedicle screws, a support rod, a plurality of rod clamps, and a plurality of coupling members. In one aspect, each coupling member can be configured to engage a proximal portion of a pedicle screw and can have a socket portion that is configured to receive a socket engaging portion of the rod clamp, such that the coupling member and the pedicle screw can be pivoted three-dimensionally relative to the rod clamp and the support rod. |
US08672978B2 |
Transverse connector
A transverse connector for coupling between first and second vertebral anchors of a spinal stabilization system. The transverse connector includes a first coupling assembly proximate a first end, a second coupling assembly proximate a second end, a first fastener having external threading configured to threadably engage an internal threaded portion of a housing of the first vertebral anchor, and a second fastener having external threading configured to threadably engage an internal threaded portion of a housing of the second vertebral anchor. Each of the first and second fasteners includes a spherical upper surface, such as a spherically concave upper surface. A spherical surface of each of the first and second coupling assemblies mates with the spherical upper surface of the respective fastener to provide multi-axial rotation of the transverse connector relative to the housings to permit a desired orientation of the transverse connector. |
US08672975B2 |
Spine distraction implant and method
A spine distraction implant alleviates pain associated with spinal stenosis and facet arthropathy by expanding the volume in the spine canal and/or neural foramen. The implant provides a spinal extension stop while allowing freedom of spinal flexion. |
US08672970B2 |
Anchoring system
The present disclosure relates to an anchor assembly. The anchor assembly includes an anchor defining a cavity and an opening to the cavity and an insertion member including a body having a proximal end portion and a flat distal end portion, and a head coupled to the proximal end portion of the body. The insertion member is configured for arrangement within the anchor cavity. A method of tissue repair and other anchor assemblies are also disclosed. |
US08672968B2 |
Method for implanting soft tissue
A suture construction and method for forming a suture construction is disclosed. The construction utilizes a suture having an enlarged central body portion defining a longitudinal passage. First and second ends of the suture are passed through first and second apertures associated with the longitudinal passage to form a pair of loops. Portions of the suture lay parallel to each other within the suture. Application of tension onto the suture construction causes constriction of the longitudinal passage, thus preventing relative motions of the captured portions of the suture. |
US08672967B2 |
Partial thickness rotator cuff repair system and method
A suture anchor is disclosed which has an elongated body having a distal end, a proximal end and an exterior surface. An axially oriented bore extends into the body from the proximal end and a proximal portion of the bore has a plurality of abutment surfaces for engaging a tool. The bore includes one or more axially oriented suture passages leading to a suture attachment within the bore. A length of suture extends into the at least one suture passage from the body proximal end and extends to the suture attachment. A screw thread spirals about a portion of the exterior surface of the body adjacent the at least one suture passage. Between the bore and the exterior surface of the body the body has a wall thickness and wherein the suture passage comprises the area where the wall thickness goes to zero. |
US08672961B2 |
Surgical severing instrument
In a surgical severing instrument comprising two cutting jaws movable relative to each other from an open position to a cutting position by means of hand grips movable relative to each other, in order to improve the handling, in particular, of the severed parts, it is proposed that two clamping jaws movable relative to each other from an initial position to a clamping position be arranged alongside the cutting jaws, and that actuators be provided on the surgical severing instrument, which, upon movement of the cutting jaws from the open position to the cutting position, simultaneously move the clamping jaws from their initial position to the clamping position. |
US08672957B2 |
Adhesive patch vascular applicator
Apparatus is provided for applying one or more patches to a tubular structure in a body of a patient. The apparatus includes an applicator, which is configured to removably hold the one or more patches, and to place the one or more patches at least partially around the tubular structure. The applicator includes one or more patch supports. Each of the patch supports includes a yielding pad, which is removably coupleable to one of the patches; and a stiff back support structure, to which the yielding pad is fixed. Each of the patch supports is shaped so as to define at least one chamber that itself has a volume of at least 0.5 ml when the patch supports are in respective resting states. Other embodiments are also described. |
US08672953B2 |
Tissue closure system and methods of use
A system for closing an opening in tissue includes a closure element having a coiled body and a plurality of tissue engaging portions disposed about at least a portion of the body, the body being formed of a resilient material. The system also includes a clip applier apparatus that is configured to deploy the closure element into the tissue. |
US08672951B2 |
Electrically self-powered surgical instrument with manual release
A method for operating a surgical instrument includes mechanically coupling a manual release to a transmission of a surgical instrument having a self-contained power supply disposed entirely within a handle thereof. The transmission translates movement of an electrically powered motor inside the handle to movement of a part of a surgical end effector connected to the handle. The part is operable to move anywhere between a start position and a fully actuated position. The method further includes selectively interrupting the transmission with the manual release to move the part towards the start position independent of motor operation. |
US08672950B2 |
Uterus-manipulator
A micro-invasive medical instrument includes a shaft and an end balloon on a distal end of the shaft, where the end balloon completely encloses the distal end of the shaft. |
US08672949B2 |
Self-orienting polypectomy snare device
A snare device and methods of making and using the same. The snare device may include a sheath having a proximal end region, a distal end region, and a shaft slidably disposed therein. A handle may be coupled to the shaft and disposed adjacent the proximal end region. The snare device may also include a swivel disposed adjacent the distal end region of the sheath and coupled to the shaft and to a snare loop. |
US08672946B2 |
Method and apparatus for performing knee arthroplasty
A set of instruments for preparing a femur and tibia for receipt of a partial knee prosthesis can include a femoral drill guide that is selectively connected to an intramedullary (IM) rod. At least one sizing spoon can communicate with the femoral drill guide. The femoral drill guide can have terminal cutting surfaces. A tibial cut guide can be selectively connected to the femoral drill guide. A dial member can be disposed on one of the femoral or tibial cut guides that when rotated moves at least one of the spoons in a superior/inferior direction. |
US08672940B2 |
Implant for osteosynthesis
An implant for osteosynthesis, for example a bone nail, has an implant body which has at least one bore with a threaded portion and a bone screw which engages the thread when it is threaded into a bone for the fixation of the implant body. The threaded bore has an annular groove the diameter of which is larger than the thread outer diameter, and which receives a ring of a deformable material with an inner diameter which is smaller than the outer diameter of the thread of the bone screw so that the ring extends partially into the bore. |
US08672939B2 |
Surgical device for performing an electrosurgical procedure
An electrosurgical forceps includes a handle and a shaft extending from the handle. The handle is selectively movable to actuate a pair of first and second opposable jaw members pivotably connected to each other at a distal end of the shaft. The jaw members are moveable from an open position to a closed position and are each adapted to connect to an electrosurgical energy source. A first linkage is disposed at least partially within the shaft and is operably coupled between the first jaw member and a second linkage disposed proximal to the first linkage. Selective movement of the handle rotates the second linkage in a first direction, thereby rotating the first linkage in an opposite second direction to move the jaw members between the open and closed positions. In some embodiments, the jaw members are configured in a releasably locked configuration when in the closed position. |
US08672938B2 |
Active cooling system and apparatus for controlling temperature of a fluid used during treatment of biological tissue
A system for controlling temperature of a fluid used during treatment of biological tissue includes a fluid temperature control apparatus. The apparatus includes at least one heat transfer device and a solution bag and/or a heat transfer membrane. The solution bag and/or the heat transfer membrane reside in thermal communication with the heat transfer device. When the solution bag and/or the heat transfer membrane is fluidically coupled to an electrosurgical device, fluid is supplied to the electrosurgical device at a controlled temperature during a surgical procedure utilizing the electrosurgical device to enable more efficient treatment of the biological tissue. A corresponding method includes fluidically coupling the fluid temperature control apparatus to the electrosurgical device and supplying fluid at a controlled temperature during a surgical procedure utilizing the electrosurgical device to enable more efficient treatment of the biological tissue. |
US08672934B2 |
Method for adjusting source impedance and maximizing output by RF generator
An electrosurgical system includes an electrosurgical probe connected to a control console, wherein the probe is capable of coagulating and ablating tissue depending on a selected operating mode. Before operating the system, probe-specific data stored in a memory device associated with the probe is read by a processing device in the console. The data includes source impedance values specific to a coagulation or cutting mode of operation. A constant duty cycle value for a modulated cutting mode also is provided. Depending on the operating mode selected, an RF generator adjusted to have a predetermined source impedance value provides a voltage value to the probe. During the duty-cycled mode, the RF generator generates an instantaneous voltage value output for a duty cycle portion that is less than 100% of a time period, which value is no less than a maximum continuous average voltage value for the electrosurgical probe. |
US08672928B2 |
Medical suction device
A medical device for fragmenting objects and aspirating remaining debris enables a physician or other medical personnel quickly and easily remove objects, such as kidney stones, from a patient. The medical device can include a dual-lumen elongated member and a handle coupled to the elongated member. A first one of the lumens provides a suction passageway, and a second one of the lumens receives a laser fiber for delivering laser energy to an object, such as a kidney stone, within the patient. The handle can include a positioning mechanism to enable the physician by manual manipulation to move and hold in place the laser fiber longitudinally within the second lumen. |
US08672926B2 |
Device for shortening hairs by means of laser induced optical breakdown effects
The invention relates to a device for shortening hairs growing from human skin. The device has a laser source for generating a laser beam during a predetermined pulse time, an optical system for focusing the laser beam into a focal spot, and a laser beam manipulator for positioning the focal spot in a target position. According to the invention, a dimension of the focal spot and a power of the generated laser beam are such that, in the focal spot, the laser beam has a power density which is above a characteristic threshold value for hair tissue above which a laser induced optical breakdown (LIOB) phenomenon occurs in the hair tissue. The LIOB phenomenon results in a number of mechanical effects in the hair tissue, such as cavitation and the generation of shock waves, which damage the hair in positions surrounding the position in which the LIOB phenomenon occurs. |
US08672924B2 |
System and method for determining dosimetry in ophthalmic photomedicine
A system and method for treating ophthalmic target tissue, including a light source for generating a beam of light, a beam delivery system that includes a scanner for generating patterns, and a controller for controlling the light source and delivery system to create a dosimetry pattern of the light beam on the ophthalmic target tissue. One or more dosage parameters of the light beam vary within the dosimetry pattern, to create varying exposures on the target tissue. A visualization device observes lesions formed on the ophthalmic target tissue by the dosimetry pattern. The controller selects dosage parameters for the treatment beam based upon the lesions resulting from the dosimetry pattern, either automatically or in response to user input, so that a desired clinical effect is achieved by selecting the character of the lesions as determined by the dosimetry pattern lesions. |
US08672923B2 |
Automated probe placement device
A probe includes a handle and an elongated shaft with proximal and distal ends. The elongated shaft includes a plurality of axial holding tube projections to house a corresponding plurality of measurement probes. The probe further includes an actuation system to operably engage each of the plurality of measurement probes to permit selective actuation thereof. Each of the plurality of measurement probes is capable of being advanced distally relative to the handle in response to the actuation thereof. The plurality of measurement probes measure one or more parameters selected from a group consisting of temperature, physiological pressure, conductivity, aquametry, pH level, and oxygen level. |
US08672922B2 |
Wireless communication in a robotic surgical system
In one embodiment, a method of wireless communication in a robotic surgical system comprises providing a carriage link of a robotic manipulator including a printed circuit assembly and a link communication device, positioning a sterile drape over the robotic manipulator, mounting a removable surgical instrument on the carriage link, and passing data wirelessly in either or both directions through the sterile drape between the link communication device and the surgical instrument along with power for electrical circuitry on the instrument. A robotic manipulator and robotic surgical system are also provided. |
US08672919B2 |
Dual balloon catheter assembly
A dual balloon catheter assembly and method of use thereof are provided. The dual balloon catheter assembly may be used in a cryoplasty treatment that is provided in combination with eluting an antiproliferative to reduce and/or eliminate vessel fractures, thereby inhibiting proliferative response after angioplasty. |