Document Document Title
US08021993B2 Method of carrying out an exposure process for a liquid crystal display device
An apparatus for manufacturing a liquid crystal display device is disclosed. A first robot arm at a loading side of the thru-conveyor receives a substrate coated with photoresist and conveys the substrate to a thru-conveyor. A softbake hot plate (SHP) at the unloading side of the thru-conveyor removes solvent from the substrate. A cool plate lowers the substrate temperature from which the solvent is removed. A buffer temporarily stores the substrate having the lowered temperature. A second robot arm between the thru-conveyor, the SHP, the cool plate and a loading side of the buffer, loads/unloads the substrate. A temperature control unit adjusts the substrate temperature unloaded from the buffer. A third robot arm between the unloading side of the buffer, the temperature control unit and an exposure unit that exposes the substrate, loads/unloads the substrate.
US08021984B2 Method for manufacturing semiconductor
A method for manufacturing a semiconductor includes forming an active region for an ESD device, an active region for a first polygate and the semiconductor, and a second polygate having a form of a blanket trench on a substrate, forming an interlayer dielectric layer including first and second insulating on the substrate, planarizing the interlayer dielectric layer, forming a contact pattern to open a portion of the interlayer dielectric layer over the first polygate, forming a first polygate trench by performing a first etch process with respect to the second insulating layer below the contact pattern, and performing a second etch process to remove the first insulating layer inside the first polygate trench and to remove the first insulating layer over the active region of the semiconductor other than the second polygate.
US08021980B2 Methods of manufacturing semiconductor devices including a copper-based conductive layer
Provided are methods of manufacturing semiconductor devices. The methods may include forming a first insulation layer on a semiconductor substrate, forming a groove by selectively etching the first insulation layer, filling the groove with a copper-based conductive layer, depositing a cobalt-based capping layer on the copper-based conductive layer by electroless plating, and cleansing the first insulation layer and the cobalt-based capping layer using a basic cleansing solution.
US08021972B1 Method of manufacturing array substrate
A method of manufacturing an array substrate includes the following steps. A substrate having a thin film transistor disposed thereon is provided. The thin film transistor includes a gate electrode, a gate insulating layer, a source electrode, and a drain electrode. An organic material layer is formed to cover the substrate and the thin film transistor. A via hole is formed in the organic material layer to expose the drain electrode. A first inorganic material layer is formed to cover at least a sidewall of the via hole and a part of the organic material layer, and the first inorganic material layer exposes at least the drain electrode. A patterned transparent pixel electrode layer is formed on the first inorganic material layer, wherein the patterned transparent pixel electrode layer contacts the drain electrode through the via hole.
US08021971B2 Structure and method to form a thermally stable silicide in narrow dimension gate stacks
An integrated circuit is provided including a narrow gate stack having a width less than or equal to 65 nm, including a silicide region comprising Pt segregated in a region of the silicide away from the top surface of the silicide and towards an lower portion defined by a pulldown height of spacers on the sidewalls of the gate conductor. In a preferred embodiment, the spacers are pulled down prior to formation of the silicide. The silicide is first formed by a formation anneal, at a temperature in the range 250° C. to 450° C. Subsequently, a segregation anneal at a temperature in the range 450° C. to 550° C. The distribution of the Pt along the vertical length of the silicide layer has a peak Pt concentration within the segregated region, and the segregated Pt region has a width at half the peak Pt concentration that is less than 50% of the distance between the top surface of the silicide layer and the pulldown spacer height.
US08021969B2 Semiconductor device and method for fabricating the same
A method for fabricating a semiconductor device includes forming a stacked layer including a tungsten layer, forming a hard mask pattern over the stacked layer, and oxidizing a surface of the hard mask pattern to form a stress buffer layer. A portion of the stacked layer uncovered by the hard mask pattern is removed using the hard mask pattern and the stress buffer layer as an etch mask, thereby forming a first resultant structure. A capping layer is formed over the first resultant structure, the capping layer is etched to retain the capping layer on sidewalls of the first resultant structure, and the remaining portion of the stacked layer uncovered by the hard mask pattern is removed.
US08021965B1 Inter-chip communication
The present invention provides a quilt packaging system for microchip, a method for making such a quilt packaging system, microchips that may be used in a such a quilt packaging system, and methods for making such microchips.
US08021962B2 Functional film containing structure and method of manufacturing functional film
A method of manufacturing a functional film by which a functional film formed on a film formation substrate can be easily peeled from the film formation substrate. The method includes the steps of: (a) forming a separation layer on a substrate by using an inorganic material which is decomposed to generate a gas by being applied with an electromagnetic wave; (b) forming a layer to be peeled containing a functional film, which is formed by using a functional material, on the separation layer; and (c) applying the electromagnetic wave toward the separation layer so as to peel the layer to be peeled from the substrate or reduce bonding strength between the layer to be peeled and the substrate.
US08021955B1 Method characterizing materials for a trench isolation structure having low trench parasitic capacitance
Provided are methods and composition for forming a multi-layer isolation structure on an integrated circuit substrate. A process can include selecting a lower dielectric material for the lower dielectric layer and selecting an upper dielectric material for the upper dielectric layer. A range of effective dielectric constants that correspond to the thicknesses the lower and upper dielectric materials are selected. A range of thicknesses for each of the lower and upper dielectric layers are determined from a range of acceptable dielectric constants using information indicating an effective dielectric constant corresponding to thicknesses of the materials for both the lower upper dielectric layers, enabling the formation of the multi-layer isolation structure.
US08021951B2 Formation of longitudinal bipolar transistor with base region in trenches having emitter and collector regions disposed along portions of side surfaces of base region
Provided is a semiconductor device including: a silicon substrate; at least two trenches spaced apart from each other, being in parallel with each other, and being formed by vertically etching the silicon substrate from a surface thereof; an electrically insulating film for burying therein at least bottom surfaces of the trenches; a base region formed in a region of the silicon substrate located between the two trenches; and an emitter region and a collector region formed on portions of side surfaces of the trenches, respectively, the portions of the sides located above the insulating film and formed in the base region.
US08021950B1 Semiconductor wafer processing method that allows device regions to be selectively annealed following back end of the line (BEOL) metal wiring layer formation
Disclosed are embodiments of a semiconductor wafer processing method that allow device regions to be selectively annealed following back end of the line (BEOL) metal wiring formation without degrading wiring layer reliability. In the embodiments, a semiconductor device is formed adjacent to the top surface of a wafer such that it incorporates a selectively placed infrared absorbing layer (IAL). Then, following BEOL metal wiring formation, the bottom surface of the wafer is exposed to an infrared light having a wavelength that is transparent to the wafer. The infrared light is absorbed by and, thereby heats up the IAL to a first predetermined temperature (e.g., a dopant activation temperature, a temperature required for a state change, etc.). The resulting heat is transferred from the IAL to an adjacent region of the semiconductor device without raising the temperature of the metal wiring above a second predetermined temperature (e.g., a temperature that could degrade the metal wiring) that is lower than the first predetermined temperature.
US08021947B2 Method of forming an insulated gate field effect transistor device having a shield electrode structure
In one embodiment, a method for forming a transistor having insulated gate electrodes and insulated shield electrodes within trench regions includes forming disposable dielectric stack overlying a substrate. The method also includes forming the trench regions adjacent to the disposable dielectric stack. After the insulated gate electrodes are formed, the method includes removing the disposable dielectric stack, and then forming spacers adjacent the insulated gate electrodes. The method further includes using the spacers to form recessed regions in the insulated gate electrodes and the substrate, and then forming enhancement regions in the first and second recessed regions.
US08021944B2 Method for fabricating semiconductor device
A method for fabricating a semiconductor device is disclosed. The method includes: forming a photoresist film on a semiconductor substrate including a silicide forming region and non-silicide forming region; forming a photoresist pattern as a non-salicide pattern by patterning the photoresist film, so as to cover the non-silicide forming region and open the silicide forming region, with an overhang structure that a bottom is removed more compared to a top; forming a metal film on a top of the photoresist pattern and overall the semiconductor substrate in the silicide forming region; stripping the photoresist pattern and the metal film on the photoresist pattern; and forming a silicide metal film by annealing the metal film remaining on the semiconductor substrate. Therefore, the present invention simplifies a salicide process of a semiconductor device, making it possible to improve yields.
US08021943B2 Simultaneously formed isolation trench and through-box contact for silicon-on-insulator technology
A semiconductor fabrication method comprises providing a structure which includes a semiconductor substrate having a plurality of subsurface layers, the substrate comprising a top surface and the subsurface layers comprising a top subsurface layer below the top surface of the substrate. A protective material is patterned on the top surface of the device and a material removal process is performed to simultaneously form a contact trench and an isolation trench, the material removal process removing at least a portion of the top surface and the top subsurface layer such that the contact trench and the isolation trench are formed within the subsurface layer. An insulator is then formed within the isolation trench and the contact trench is lined with the insulator. The contact trench is then filled with a conductive material such that the conductive material is deposited over the insulator.
US08021942B2 Method of forming CMOS device having gate insulation layers of different type and thickness
In the process sequence for replacing conventional gate electrode structures by high-k metal gate structures, the number of additional masking steps may be maintained at a low level, for instance by using highly selective etch steps, thereby maintaining a high degree of compatibility with conventional CMOS techniques. Furthermore, the techniques disclosed herein enable compatibility to front-end process techniques and back-end process techniques, thereby allowing the integration of well-established strain-inducing mechanisms in the transistor level as well as in the contact level.
US08021941B2 Bias-controlled deep trench substrate noise isolation integrated circuit device structures
A novel and useful apparatus for and method of providing noise isolation between integrated circuit devices on a semiconductor chip. The invention addresses the problem of noise generated by digital switching devices in an integrated circuit chip that may couple through the silicon substrate into sensitive analog circuits (e.g., PLLs, transceivers, ADCs, etc.) causing a significant degradation in performance of the sensitive analog circuits. The invention utilizes a deep trench capacitor (DTCAP) device connected to ground to isolate victim circuits from aggressor noise sources on the same integrated circuit chip. The deep penetration of the capacitor creates a grounded shield deep in the substrate as compared with other prior art shielding techniques.
US08021931B2 Direct via wire bonding and method of assembling the same
A method for electrically connecting an integrated circuit to a via in a substrate is disclosed. The method can include deforming a ball over the via to form a bump and attaching a bond wire to the bump. The method also can include attaching the bond wire to the integrated circuit, such as by forming an end of the bond wire into a second ball and deforming the second ball over the integrated circuit. Alternatively, the method can include forming an end of the bond wire into a ball and deforming the ball over the via. Embodiments of a disclosed integrated circuit and substrate assembly can include, for example, a bump aligned with at least a portion of a via in a substrate and a bond wire attached to the integrated circuit and the bump. Other embodiments can include a via with a top metal cap and an upper plating.
US08021929B2 Apparatus and method configured to lower thermal stresses
An apparatus and a method configured to lower thermal stress is disclosed. One embodiment provides a semiconductor chip, a lead frame and a layer structure. The layer structure includes at least a diffusion solder layer and a buffer layer. The layer structure is arranged between the semiconductor chip and the lead frame. The buffer layer includes a material, which is soft in comparison to a material of the diffusion solder layer, and includes a layer thickness such that thermal stresses in the semiconductor chip remain below a predetermined value during temperature fluctuations within a temperature range.
US08021926B2 Methods for forming semiconductor devices with low resistance back-side coupling
Electronic elements (40) with very low resistance back-side coupling are provided by forming one or more narrow trenches or pipes (411), preferably dielectric lined, in front sides (523) of substrates (52′), filling the trenches or pipes with a conductor (54) having a coefficient of expansion not too different from that of the substrate (52′) but of higher conductivity, forming an epitaxial SC layer (64) over the front side (523) of the substrate (52′) in Ohmic contact with the conductor (54) in the trenches or pipes (411), forming various semiconductor (SC) devices (42, 80) in the epi-layer (64), back grinding the substrate (52′) to expose bottoms (548) of the conductor filled trenches or pipes (41), and providing a back-side conductor (524) contacting the conductor (54) in the trenches or pipes (411). For silicon SCs, tungsten is a suitable conductor (54) for filling the trenches or pipes (411) to minimize substrate stress. Series ON-resistance of the elements (40) due to the substrate resistance is substantially reduced.
US08021925B2 Thermal paste containment for semiconductor modules
A semiconductor module structure and a method of forming the semiconductor module structure are disclosed. The structure incorporates a die mounted on a substrate and covered by a lid. A thermal compound is disposed within a thermal gap between the die and the lid. A barrier around the periphery of the die extends between the lid and the substrate, contains the thermal compound, and flexes in response to expansion and contraction of both the substrate and the lid during cycling of the semiconductor module. More particularly, either the barrier is formed of a flexible material or has a flexible connection to the substrate and/or to the lid. The barrier effectively contains the thermal compound between the die and the lid and, thereby, provides acceptable and controlled coverage of the thermal compound over the die for heat removal.
US08021924B2 Encapsulant cavity integrated circuit package system and method of fabrication thereof
A method for fabricating an encapsulant cavity integrated circuit package system includes: forming a first integrated circuit package with an inverted bottom terminal having an encapsulant cavity and an interposer, and attaching a component on the interposer in the encapsulant cavity.
US08021922B2 Remote chip attachment
A method of attaching a pair of chips, each having primary contacts that can be mated to each other, involves forming one or more secondary contacts on each of the two chips of a shape sufficient to prevent an initial attachment material from contacting any of the primary contacts during a preliminary attachment operation, the secondary contacts further having a height that will prevent the primary contacts from touching when the secondary contacts are brought into contact with each other, bringing the secondary contacts into closer and closer aligned proximity to each other at least until the primary contacts touch in a first phase, and heating the primary contacts until material between each of corresponding primary contacts on each of the chips in the pair forms an electrical connection.
US08021921B2 Method of joining chips utilizing copper pillar
A copper pillar may be provided on a chip and a first tin-containing layer may be provided over the copper pillar. A second tin-containing layer may be provided on a substrate. The first tin-containing layer may be joined with the second tin-containing layer during a packaging process.
US08021917B2 Semiconductor device and method for manufacturing the semiconductor device
An object is to suppress deterioration of element characteristics even when an oxide semiconductor is formed after a gate insulating layer, a source electrode layer, and a drain electrode layer are formed. A gate electrode layer is formed over a substrate. A gate insulating layer is formed over the gate electrode layer. A source electrode layer and a drain electrode layer are formed over the gate insulating layer. Surface treatment is performed on surfaces of the gate insulating layer, the source electrode layer, and the drain electrode layer which are formed over the substrate. After the surface treatment is performed, an oxide semiconductor layer is formed over the gate insulating layer, the source electrode layer, and the drain electrode layer.
US08021913B2 Method and apparatus for forming the separating lines of a photovoltaic module with series-connected cells
For forming the separating lines, (5, 6, 7) which are produced in the functional layers (2, 3, 4) deposited on a transparent substrate (1) during manufacture of a photovoltaic module with series-connected cells (C1, C2, . . . ), there are used laser scanners (8) whose laser beam (14) produces in the field (17) scanned thereby a plurality of adjacent separating line sections (18) in the functional layer (2, 3, 4). The laser scanners (8) are then moved relative to the coated substrate (1) in the direction (Y) of the separating lines (5, 6, 7) by a distance corresponding at the most to the length (L) of the scanned field (17) to thereby form continuous separating lines (5, 6, 7) through mutually flush separating line sections (18).
US08021911B2 Method for producing a photovoltaic module
For producing a photovoltaic module (1), the front electrode layer (3), the semi-conductor layer (4) and the back electrode layer (5) are patterned by separating lines (6, 7, 8) to form series-connected cells (C1, C2, . . . Cn, Cn+1). The patterning of the front electrode layer (3) and of the semiconductor layer (4) is done with a laser (13) emitting infrared radiation. During patterning of the semiconductor layer (4) the power of the laser (13) is so reduced that the front electrode layer (3) is not damaged.
US08021909B2 Method for making a planar concentrating solar cell assembly with silicon quantum dots
Disclosed is a method for making a silicon quantum dot planar concentrating solar cell. At first, silicon nitride or silicon oxide mixed with silicon quantum dots is provided on a transparent substrate. By piling, there is formed a planar optical waveguide for concentrating sunlit into a small dot cast on a small solar cell.
US08021905B1 Machine and process for sequential multi-sublayer deposition of copper indium gallium diselenide compound semiconductors
A method of manufacture of CIGS photovoltaic cells and modules involves sequential deposition of copper indium gallium diselenide compounds in multiple thin sublayers to form a composite CIGS absorber layer of a desirable thickness greater than the thickness of each sublayer. In an embodiment, the method is adapted to roll-to-roll processing of CIGS PV cells. In an embodiment, the method is adapted to preparation of a CIGS absorber layer having graded composition through the layer. In a particular embodiment, the graded composition is enriched in copper at a base of the layer. In an embodiment, each CIGS sublayer is deposited by co-evaporation of copper, indium, gallium, and selenium which react in-situ to form CIGS.
US08021904B2 Ohmic contacts to nitrogen polarity GaN
Contacting materials and methods for forming ohmic contact to the N-face polarity surfaces of Group-III nitride based semiconductor materials, and devices fabricated using the methods. One embodiment of a light emitting diode (LED) a Group-III nitride active epitaxial region between two Group-III nitride oppositely doped epitaxial layers. The oppositely doped layers have alternating face polarities from the Group III and nitrogen (N) materials, and at least one of the oppositely doped layers has an exposed surface with an N-face polarity. A first contact layer is included on and forms an ohmic contact with the exposed N-face polarity surface. In one embodiment, the first contact layer comprises indium nitride.
US08021896B2 Method of manufacturing semiconductor device, method of manufacturing semiconductor substrate and semiconductor substrate
A semiconductor substrate with an insulating film, a barrier layer containing a metal and formed over the insulating film in a region that includes a peripheral edge part of a semiconductor substrate, a capacitor lower electrode layer formed on the barrier layer and having an edge-cut on the peripheral edge part of the semiconductor substrate, an oxide layer formed on the barrier layer at the peripheral edge part where the barrier layer is not covered by the lower electrode layer, a ferroelectric layer formed on the lower electrode layer and the oxide layer, and a capacitor upper electrode layer formed over the ferroelectric layer.
US08021895B2 Markers of renal transplant rejection and renal damage
The present invention relates to methods of detecting renal transplant rejection and other forms of renal damage. Protein markers or renal damage are provided, along with assays for detecting said markers. Also provided are methods for identifying markers of renal damage.
US08021892B2 System and method for direct digitization of NMR signals
A magnetic resonance imaging (MRI) system includes a transmitter that produces an RF excitation pulse that is applied to a subject positioned in the MRI system to induce emission of at least one of an NMR signal and an ESR signal therefrom, and that produces a reference signal indicative of the phase of the RF excitation pulse. A first analog-to-digital converter has an input for receiving the reference signal that is synchronous with the RF excitation pulse. One or more additional analog-to-digital converters/processors have inputs for receiving the at least one of NMR signals and ESR signals produced by a subject placed in the MRI system and produce one or more complex digital signals therefrom. A normalizer is connected to receive and normalize the digital reference signal and a mixer is connected to receive the normalized digital reference signal and the digital signal. Accordingly, the mixer is operable to multiply the normalized complex digital reference signal with the complex digital signal.
US08021891B2 Methods and compositions for protein detection using nanoparticle-fluorescent polymer complexes
Compositions, methods and related apparatus, as can be used for selective protein detection and identification.
US08021890B2 Colorimetric test for brake system corrosion
A method and kit for determining a concentration of iron in brake fluid when contacting a calorimetric reagent such that a color results. The level of iron can be used to determine the amount of active corrosion within a brake system and determine if special service procedures are required.
US08021889B2 Chromatographic material for the absorption of proteins at physiological ionic strength
Ion exchange and hydrophobic interaction chromatographic materials are constructed by tethering a terminal binding functionality to a solid support via a hydrophobic linker. The backbone of the linker typically comprises sulfur-containing moieties. Suitable terminal binding functionalities are tertiary amines, quaternary ammonium salts, or hydrophobic groups. These chromatographic materials possess both hydrophobic and ionic character under the conditions prescribed for their use. The separation of proteins from crude mixtures at physiological ionic strength can be accomplished with a chromatographic material of this type by applying pH or ionic strength gradients, thereby effecting protein adsorption and desorption.
US08021887B2 Method of measuring glycated hemoglobin concentration
The present invention relates to a method and an apparatus that measure the concentration of glycated hemoglobin by an optical technique. A wavelength in which the molecular extinction coefficient of oxyhemoglobin agrees or substantially agrees with the molecular extinction coefficient of deoxyhemoglobin is adopted as a measurement wavelength. Preferably, the measurement wavelength is set at from 417 to 421 nm. In the present invention, the concentration of glycated hemoglobin is measured by making use of column chromatography and of using a sample prepared from red blood cells in blood.
US08021886B1 Method for determining whether or not a mammal is affected with a lung cancer
The present disclosure relates to a method for assessing if a patient is affected with a lung cancer. The method includes analyzing the presence and amount of cyclohexanone contained in the urine excreted from the patient and determining if the presence and amount of cyclohexanone is indicative of lung cancer.
US08021883B2 Antibiotic-sensitive lactic acid bacteria strains
Many probiotic Bifidobacteriacea contains an active tetW that renders the cells resistant to tetracycline. This may present a risk of a horizontal transfer of functional antibiotic genes. The present invention relates to a method of obtaining novel tetracycline-sensitive strains of the genus of Bifidobacteriacea (Bifidobacterium sp.). In particular, the present invention relates to novel antibiotic-sensitive strains obtained from antibiotic-resistant probiotic strains and the use of such novel strains for the preparation of a food or feed product or a dosage form comprising viable organisms.
US08021881B2 Medium for the protein-free and serum-free cultivation of cells
A medium is described for the protein-free and serum-free cultivation of cells, especially mammalian cells, whereby the medium contains a proportion of soy hydrolysate.
US08021880B2 PC5 as a factor IX propeptide processing enzyme
Compositions and methods for preparing Factor IX, Factor IX-containing fusion proteins, and Factor IX-containing conjugates with processing of Factor IX propeptide by PC5, are provided. In one embodiment PC5 is used to process a precursor polypeptide for a Factor IX-Fc monomer-dimer hybrid.
US08021878B2 Leafhopper ecdysone receptor nucleic acids, polypeptides, and uses thereof
The present invention relates to a novel isolated leafhopper ecdysone receptor polypeptide. The invention also relates to an isolated nucleic acid encoding the leafhopper ecdysone receptor polypeptide, to vectors comprising them and to their uses, in particular in methods for modulating gene expression in an ecdysone receptor-based gene expression modulation system and methods for identifying molecules that modulate leafhopper ecdysone receptor activity.
US08021877B2 Particle patterning chip
This invention provides devices comprising substrates with wells for the patterning of particles or cells. Such devices are loaded with a suspension of particles or cell, and the entrapped particles or cells are transferred to a second substrate, where patterning of individual particles or cells occurs. Methods of cellular analysis, cell growth studies, surface modification, optical display fabrication and curved surface patterning using devices of this invention are described.
US08021876B2 Methods of isolation, expansion and differentiation of fetal stem cells from chorionic villus, amniotic fluid, and placenta and therapeutic uses thereof
The present invention is directed to pluripotent fetal stem cells derived from chorionic villus, amniotic fluid, and placenta and the methods for isolating, expanding and differentiating these cells, and their therapeutic uses such as manipulating the fetal stem cells by gene transfection and other means for therapeutic applications.
US08021874B2 Very long chain polyunsaturated fatty acids, methods of production, and uses
The present invention relates to processes for production of Very Long Chain Polyunsaturated Fatty Acids (VLC-PUFAs). The present invention also relates to compositions (e.g., nutritional supplements and food products) containing such VLC-PUFAs. In one embodiment, the present invention is directed to methods for biosynthesis and production of the VLC-PUFAs described herein (particularly C28-C38 PUFAs, also referred to herein as supraenes or supraenoics) by the expression, in a production host cell, of the full or partial sequence(s) of Elovl4 DNA/mRNA nucleic acids or ELOVL4 protein sequences encoded thereby, from any species (prokaryotic or eukaryotic) for use in the biosynthesis, production, purification and utilization of VLC-PUFAs in particular by the elongation of C18-C26 saturated fatty acids and PUFAs. The composition of the invention comprises, in various embodiments, a dietary supplement, a food product, a pharmaceutical formulation, a humanized animal milk, an infant formula, a cosmetic item and a biodiesel fuel for example. A pharmaceutical formulation can include, but is not limited to: a drug for treatment of neurodegenerative disease, a retinal disorder, age related maculopathy, a fertility disorder, particularly regarding sperm or testes, or a skin disorder.
US08021866B2 Therapeutic use of ADPase enhanced apyrases
The present invention provides for design and therapeutic use of ADPase enhanced polypeptides, pharmaceutical compositions, and methods useful for preventing and reversing platelet aggregation and recruitment for the treatment and prevention of vascular disorders in mammals.
US08021865B2 Thermophilic micro-organisms for ethanol production
A thermophilic micro-organism comprising a modification that increases amylase expression and starch hydrolysis compared to wild-type, wherein the modification is insertion of a heterologous amylase gene.
US08021861B2 Pathogenic Escherichia coli associated protein
The present invention provides a polypeptide, called EspA, which is secreted by pathogenic E. coli, such as the enteropathogenic (SPEC) and enterohemorrhagic (EHEC) E. coli. The invention also provides isolated nucleic acid sequences encoding EspA polypeptide, EspA peptides, a recombinant method for producing recombinant EspA, antibodies which bind to EspA, and a kit for the detection of EspA-producing E. coli.
US08021855B2 Method of decomposing protein with sulfonic acid compound
A method of assaying a glycated protein in a sample with the use of redox reaction, in which highly reliable measurement can be obtained. A sample containing a glycated protein is treated with protease in the presence of a sulfonic acid compound, so that the glycated protein is degraded. The glycated portion of the resultant glycated protein degradation product is reacted with fructosyl amino acid oxidase, and this redox reaction is measured, thereby determining the amount of glycated protein. Sodium lauryl sulfate can be used as the sulfonic acid compound.
US08021853B2 Inhibition of the β3 subunit of L-type Ca2+ channels
The present invention provides reagents and methods for identifying inhibitors of the L-type Ca2+ channel β3 protein, which has been demonstrated to be involved in calcium signaling, insulin secretion, and glucose homeostasis. The invention also provides therapeutics and methods for treating a subject with one or more of diabetes, insulin resistance, impaired insulin secretion, and impaired glucose homeostasis, involving the use of inhibitors of an L-type Ca2+ channel β3 subunit to provide a benefit to the subject.
US08021848B2 Rapid and sensitive detection of cells and viruses
The invention provides efficient methods for rapidly and sensitively identifying cellular and viral targets in medical, industrial, and environmental samples. The invention labels targets and then detects them using large area imaging. Diagnostic tests based on the invention can be rapid, ultrasensitive, quantitative, multiplexed, and automated. The tests minimize sample preparation and do not require nucleic acid amplification or cell culture. A broad range of cells and viruses can be detected by the tests. Tests based on the invention can deliver the high level sensitivity of nucleic acid amplification tests, the user-friendliness, and speed of immunoassays, as well as the cost effectiveness and quantification offered by microbiological tests. The invention embodies the best attributes of the current diagnostic technologies, while addressing gaps in the diagnostic repertoire.
US08021846B2 Method for determining azole resistance in Candida glabrata
There is disclosed a method for determining azole resistance in Candida glabrata. A biological sample containing Candida glabrata is obtained and a normalized mRNA level of CDR1 gene is determined using qRT-PCR. Using a microbroth dilution assay conducted at azole concentrations of about 2-8 μg/mL, a susceptible isolate of Candida glabrata is obtained. A qRT-PCR assay is employed on the susceptible isolate and an average mRNA level of CDR1 is obtained. A fold-change value for CDR1 is obtained by comparing the CDR1 mRNA level of the biological sample with that of the average mRNA level. A ≧2-fold change value is indicative of an azole resistance in Candida glabrata. The present method provides a qRT-PCR assay for azole resistance that has a sensitivity of ≧90% and a specificity of ≧90%.
US08021845B2 Probes for detecting obesity gene
Primer sets for amplifying target regions containing sites to be detected in the obesity gene (the β2AR gene, the β3AR gene, and the UCP1 gene) by a gene amplification method are provided, wherein the primer sets can amplify the regions specifically. Three pairs of primer sets are used including forward primers composed of the base sequences of SEQ ID NO: 9 or SEQ ID NO: 109, SEQ ID NO: 25, and SEQ ID NO:43 as well as reverse primers composed of the base sequences of SEQ ID NO: 18, SEQ ID NO: 30, and SEQ ID NO: 63, respectively. The use of these primer sets makes it possible to specifically amplify a target region including a site where a polymorphism to be detected is generated in the β2AR gene, the β3AR gene, and the UCP1 gene, in the same reaction solution at the same time.
US08021841B1 Methods and apparatuses for spatially separating molecules attached to a support and uses in biotechnology
Methods and apparatuses for analyzing biomolecules are presented. The methods include dividing of sample molecules into multiple fragments, spatially separating these fragments while maintaining knowledge of their original ordering, and analyzing individual fragments. Apparatuses and methods detailed provide for the spatial separation of sample fragments while maintaining their original ordering. In one embodiment, a sample molecule (2902) is attached to a top surface (2804) of a layer (2802). Layer (2802) is extended such that sample molecule (2902) is divided into fragments (3002), and fragments (3002) are spatially separated. Other embodiments are described and shown.
US08021837B2 Detection of chromosomal abnormalities associated with breast cancer
Disclosed are new methods comprising the use of in situ hybridization to detect abnormal nucleic acid sequence copy numbers in one or more genomes wherein repetitive sequences that bind to multiple loci in a reference chromosome spread are either substantially removed and/or their hybridization signals suppressed. The invention termed Comparative Genomic Hybridization (CGH) provides for methods of determining the relative number of copies of nucleic acid sequences in one or more subject genomes or portions thereof (for example, a tumor cell) as a function of the location of those sequences in a reference genome (for example, a normal human genome). The intensity(ies) of the signals from each labeled subject nucleic acid and/or the differences in the ratios between different signals from the labeled subject nucleic acid sequences are compared to determine the relative copy numbers of the nucleic acid sequences in the one or more subject genomes as a function of position along the reference chromosome spread. Amplifications, duplications and/or deletions in the subject genome(s) can be detected. Also provided is a method of determining the absolute copy numbers of substantially all RNA or DNA sequences in subject cell(s) or cell population(s).
US08021832B2 Proteins expressed by Mycobacterium tuberculosis and not by BCG and their use as diagnostic reagents and vaccines
The present invention is directed to reagents useful for generating immune responses to Mycobacterium tuberculosis and for diagnosing infection and disease in a subject that has been exposed to M. tuberculosis.
US08021831B2 Taxane chemosensitivity prediction test
The present invention provides a method for determining the chemosensitivity of a cancer cell to a taxane comprising assessing the effect of the taxane on the expression level or activity of one or more cell cycle molecules in a cancer cell. Such a method makes use of an automated analyzer system wherein cell cycle parameters (molecules) such as CDK1 kinase activity, CDK1 expression, CDK2 kinase activity, CDK2 expression, MAD2 expression, Cyclin B1, Cyclin E expression, p21 expression, and CDK6 expression; are assessed. The present invention further provides a method of obtaining a cell cycle profile of a cancer cell that is sensitive to a taxane.
US08021829B2 Method of forming photoresist pattern and method of manufacturing perpendicular magnetic recording head
Provided is a method of forming a photoresist pattern enabling the three dimensional shape of a photoresist pattern to be controlled sufficiently. A photoresist pattern for forming a main magnetic pole layer can be formed by forming a preparatory photoresist pattern having a projected part at a position corresponding to a flare point by selectively exposing and developing a photoresist film, and then heating the preparatory photoresist pattern. In the heating process, the presence of the projected part in the preparatory photoresist pattern relaxes the influence of thermal contraction, and hence the photoresist film is hard to be rounded at the position. Moreover, in the heating process, the preparatory photoresist pattern can be thermally flown and thermally contracted, so that the internal wall in the opening part can be inclined and the projected part can be retreated. Thus, the three dimensional shape of the photoresist pattern approaches a desired three dimensional shape.
US08021825B2 Optical waveguides and methods thereof
Embodiments in accordance with the present invention provide waveguide structures and methods of forming such structures where core and laterally adjacent cladding regions are defined. Some embodiments of the present invention provide waveguide structures where core regions are collectively surrounded by laterally adjacent cladding regions and cladding layers and methods of forming such structures.
US08021823B2 Positive resist composition, method of forming resist pattern, and polymeric compound
There is provided a positive resist composition, including a base component (A) which exhibits increased solubility in an alkali developing solution under action of an acid, and an acid generator component (B) which generates an acid upon exposure, wherein the base component (A) includes a polymeric compound (A1) containing a structural unit (a0) represented by the general formula (a0-1) shown below: (wherein, R1 represents a hydrogen atom, a lower alkyl group or a halogenated lower alkyl group; A represents a bivalent linking group; B represents a bivalent linking group; and R2 represents an acid dissociable, dissolution inhibiting group).
US08021820B2 Coating composition for marking substrates
Composition, which comprises a latent activator and a colour former, a process for the preparation of these compositions, substrates coated with these compositions and a process for their preparation, a process for preparing marked substrates using these compositions and marked substrates obtainable by the latter process.
US08021816B2 Liquid developer and image forming apparatus
A liquid developer includes: an insulating liquid; toner particles constituted by a resin material as a main component; and a dispersing agent expressed by Formula (I): H2N—R—NH—R′  (I) (where, R denotes an alkylene group having a carbon number of 2 to 6 and R′ denotes an alkyl group having a carbon number of 8 to 24).
US08021815B2 Liquid developer and image forming device
A liquid developer includes a toner particle mainly composed of a resin material, and a nonvolatile insulating liquid, the resin material including an ethylene copolymer, and the insulating liquid including fatty acid triglyceride.
US08021813B2 Electrostatic-image-developing toner, method of producing the same, electrostatic image developer, image forming method and image forming apparatus
An electrostatic-image-developing toner includes a phosphonic acid based sequestering agent.
US08021812B2 Low friction electrostatographic imaging member
Present embodiments pertain to an improved electrostatographic imaging member having low contact friction surfaces to ease sliding mechanical interaction and suppressing abrasion/wear failure and methods of preparing thereof. The improved imaging member has layers comprising slippery polyhedral oligomeric silsequioxane molecules that enhance the physical and mechanical functions and reduce the layers surface contact friction of the imaging member to extend service life.
US08021809B2 Device manufacturing method, lithographic system, lithographic apparatus and design for manufacturing system
In an embodiment, a device manufacturing method for transferring a pattern from a patterning device onto a substrate includes receiving a design layout information associated with a device, determining the pattern from the design layout information, providing the pattern to a patterning device, determining feed-forward requirement data from the design layout information, wherein the feed-forward requirement data includes at least first feed-forward requirement data related to a first location in the pattern and second feed-forward requirement data related to a second location in the pattern, determining a transfer condition from at least at least the first and the second feed-forward requirement data; and transferring a portion of the pattern from the patterning device onto the substrate based at least in part on the transfer condition.
US08021806B2 Photomask blank, photomask, and methods of manufacturing the same
A photomask blank for manufacturing a phase shift mask having a light-transmitting substrate provided with a phase shift part adapted to give a predetermined phase difference to transmitted exposure light. An etching mask film serving as an etching mask when forming a phase shift part is provided on the front surface side, where the phase shift part is to be formed, of the substrate. A light-shielding film serving to shield exposure light is provided on the back surface side (opposite-side surface) of the substrate.
US08021803B2 Multi-chip reticle photomasks
A multi-chip reticle, methods of designing and fabricating multi-chip reticles, a system for designing a multi-chip reticle, and a method of fabricating integrated circuit chips using the multi-chip reticle. The multi-chip reticle includes a transparent substrate having two or more separate chip images arranged in an array, each chip image of said two or more chip images having only one type of reticle image, wherein at least two of said two more chip images have different types of reticle images.
US08021787B2 High-voltage charge type nonaqueous electrolyte secondary cell
The preservation performance of a nonaqueous electrolyte secondary cell charged to high potential is improved while the initial capacity and the cycle property of the cell are also improved. The nonaqueous electrolyte secondary cell includes: a positive electrode having lithium phosphate and a positive electrode active material containing lithium cobalt compound oxide and lithium manganese nickel compound oxide having a layer structure, the lithium cobalt compound oxide having at least zirconium and magnesium added in LiCoO2; a negative electrode having a negative electrode active material; and a nonaqueous electrolyte having a nonaqueous solvent and an electrolytic salt. The potential of the positive electrode is more than 4.3 V and 5.1 V or less based on lithium. The nonaqueous electrolyte contains vinylene carbonate as the nonaqueous solvent and, as the electrolytic salt, at least one of lithium bis(pentafluoroethane sulfonyl)imide and lithium bis(trifluoromethane sulfonyl)imide at 0.1 M or more and 0.5 M or less. The nonaqueous electrolyte contains 1,3-dioxane.
US08021784B2 Cureless battery paste and method for producing battery plates
A battery paste composition incorporates and promotes the formation of tetra basic lead sulfate using a micronized TTBLS additive, and eliminates free lead using a special oxide, during paste mixing and drying. Battery plates utilizing the disclosed battery paste composition are produced without the need for curing, and instead can be used in the battery immediately following plate drying.
US08021776B2 Holding and contact-making apparatus for a button cell, and method for its production
The invention relates to a maintaining and contacting device for a round cell battery and to the use of said type of maintaining and contacting device in remote control devices in order to lock and unlock motor vehicles. According to said type of devices, the battery area must be separated as much as possible from other electronic components in order to prevent destructive contact. Spring clips, which are connected to the electronic system by means of a cable and soldered connections, are used in order to make contact with the upper and lower side of the round cell battery. Leaf-spring like contact elements, which are connected to the battery area forming a non-positive fit connection with an electronic module which is to be supplied, are used in order to prevent a complex construction and in order to enable the battery area to be accessed in an easy manner.
US08021773B1 Battery system
A battery system for efficiently operating a battery under various circumstances, such as relating to extreme temperature ranges and varying load (i.e. current) ranges. The battery system generally includes at least one first cell having a first chemistry, at least one second cell having a second chemistry and a controller in communication with the first cell and the second cell. The controller is adapted to employ a chemical reaction of the first chemistry in the first cell or the second chemistry in the second cell. The first chemistry is different than the second chemistry, wherein the first chemistry and the second chemistry may be adapted to provide current over varying temperature ranges or to provide current for varying current loads.
US08021769B2 Patterned perpendicular magnetic recording medium with exchange coupled recording layer structure and magnetic recording system using the medium
A patterned perpendicular magnetic recording medium has discrete magnetic islands, each of which has a recording layer (RL) structure that comprises two exchange-coupled ferromagnetic layers. The RL structure may be an “exchange-spring” RL structure with an upper ferromagnetic layer (MAG2), sometimes called the exchange-spring layer (ESL), ferromagnetically coupled to a lower ferromagnetic layer (MAG1), sometimes called the media layer (ML). The RL structure may also include a coupling layer (CL) between MAG1 and MAG2 that permits ferromagnetic coupling. The interlayer exchange coupling between MAG1 and MAG2 may be optimized, in part, by adjusting the materials and thickness of the CL. The RL structure may also include a ferromagnetic lateral coupling layer (LCL) that is in contact with at least one of MAG1 and MAG2 for mediating intergranular exchange coupling in the ferromagnetic layer or layers with which it is in contact (MAG2 or MAG1). The ferromagnetic alloy in the LCL has significantly greater intergranular exchange coupling than the ferromagnetic alloy with which it is in contact (MAG2 or MAG1).
US08021766B2 Benzo(GHI)fluoranthene derivative and organic light emitting device using the same
To provide an organic light emitting device with high color purity, high efficiency, high luminance, and a long life, the organic light emitting device includes: a pair of electrodes having an anode and a cathode, and at least one layer containing an organic compound sandwiched between the pair of electrodes, at least one of the anode and the cathode being transparent or translucent, in which at least one layer containing an organic compound contains at least one kind of the benzo(ghi)fluoranthene derivative represented by the following General Formula (1) or (2).
US08021765B2 Phenylcarbazole-based compound and organic electroluminescent device employing the same
A phenylcarbazole-based compound is represented by Formula 1, and has superior electric properties and charge transport abilities, and thus is useful as a hole injection material, a hole transport material, and/or an emitting material which is suitable for fluorescent and phosphorescent devices of all colors, including red, green, blue, and white colors. The phenylcarbazole-based compound is synthesized by reacting carbazole with diamine. The organic electroluminescent device manufactured using the phenylcarbazole-based compound has high efficiency, low voltage, high luminance, and a long lifespan.
US08021758B2 Aluminum phosphate compounds, coatings, related composites and applications
Composites comprising an aluminum phosphate-based coating component and methods for sealing porous substrate surfaces.
US08021757B2 Tool for machining
A tool for machining is made from a hard-metal, cermet or ceramic base material and a single-layer or multi-layer hard material coating on the base material. An additional coating of one or more metals from the group of aluminum, copper, zinc, titanium, nickel, tin or base alloys of these metals is applied to the hard material coating.
US08021753B2 Film having alternating monolayers of a metal-metal bonded complex monolayer and an organic monolayer by layer-by-layer growth
The present invention provides a process for preparing a thin film having alternating monolayers of a metal-metal bonded complex monolayer and an organic monolayer by layer-by-layer growth. The process comprises the steps of: (1) applying onto a surface of a substrate a first linker compound to produce a primer layer; (2) applying onto said primer layer a layer of a metal-metal bonded complex to produce a metal-metal bonded complex monolayer on said primer layer; (3) applying onto said metal-metal bonded complex monolayer a second linker compound; and optionally (4) sequentially repeating steps (2) and (3) at least once to produce said layer-by-layer grown thin film having alternating monolayers of a metal-metal bonded complex monolayer and an organic monolayer.
US08021747B2 Transparent carbon nanotube electrode with net-like carbon nanotube film and preparation method thereof
Provided is a transparent carbon nanotube (CNT) electrode comprising a net-like (i.e., net-shaped) CNT thin film and a method for preparing the same. More specifically, a transparent CNT electrode comprises a transparent substrate and a net-shaped CNT thin film formed on the transparent substrate, and a method for preparing a transparent CNT electrode, comprising forming a thin film using particulate materials and CNTs, and then removing the particulate materials to form a net-shaped CNT thin film. The transparent CNT electrode exhibits excellent electrical conductivity while maintaining high light transmittance. Therefore, the transparent CNT electrode can be widely used to fabricate a variety of electronic devices, including image sensors, solar cells, liquid crystal displays, organic electroluminescence (EL) displays, and touch screen panels, that have need of electrodes possessing both light transmission properties and conductive properties.
US08021744B2 Fully fibrous structure friction material
The present invention relates to a friction material having a fully fibrous content fibrous base material. The base material has dispersed therethrough, in a penetrating gradient, a desired amount of friction modifying particles.
US08021739B2 Sintered glass and glass-ceramic structures and methods for producing
A unitary structure (10) is comprised of two or more planar substrates (30, 40) fused together by a glass or glass-ceramic sintered frit structure (20) disposed therebetween. The pattern of the sintered patterned frit material defines passages (70) therein, and the sintered frit structure (20) has a characteristic minimum feature size (60) in a direction parallel to the substrates. Particles of the frit material have a poly-dispersed size distribution up to a maximum frit particle size, in a maximum length dimension, and the minimum feature size or dimension (60) of the sintered patterned frit material is greater than 2 times the maximum frit particle size, desirably about 3 times or more, and less than 6.25 times the maximum frit particle size, desirably about 5 times or less, most desirably about 4 times or less. A method for making the structure (10) is also disclosed.
US08021738B2 Liner component for use in mining and quarrying industries
There is provided a wear and impact resistant liner component (1) for use in mining and quarrying industries comprising a rubber matrix rigidly supported on a steel plate (2), said rubber matrix having been provided with a plurality of steel strips (3) spaced apart from each other.
US08021734B2 System and method for insulating items using a reflective or inflatable insulation panel
An inflatable or gas-filled insulation panel comprises an envelope having two outer sheets sealed together along edges of the sheets and at least one of the sheets has an outer reflective surface. The envelope encases a plurality of internal films that include a polymeric film having a plurality of reflective stripes disposed thereon and spaced apart on the films. Seals are formed along the gaps or areas between the reflective stripes on the films by application of heat and pressure, which causes the films to seal to each other and the outer sheets at spaced apart intervals. A channel is formed between the outer edges of the films and the outer sheets, and a valve, disposed at an end of the panel, is in fluid communication with the channel for the injection of a fluid, such as an inert gas or air, to inflate panel.
US08021731B2 Adhesive sheet and method
An adhesive sheet (10) for use in affixing a badge to a garment comprises a plurality of cutouts (18, 20, 22, 24), each cutout having a shape (19, 21, 23, 25) that approximately matches a shape of a badge.
US08021730B2 Low permeability thermoplastic elastomer composition
A thermoplastic elastomer composition possessing superior air impermeability, excellent durability and flexibility. In particular, the composition includes (A) at least one halogenated isobutylene-containing elastomer; and (B) at least one nylon resin having a melting point of about 170° C. to about 260° C.; and (C) a low molecular weight polymeric nylon plasticizer; wherein: (1) the at least one elastomer is present as a dispersed phase of small vulcanized particles in a continuous nylon phase; and (2) the elastomer particles are formed by dynamic vulcanization. Use of a low molecular weight nylon plasticizer provides for improved mixing and rubber dispersion while retaining the high levels of impermeability achievable by the use of nylon resin and halogenated isobutylene-containing elastomer in a dynamically vulcanized composition. Such compositions are particularly useful in applications such as tire innerliners and barrier films or layers as well as hoses.
US08021729B2 Housing and surface treating method for making the same
An exemplary housing includes a metallic main body; and two coatings formed on the metallic main body. The coatings include an adhesive layer on the metallic main body, and an outer layer formed on the adhesive layer. Surface treating methods for making present housing are also provided.
US08021717B2 Film formation method, cleaning method and film formation apparatus
A treatment gas is supplied to form a Ti-based film on a predetermined number of wafers W while setting a temperature of a susceptor 2 in a chamber 1 to a predetermined temperature. After this, the interior of the chamber 1 containing no wafers W is cleaned by discharging Cl2 gas as a cleaning gas from a shower head 10 into the chamber 1. During this cleaning, the temperature of each of the susceptor 2, the shower head 10, and the wall portion of the chamber 1 is independently controlled so that the temperature of the susceptor 2 is not lower than the decomposition start temperature of Cl2 gas and the temperature of the shower head 10 and the wall portion of the chamber 1 is not higher than the decomposition start temperature.
US08021713B2 Bit-patterned magnetic media formed in filler layer recesses
A recessed field is formed surrounding resist columns that are in a pattern of bit patterned magnetic media. A filler layer is formed in the recessed field. The resist columns are removed to leave recesses in the filler layer that replicate the pattern. Bit patterned magnetic media is formed in the recesses.
US08021707B2 Filled confectionary product and process
A filled candy cane formed by injecting a soft candy into a hardening, elongate candy. A method and means for same.
US08021705B1 Dry mixes comprising glycerine
Dry mixes for forming dough and batters are provided comprising homogenous dispersions of glycerine on one or more ingredients in particulate form. The dry mixes are rendered more resistant to microbial growth due to the presence of glycerine. Food products prepared from the dry mixes exhibit several benefits including improved moisture retention and/or increased shelf-life.
US08021702B2 Method for improving human blood circulation
The method of human prophylaxis comprising a number of steps is claim. First, the client is prepared for a steam bath, by applying a herbal paste on the skin, over at least one area of the client's body. The herbal paste is allowed to be absorbed into the client's body for an amount of time of at least five minutes and is followed with a massage the client's body. The client is then placed into a cedar steam barrel, connected to the steam generator, where the client's body is exposed to the steam. Following the stream procedure, the herbal paste is reapplied, the client's body is massaged, and the client to rests and drinks at least one cup of tea.
US08021697B2 Substances having body mass redistribution properties
There is provided a method for altering the distribution of body mass by altering the distribution of body mass by decreasing overall percentage fat and/or increasing the proportion of lean mass to fat mass comprising administering to a subject one or more compounds having the ability to alter body mass composition and/or ACE inhibiting activity or a physiologically acceptable derivative or prodrug thereof.
US08021695B2 Personal care composition containing leghemoglobin
A personal care composition comprising leghemoglobin and at least one preservative selected from the group consisting of alcohols, glycols, parabens, hydantoins, quaternary nitrogen-containing compounds, isothiazolinones, aldehyde-releasing agents, and halogenated compounds. Preferably, the leghemoglobin is a nitrogen fixation root nodule extract providing a leghemoglobin concentration in the composition of between 0.0001% and about 10% based upon the total weight of the composition. Also disclosed is a method for preparing the personal care composition.
US08021694B2 Acidified chlorite disinfectant compositions with olefin stabilizers
A two-part disinfecting systems, as well as disinfecting compositions and methods for making and using the same. The two-part disinfecting system contains a first part and a second part adapted to be mixed to yield an aqueous disinfecting composition, wherein the first part comprises a chlorite and the second part comprises an acid, and wherein the first part, the second part, or both the first and second parts comprise an olefin compound.
US08021693B2 Methods of organ regeneration using Hox11-expressing pluripotent cells
The invention features methods for increasing or maintaining the number of functional cells of a predetermined type in a mammal (e.g., a human patient), for example, the insulin producing cells of the pancreas, liver cells, spleen cells, or bone cells, that has injured or damaged cells of the predetermined type or is deficient in cells of the predetermined type.
US08021687B2 Modified release compositions of milnacipran
A milnacipran formulation that provides delayed and extended release of milnacipran has been developed. The formulation comprises milnacipran or a salt thereof; an extended release excipient, and a delayed release excipient. The formulation provides, upon administration to a human subject, a Tmax of 4-10 hours.
US08021685B2 Wound care device having fluid transfer properties
This disclosure relates to wound care devices which are capable of one-way, directional flow of fluids and contaminants away from the wound site to the opposite side of the wound care device, which functions as a fluid reservoir. This fluid transport mechanism generally aids in reducing wound maceration by removing excess fluid, and potentially even bacteria, and is carried out without loss of physical integrity of the wound care device itself. In addition to providing a uni-directional fluid transport mechanism, the wound care device may contain a topically applied silver-based antimicrobial finish which provides certain levels of antimicrobial agent to the wound in order to clear infection from the wound site and control bacterial growth in the wound care dressing. Exemplary topical antimicrobial finishes include silver ion-releasing compounds.
US08021679B2 Nitric oxide-releasing biodegradable polymers useful as medical devices and coatings therefore
Nitric Oxide (NO)-releasing polymers useful as implantable medical devices and coatings therefore are provided. Specifically the implantable medical devices and/or coatings comprise NO-releasing biodegradable polymers derived from [1,4] oxazepan-7-one and its derivatives. The medical devices and coatings of the present invention can also be used for in situ controlled release delivery of additional bioactive agents and are useful for treating or preventing medical conditions such as restenosis, aneurysms and vulnerable plaque.
US08021674B2 Cosmetic gel product on the basis of oils and gelling agents
The invention refers to a new cosmetic gel product which contains oils or fats and suitable gel forming polymers and which has improved characteristics as regards stability and structure. The product of the invention comprises 5-85% by weight of a fat phase forming agent selected from among oils, hydrogenated hydrocarbons, alkenes, mono esters, di esters, tri esters and mixtures thereof, 0.1-15% by weight of a further gelling agent selected from among 12-hydroxy stearic acid, polyethylene whose molecular weight is between 400 and 2500 Daltons, glyceryl behenate and mixtures thereof, 0.5-5% by weight of a surface-active agent, and ad 100% by weight other auxiliary substances, carrier substances, active substances and mixtures thereof, all percentages being relative to the weight of the gel product. The product is wax-free and free of fatty absorbing substances and has as a stick a water content up to 60% and with no sweating or bleeding.
US08021673B2 Proteins with repetitive Bacterial-Ig-like (Big) domains present in Leptospira species
The invention relates to three isolated DNA molecules that encode for proteins, BigL1, BigL2 and BigL3, in the Leptospira sp bacterium which have repetitive Bacterial-Ig-like (Big) domains and their use in diagnostic, therapeutic and vaccine applications. According to the present invention, the isolated molecules encoding for BigL1, BigL2 and BigL3 proteins are used for the diagnosis and prevention of infection with Leptospira species that are capable of producing disease in humans and other mammals, including those of veterinary importance.
US08021672B2 Development of a Chlamydia sp. vaccine strain
The invention involves the discovery that Chlamydia sp. strains can be cured of their plasmids by treatment with novobiocin, and that plasmid-deficient strains are defective in infecting cells under standard conditions, but can infect cells if centrifuged onto the host cells. But it is found that plasmid-deficient strains with wild-type infection efficiency under standard conditions can be isolated as mutants from parent plasmid-deficient strains with low infectivity by selecting for infection under standard conditions. Both the less infective and the highly infective plasmid-deficient strains were able to infect mice with little or no pathological symptoms, and both reduced the pathology in mice later challenged with the parental wild-type disease-causing Chlamydia strain. Thus, plasmid-deficient Chlamydia are effective vaccine strains. The invention provides a process for isolating a plasmid-deficient strain of Chlamydia sp., a process for developing a plasmid-deficient strain of Chlamydia sp. for use as a vaccine, a process for developing a highly infective plasmid-deficient strain of Chlamydia sp., isolated Chlamydia sp. strains, a method of expressing a recombinant nucleic acid in Chlamydia sp., and a method of immunizing a mammal against a Chlamydia infection.
US08021667B2 Compositions for immunotherapy and uses thereof
The present invention relates to an immunogenic composition comprising a conjugate between an antigen and an oxidized mannan comprising mannose units and aldehyde groups, and a pharmaceutically acceptable carrier.
US08021666B2 Method and compositions for stimulation of an immune response to CD20 using a xenogeneic CD20 antigen
Tolerance of the immune system for endogenous CD20 can be overcome and an immune response stimulated by administration of xenogeneic or xenoexpressed CD20 antigen. For example, mouse CD20, or antigenically-effective portions thereof, can be used to stimulate an immune response to the corresponding differentiation antigen in a human subject. Administration of xenogeneic antigens in accordance with the invention results in an effective immunity against CD20 expressed by the cancer in the treated individual, thus providing a therapeutic approach to the treatment of lymphomas and leukemia expressing CD20.
US08021665B2 Identification and application of antibiotic synergy
The present invention provides a pharmaceutical composition useful for treating bacterial infections in humans and animals which comprises administering to a human or animal in need thereof, an antibacterially effective combination of a β-lactam antibiotic and an inhibitor of any bacterial peptidoglycan biosynthesis enzyme, especially GlmU, GlmU, MurA, MurB, MurC, MurD, MurE, MurF, MurG, MraY, and UppS. Further provided is a method of discovering synergists for antibiotics including: a) expressing in a cell an antisense nucleic acid against a nucleic acid encoding a gene product so as to reduce the activity or amount of the gene product in the cell, thereby producing a cell sensitized to an antibiotic; b) characterizing the sensitization of the cell to the antibiotic and selecting pairs of antibiotics and genes that result in antibiotic efficacy at one-fifth or less the concentration required in the absence of the antisense gene; c) screening for chemical compounds that inhibit the gene product corresponding to the selected synergistic gene; and d) selecting or creating chemical analogs that inhibit the gene product corresponding to the selected synergistic gene such that the inhibition occurs in the bacteria.
US08021664B2 Tumor antigens Bfa5 for prevention and/or treatment of cancer
The present invention relates to a nucleic acid encoding a polypeptide and the use of the nucleic acid or polypeptide in preventing and/or treating cancer. In particular, the invention relates to improved vectors for the insertion and expression of foreign genes encoding tumor antigens for use in immunotherapeutic treatment of cancer. MTKRKKTINLNIQDAQKRTALHWACVNGHEEVVTFLVDRKCQLDVLDG EHRTPLMKALQCHQEACANILIDSGADINLVDVYGNMALHYAVYSEIL SVVAKLLSHGAVIEVHNKASLTPLLLSITKRSEQIVEFLLIKNANANA VNKYKCTALMLAVCHGSSEIVGMLLQQNVDVFAADICGVTAEHYAVTC GFHHIHEQIMEYIRKLSKNHQNTNPEGTSAGTPDEAAPLAERTPDTAE SLVEKTPDEAAPLVERTPDTAESLVEKTPDEAASLVEGTSDKIQCLEK ATSGKFEQSAEETPREITSPAKETSEKFTWPAKGRPRKIAWEKKEDTP REIMSPAKETSEKFTWAAKGRPRKIAWEKKETFVKTGCVARVTSNKTK VLEKGRSKMIACPTKESSTKASANDQRFPSESKQEEDEEYSCDSRSLF ESSAKIQVCIPESIYQKVMEINREVEEPPKKPSAFKPAIEMQNSVPNK AFELKNEQTLRADPMFPPESKQKDYEENSWDSESLCETVSQKDVCLPK ATHQKEIDKINGKLEESPNKDGLLKATCGMKVSIPTKALELKDMQTFK AEPPGKPSAFEPATEMQKSVPNKALELKNEQTWRADEILPSESKQKDY EENSWDTESLCETVSQKDVCLPKAAHQKEIDKINGKLEGSPVKDGLLK ANCGMKVSIPTKALELMDMQTFKAEPPEKPSAFEPAIEMQKSVFNKAL ELKNEQTLRADEILPSESKQKDYEESSWDSESLCETVSQKDVCLPKAT HQKEIDKINGKLEESPDNDGFLKAPCRMKVSIPTKALELMDMQTFKAE PPEKPSAFEPAIEMQKSVPNKALELKNEQTLRADQMFPSESKQKKVEE NSWDSESLRETVSQKDVCVPKATHQKEMDKISGKLEDSTSLSKILDTV HSCERARELQKDHCEQRTGKMEQMKKKFCVLKKKLSEAKEIKSQLENQ KVKWEQELCSVRLTLNQEEEKRRNADILNEKIREELGRIEEQERKELE VKQQLEQALRIQDIELKSVESNLNQVSHTHENENYLLHENCMLKKEIA MLKLEIATLKHQYQEKENKYFEDIKILKEKNAELQMTLKLKEESLTKR ASQYSGQLKVLIAENTMLTSKLKEKQDKEILEAEIESHHPRLASAVQD HDQIVTSRKSQEPAFHIAGDACLQRKMNVDVSSTIYNNEVLHQPLSEA QRKSKSLKINLNYAGDALRENTLVSEHAQRDQRETQCQMKEAEHMYQN EQDNVNKHTEQQESLDQKLFQLQSKNMWLQQQLVHAHLLADNKSKITI DIHFLERKMQHHLLKEKNEEIFNYNNHLKNRIYQYEKEKAETENS
US08021662B2 Microorganisms for therapy
Methods for production of tumor-specific antibodies are provided. The methods employ microorganisms that are designed to accumulate in immunoprivileged tissues and cells, such as in tumors and other proliferating tissue and in inflamed tissues, compared to other tissues, cells and organs, so that they exhibit relatively low toxicity to host organisms. The microorganisms also are designed or modified to result in leaky cell membranes of cells in which they accumulate, resulting in production of antibodies reactive against proteins and other cellular products and also permitting exploitation of proliferating tissues, particularly tumors, to produce selected proteins and other products.
US08021654B2 Methods of treating pigs with Bacillus strains
Disclosed are methods of administering at least two Bacillus strains to a pig, such as female breeding stock, nursery pigs, or other pigs. The Bacillus strains inhibit Clostridium in litters borne to the pig. The Bacillus strains also are useful when administered to herds lacking symptoms of Clostridium infection. Administration of the Bacillus strains improves performance of female breeding stock and in piglets borne by the female breeding stock.
US08021653B2 Shuttle vector for Bifidobacterium and Escherichia coli
The present invention provides a shuttle vector for a microorganism of the genus Bifidobacterium (BM) and Escherichia coli having a wide host range and a large copy number in BM and capable of highly expressing a desired protein when used as an expression vector; an expression vector capable of expressing a desired gene in BM by use of the shuttle vector; BM transformed with the expression vector; and an antitumor agent comprising the BM as an active ingredient. It comprises a pTB6-derived region portion comprising a replication origin (oriV)-repB region of pTB6 but not comprising MembB, MobA, OrfI, and oriT regions of pTB6 and an Escherichia coli-derived plasmid portion comprising a replication origin (Puc Ori) region of Escherichia coli but having deleted DNA encoding an N-terminal region of an ampicillin resistance gene (ampR) expression product β-lactamase.
US08021652B2 Biodegradable branched polylactide derivatives capable of forming polymeric micelles, and their preparation method and use
The present invention relates to a biodegradable branched polylactic acid derivative of formula 1, and preparation method and use thereof: 1-(R—X)n wherein each of X, R, I and n is defined as in the detailed description.
US08021650B2 Polymers containing silicone copolyol macromers and personal care compositions containing same
Dimethicone copolyol polymers are synthesized from dimethicone copolyol macromers. Polymers containing the macromer repeating units are useful in a variety of applications including personal care, textile and industrial formulations to deliver softness, lubricity, fixative, humidity resistance, water repellency, gloss, surface modification, and surfactant properties.
US08021649B2 Continuous spray scalp therapy and dispensing systems for same
A spray delivery system in combination with a scalp medication is provided which includes a portable container having a gas imperious container wall, a pressure source disposed within the container, and a selectively operable valve assembly affixed to the container. The scalp medication is in liquid form and is disposed within the container. The scalp medication comprises a hair growth stimulation or hair growth maintenance active ingredient in an amount sufficient to stimulate or maintain hair growth disposed within a pharmacologically acceptable carrier solution. The valve assembly of the system produces a 1 mL spray of said scalp medication within about 5 seconds following a single operation of said valve assembly. A method of treating alopecia using a continuous spray employing such a system is also provided. Both bag-on-valve (bag-in-can) and metered dose packages are disclosed.
US08021644B2 Methods for measuring rates of reverse cholesterol transport in vivo, as an index of anti-atherogenesis
The present invention relates to biochemical methods for determining reverse cholesterol transport. Specifically, the rates of the two arms of reverse cholesterol transport (HDL or first arm and post-HDL or second arm) are obtained by measuring the flow of unlabeled cholesterol from tissues into plasma HDL and from plasma HDL to bile acids.
US08021642B2 Crystalline composition, preparation and use
A porous crystalline composition having a molar composition as follows: YO2:m X2O3:n ZO, wherein Y is a tetravalent element selected from the group consisting of silicon, germanium, tin, titanium and combinations thereof, X is a trivalent element selected from the group consisting of aluminum, gallium, boron, iron and combinations thereof, Z is a divalent element selected from the group consisting of magnesium, zinc, cobalt, manganese, nickel and combinations thereof, m is between about 0 and about 0.5, n is between about 0 and about 0.5; and the composition has an x-ray diffraction pattern which distinguishes it from the materials. A process for making the composition, and a process using the composition to treat an organic compound are also provided.
US08021638B2 Carbon foam and high density carbon foam composite tooling
Tools for the forming of composite parts from composite forming materials, having tool bodies that comprise, at least in part, carbon foam and high density carbon foam are described. In some embodiments, a surface of the carbon foam or high density carbon foam may comprise a tool face. In other embodiments, the carbon foam or high density carbon foam may support an other material, referred to as tool face material, wherein a surface of the tool face material may comprise a tool face. The tools of the present invention may be lighter, more durable, and less costly to produce and/or use than conventional tools used for the production of composite parts, particularly those tools used for the production of carbon composites. Additionally, such tools may be reusable, repairable, and more readily modifiable.
US08021637B2 Process for the preparation of magadiite or kenyaite from an organic structuring agent comprising two terminal alcohol functions
Described is a process for the preparation of at least one crystallized lamellar solid formed by magadiite or kenyaite consisting of implementing in a step (i) mixing of at least one silica source, at least one organic structuring agent of the formula HO—(CH2)n—OH, with 2≦n≦5, at least one alkali and/or alkaline earth metal M and water and then implementing in a step (ii) hydrothermal treatment of said mixture until at least said crystallized lamellar solid is formed.
US08021636B2 Preparation of rare-earth halide blocks
The invention relates to a method of preparing a polycrystalline block of a halide of formula AeLnfX(3f+e) in which Ln represents one or more rare earths, X represents one or more halogen atoms selected from the group consisting of Cl, Br and I, and A represents one or more alkali metals selected from the group consisting of K, Li, Na, Rb and Cs, e, which may be zero, being less than or equal to 3f, and f being greater than or equal to 1, having a low water and oxyhalide content, in which the method comprises heating a mixture of, on the one hand, at least one compound having at least one Ln—X bond and, on the other hand, a sufficient amount of NH4X in order to obtain the oxyhalide content, resulting in a molten mass comprising the rare-earth halide, the heating being followed by cooling, and the heating, after having reached 300° C., never going below 200° C. before the molten mass has been obtained. The blocks thus produced allow very pure single crystals having remarkable scintillation properties to be grown.
US08021628B2 Structured polymer films
A method for forming a structured polymeric film having a plurality of longitudinally spaced structured on both sides of the structured polymeric film is described. The method includes: providing a rotatable tool having an outer circumferential surface, the outer circumferential surface including a plurality of tool projections; providing a nip roll having a smooth conformable outer circumferential surface opposed to the outer circumferential surface of the tool; introducing a polymer layer into a nip between the tool and the nip roll; pressing the polymer layer between the tool and the nip roll to form web recesses into a first side of the polymer layer and web projections extending away from an opposing second side of the polymer layer, with the tool projections on the circumferential surface of the tool and form a structured web; and removing the structured web from the tool. Sample processing articles are also described.
US08021624B2 Reactor, and method for the production of hydrogen sulfide
The invention relates to a reactor (1) and a process for continuously preparing H2S by converting a reactant mixture which comprises essentially gaseous sulfur and hydrogen over a catalyst, comprising a sulfur melt (9) at least in a lower subregion (8) of the reactor (1), into which gaseous hydrogen is introduced. The catalyst is arranged in at least one U-shaped tube (21) which is partly in contact with the sulfur melt (9), the at least one U-shaped tube (21) having at least one entry orifice (23) on a limb (26) above the sulfur melt (9), through which the reactant mixture can enter the U-shaped tube (21) from a reactant region (10) of the reactor (1), having a flow path within the at least one U-shaped tube, along which the reactant mixture can be converted in a reaction region comprising the catalyst (22), and having at least one exit orifice (24) in another limb (27) through which a product can exit into a product region (7).
US08021621B2 Honeycomb structure, exhaust gas purifying apparatus, and method for producing honeycomb structure
A honeycomb structure includes at least one honeycomb member including inorganic fibers and having walls extending along a longitudinal direction to define cells. A catalyst is provided on the wall in an amount of at least about 100 g and at most about 400 g per liter of volume of the honeycomb structure. The honeycomb member has a pore distribution measured using mercury porosimetry in which a pore distribution curve has a first peak in a range from about 0.005 μm to about 0.03 μm of a pore diameter, a second peak in a range from about 1 μm to about 15 μm of the pore diameter, and a third peak in a range from about 15 μm to about 50 μm of the pore diameter, where the curve is drawn by plotting the pore diameter (μm) on an X-axis and a log differential pore volume (mL/g) on a Y-axis.
US08021620B2 Apparatus for oligomerizing dilute ethylene
The process and apparatus converts ethylene in a dilute ethylene stream that may be derived from an FCC product to heavier hydrocarbons. The catalyst may be an amorphous silica-alumina base with a Group VIII and/or VIB metal. The catalyst is resistant to feed impurities such as hydrogen sulfide, carbon oxides, hydrogen and ammonia. At least 40 wt-% of the ethylene in the dilute ethylene stream can be converted to heavier hydrocarbons.
US08021618B1 Filter with change indicator
A filter change indicator is provided and includes a fragrance and auditory emission apparatus adapted to be installed in disposable-type air filters utilized to remove contaminants carried along in the air stream of HVAC systems. The fragrance and auditory emission apparatus functions to emit a shrill tone or whistling noise when the air filter becomes dirty or clogged. The fragrance and auditory emission apparatus also functions as an air freshener or a room deodorizer.
US08021615B2 Sensor that compensates for deterioration of a luminescable medium
A sensor that generates an output signal in response to a stimulus, where the output signal is generated with a predetermined relationship to one or more properties of the stimulus such that the one or more properties of the stimulus can be determined as a function of the output signal. In one embodiment, the sensor includes a component, a sensor processor, and a transmitter. The component deteriorates, thereby causing predictable fluctuations in the predetermined relationship between the output signal and the one or more properties of the stimulus. The sensor processor provides information related to the deterioration of the component. The transmitter wirelessly transmits the information provided by the processor.
US08021614B2 Devices and methods for enrichment and alteration of cells and other particles
The invention features devices and methods for the deterministic separation of particles. Exemplary methods include the enrichment of a sample in a desired particle or the alteration of a desired particle in the device. The devices and methods are advantageously employed to enrich for rare cells, e.g., fetal cells, present in a sample, e.g., maternal blood and rare cell components, e.g., fetal cell nuclei. The invention further provides a method for preferentially lysing cells of interest in a sample, e.g., to extract clinical information from a cellular component, e.g., a nucleus, of the cells of interest. In general, the method employs differential lysis between the cells of interest and other cells (e.g., other nucleated cells) in the sample.
US08021612B2 System and methods for assisting businesses in compliance with gas emissions requirements
A system and method for calculating a value indicative of the amount of an undesirable constituent of a volatile gas stream that is removed from the atmosphere. Data received at a higher sampling rate is subjected to a plurality of validation processes and data that is determined to be faulty is then quarantined. Quarantined data can be replaced, however, an audit trail is generated to indicate what data has been replaced and the underlying rationale for the replacement data.
US08021610B2 Systems providing antimicrobial activity to an environment
A process reduces the microbial content in land mass by providing molecular iodine in the land mass in a concentration in aqueous material in the land mass of at least 5 or 10 parts per million. The molecular iodine may be added in gaseous or liquid or solid state, and may be formed in situ using available water, added water and/or alcohol in the reaction.
US08021609B2 Stabilized hydrogen peroxide
A highly stabilized hydrogen peroxide useful for chemical sterilization of packaging materials in high-speed aseptic packaging plants.
US08021608B2 Method and apparatus of sterilization using monochromatic UV radiation source
This invention provides a process of sterilizing a medical device, and preferably the contents of a sealed container which comprises said medical device, comprising the step of exposing said medical device to monochromatic ultraviolet radiation whereby the Dvalue of Bacillus stearothermophilus (ATCC 7953) is at least 23.7 mJ/cm2 monochromatic ultraviolet radiation at 257 nm to the spore. Further, this invention provides a process of sterilizing a medical device comprising the step of subjecting said medical device to monochromatic ultraviolet radiation wherein the minimum total energy density of said monochromatic ultraviolet radiation at 257 nm which reaches the microorganisms present on said medical device is at least 284 mJ/cm2.This invention further provides an apparatus for delivering UV radiation to a medical device for sterilization comprising a laser and a scanner for the laser such that at least 284 mJ/cm2 at 257 nm is applied to a treatment area for said medical device. This invention provides a process and apparatus in which sterilization can be achieved in less than 20 seconds, preferably less than 15 seconds, more preferably in less than 5 seconds. The process and apparatus are efficient and continuous.
US08021606B2 Hydrogen storage alloy, its production method, hydrogen storage alloy electrode, and secondary battery
A hydrogen storage alloy containing a phase of a chemical composition defined by a general formula A5·xB1+xC24: wherein in the general formula A5·xB1+xC24, A denotes one or more element(s) selected from rare earth elements; B denotes one or more element(s) selected from a group consisting of Mg, Ca, Sr, and Ba; C denotes one or more element(s) selected from a group consisting of Ni, Co, Mn, Al, Cr, Fe, Cu, Zn, Si, Sn, V, Nb, Ta, Ti, Zr, and Hf; and x denotes a numeral in a range from −0.1 to 0.8: and the phase has a crystal structure belonging to a space group of R-3m and having a length ratio of the c-axis to the a-axis of the lattice constant in a range of 11.5 to 12.5.
US08021600B2 Method and plant for the heat treatment of solids containing iron oxide
A plant for the heat treatment of solids containing iron oxide. The plant includes a reactor including a fluidized bed reactor. The reactor includes a gas supply system disposed in the reactor, a stationary annular fluidized bed which at least partly surrounds the gas supply system, and a mixing chamber. The gas supply system is configured so that gas flowing through the gas supply system entrains solids from the stationary annular fluidized bed into the mixing chamber.
US08021599B2 Method and installation for producing steel products with optimum surface quality
A method for producing steel products (1) with optimum surface quality wherein the molten steel (1b) is produced in a process route (10, 100; 12; 13) that is selected according to a desired final microstructure (9), by melting in a furnace (2b) with an electrode system (31), and in a vacuum degassing system; or by melting in a furnace installation (35) or an individual furnace vessel (30), in a ladle furnace (25), and in a differential-pressure vacuum degassing system (43); or by melting in a furnace (2b) with additions of alloying materials (26), a partial-quantity degassing in the ladle furnace (25), or a vacuum degassing system (27) and a ladle degassing (27).
US08021598B2 Rapid thermal treatment for enhancing bending stiffness and yield moment of curved needles
An apparatus for thermally treating a plurality of curved suture needles. The apparatus includes a conveyer for transferring the plurality of curved suture needles from a source of curved suture needles to a receiver, a housing positioned adjacent the conveyer, the housing having a first end, a second end, and an opening running from the first end to the second end, the opening aligned with the conveyer to enable the plurality of curved suture needles to pass therethrough, and a heat source located within the housing for heating the plurality of curved suture needles as the plurality of curved suture needles are transferred by the conveyer from the first end of the housing to the second end of the housing. Also provided is a process for thermally treating a plurality of curved suture needles to enhance the stiffness and yield moment of the curved suture needles. The curved suture needles so treated have a desirable combination of stiffness, strength and ductility.
US08021596B2 Method for injection stretch blow molding
In the hot parison method of stretch blow molding, the temperature distribution of the body wall of the injection molded preform is changed to a gentle gradient slope shape from a mountain shape not by the external application of heat, but by the preform itself, to prepare a bottle with an improved top load value. After the injection-molded preform is released from the mold, cooling of the inner side of the preform is continued by the injection core. Inner side cooling is terminated by release from the injection core. The preform is left in a hollow state for a time, the temperature-averaging time, until the outer surface temperature drops to the stretch blow molding temperature, after passing a peak temperature. While in the molding temperature region, stretch blow molding of the thin-wall hollow molded article is conducted.
US08021594B2 Preserving filled features when vacuum wiping
A method/process for curing imprint on a template prior to contact with a substrate. A curing process is used to adhere the imprint to a wafer or substrate. Monomer is deposited on a template and then partially cured using a UV exposure. The exposure is controlled so that the imprint is cured past the gel point, but still retains a thin liquid layer of uncured monomer at the surface that will bond with the wafer. Further, this partially cured layer enables the alignment adjustments between the template and the substrate to be performed after contact between the two without pulling any monomer out of the features.
US08021593B2 Method of producing a three-dimensional structure and fine three-dimensional structure
A method of producing a three-dimensional structure contains the steps of: arranging a substrate close to a tip of a needle-shaped fluid-ejection body having a fine diameter supplied with a solution, ejecting a fluid droplet having an ultra-fine diameter toward a surface of the substrate by applying a voltage having a prescribed waveform to the needle-shaped fluid-ejection body, making the droplet fly and land on the substrate, and solidifying the droplet after the fluid droplet is landed on the substrate; further a three-dimensional structure has a fine diameter comprises droplets having an ultra-fine particle diameter, wherein the structure is grown by solidifying the droplets and stacking the solidified droplets.
US08021592B2 Process for fabricating polypropylene sheet
A process of production of a monolithic article from a web of fibres of oriented polypropylene polymer, comprising the steps of subjecting the web to elevated temperature and pressure sufficient to melt a proportion of the polymer and compact it, and then cooling the compacted web, wherein an accelerated rate of cooling is employed down to 100° C. The process is of particular benefit when the weight average molecular weight (Mw) of the fibers is 250,000 or below. The resultant articles have good stiffness and strength, yet with reasonable ductility. Similar articles cooled slowly are brittle.
US08021588B2 Processes for forming a fiber-reinforced product
A process for forming a reinforced product suitable for use as a roofing material is provided, comprising: (a) providing a composition comprising a matrix material; and (b) extruding the composition with an extruder to form a reinforced product, wherein a plurality of fibers is combined with the matrix material prior to or during the extrusion step. Also provided is a process for forming a reinforced product suitable for use as a roofing material, comprising: (a) forming a first layer comprising a first matrix material; (b) providing a plurality of fibers on the first layer; (c) forming a second layer comprising a second matrix material, above the plurality of fibers; and (d) combining the plurality of fibers with the first matrix material and/or the second matrix material, to form a reinforced product.
US08021585B2 Liquid compositions of polymer additives and method for their preparation
A method is described for preparing liquid concentrates of additives for incorporation in polymers, particularly polymers prepared in solution or slurry phase polymerization media. Additive concentrates according to the invention are characterized by a finer dispersion than would result from the direct addition of particulate or granular additives to the same liquid solvent.
US08021581B2 Flame retardant composition, flame-retardant resin composition and molded product and fiber made of flame-retardant resin composition
To provide a halogen-free flame retardant composition containing no antimony, phosphorus and phosphorus compounds at all, a non-halogen flame-retardant resin composition of environmental type ideal for disaster prevention made of the flame retardant composition, which causes little carbon monoxide (CO) during combustion while having high flame retardance, and molded products, electric wires, cables, fiber or fiber post-processed products made of the resin composition. The flame retardant composition comprises a mixture of (A) a resin having an average particle diameter of not more than 1000 μm selected from wholly aromatic polyamide, polyimide, polyamideimide, a copolymer of the wholly aromatic polyamide, the polyimide or the polyamideimide or a mixture of the above mentioned polymers and (B) a metal hydrate. The flame-retardant resin composition contains 50 to 200 parts by mass of the flame retardant composition to 100 parts by mass of a thermoplastic resin or a thermosetting resin.
US08021579B2 Conductive composition and production method thereof, antistatic coating material, antistatic coating, antistatic film, optical filter, and optical information recording medium, and capacitors and production method thereof
A conductive composition comprises a π conjugated conductive polymer, a polyanion, and a hydroxy group-containing aromatic compound containing two or more hydroxy groups. An antistatic coating material comprises the conductive composition and a solvent. An antistatic coating is produced by applying the antistatic coating material. A capacitor comprises an anode composed of a porous valve metal body; a dielectric layer formed by oxidizing a surface of the anode; and a cathode formed on the dielectric layer, wherein the cathode has a solid electrolyte layer comprising the conductive composition.
US08021577B2 Methods and apparatus for solid carbonaceous materials synthesis gas generation
Methods and apparatus may permit the generation of consistent output synthesis gas from highly variable input feedstock solids carbonaceous materials. A stoichiometric objectivistic chemic environment may be established to stoichiometrically control carbon content in a solid carbonaceous materials gasifier system. Processing of carbonaceous materials may include dominative pyrolytic decomposition and multiple coil carbonaceous reformation. Dynamically adjustable process determinative parameters may be utilized to refine processing, including process utilization of negatively electrostatically enhanced water species, process utilization of flue gas (9), and adjustment of process flow rate characteristics. Recycling may be employed for internal reuse of process materials, including recycled negatively electrostatically enhanced water species, recycled flue gas (9), and recycled contaminants. Synthesis gas generation may involve predetermining a desired synthesis gas for output and creating high yields of such a predetermined desired synthesis gas.
US08021576B2 Phosphor and production method thereof, and phosphor-containing composition, light emitting device, image display and lighting system
To provide a phosphor having nearly spherical shapes, the phosphor has an elemental ratio represented by the formula below, and contains at least two kinds of Li, Na, K, Rb, Cs, P, Cl, F, Br, I, Zn, Ga, Ge, In, Sn, Ag, Au, Pb, Cd, Bi and Ti. M1aM2bM3cOd (M1 represents Cr, Mn, Fe, Co, Ni, Cu, Ce, Pr, Nd, Sm, Eu, Tb, Dy, Ho, Er, Tm or Yb, M2 mainly represents a bivalent metal element, M3 mainly represents a trivalent metal element, and a, b, c and d are 0.0001≦a≦0.2, 0.8≦b≦1.2, 1.6≦c≦2.4 and 3.2≦d≦4.8, respectively.)
US08021571B2 Chiral reactive mesogen mixture
The invention relates to chiral reactive mesogen (RM) mixtures, polymer films obtained thereof, and the use of the mixtures and polymer films in optical, electrooptical or electronic components or devices, in decorative, security or cosmetic applications, especially for use in broadband reflective polarizers.
US08021569B2 Compositions and methods for reducing fire hazard of flammable refrigerants
The present invention relates to compositions comprising flammable refrigerant, fire hazard-reducing agent, and optionally a lubricant suitable for use in a refrigeration or air conditioning apparatus. Further, the present invention relates to compositions comprising lubricant and fire hazard-reducing agent and methods for reducing flammability of flammable refrigerant, for delivering a fire hazard-reducing agent to a refrigeration or air conditioning apparatus, and for replacing a non-flammable refrigerant with a flammable refrigerant.
US08021562B2 Method for manufacturing a filter substrate, inkjet recording head, and method for manufacturing the inkjet recording head
A filter capable of separating or filtering micro foreign particles in a flow passage is provided. A first mask and a second mask are formed on a silicon substrate by dry etching. Before performing the dry etching, a resist of the first mask is subjected to a heat treatment performed at a temperature equal to or higher than a glass transition point. A resist of the second mask is not subjected to such a heat treatment. This processing simultaneously forms in the substrate a groove portion and a wall having a hole that is located in the groove portion. A silicon material located beneath a wide portion of the first mask remains as a wall portion separating the holes.
US08021559B2 Thickening apparatus and method for thickening
A thickening apparatus (1) and method adapted to liquids carrying suspended particles, such as slurry containing minerals, when there is a tank (2), where settling of solids takes place, a feedwell (4), which includes a chamber having means for receiving fed material (11), such as slurry and at least one outlet (5) in fluid communication with the tank and means for distributing the material in the tank, means for delivering the fed material (11), at least slurry, into the feedwell, when inside the feedwell there is arranged a separate mixing space (12) for the fed material (11), when there is arranged at least one horizontal element (7, 15, 22, 23) reaching at least partly the cross-section of the feedwell, from which mixing space the fed material (11) is allowed to flow into other section (14) of the feedwell.
US08021558B2 Additive dispersing filter and method of making
A method for dispersing an oil additive into an oil passing through a filter, the method including the steps of: filling a first cavity of an additive cartridge with an additive; locating the additive cartridge within a housing of the filter, the additive cartridge being located within a flow path through the filter, the additive cartridge having a second cavity without any additive disposed therein, the second cavity defining a first fluid path through the additive cartridge and the oil must pass through the second cavity in order to exit the filter; and metering the additive through an opening in the additive cartridge, the opening providing a second fluid path into the additive cartridge.
US08021547B2 Screen clamp
The present invention relates to an apparatus and method for the easy installation or removal of screen elements from a shaker table without the use of tools. Pneumatic cylinders are used to move hold down bars up and down to either allow for screen elements to be installed or removed from the screen bed section assembly, or to clamp them into the screen bed section assembly of a shaker so that the screen elements will not move during shaker operations.
US08021545B2 Biodegradable surface layer film for pools or spas to prevent evaporation and heat loss
The present invention relates to swimming pools and other pools of standing water, and in particular to a dispensing unit that distributes a chemical solution that reduces evaporation and heat loss by forming a film or monomolecular layer over the surface or substantial surface area of the swimming pool or water pond.
US08021542B2 Method for recovering potable water from the exhaust of an internal combustion engine
A water recovery method and apparatus which uses a desiccant, such as lithium chloride, to recover water vapor from the engine exhaust of a vehicle. The apparatus uses liquid-contactor to transfer water vapor from the vehicle exhaust to the liquid desiccant. The desiccant is then transferred to a reverse osmosis system which separates the liquid desiccant into potable water and a concentrated desiccant.
US08021539B2 System and process for hydrodesulfurization, hydrodenitrogenation, or hydrofinishing
A method for hydrodesulfurization by forming a dispersion comprising hydrogen-containing gas bubbles with a mean diameter of less than 1 micron dispersed in a liquid phase comprising sulfur-containing compounds. Desulfurizing a liquid stream comprising sulfur-containing compounds by subjecting a fluid mixture comprising hydrogen-containing gas and the liquid to a shear rate greater than 20,000 s−1 to produce a dispersion of hydrogen in a continuous phase of the liquid and introducing the dispersion into a fixed bed hydrodesulfurization reactor from which a reactor product is removed. Systems of apparatus for hydrodesulfurization are also presented.
US08021535B2 Methods for plating write pole shield structures with ultra-thin metal gap seed layers
Methods and structures for electroplating shield structures for perpendicular thin film write poles having ultra thin non-magnetic top gaps on the order of a few nanometers are disclosed. Ultra thin, conductive seed layers serve a dual purpose as both plating seed layer and non-magnetic top gap for the write pole. Due to reduced current carrying capacity of ultra thin seed layers, an additional thick seed layer is also employed to aid delivering plating current to regions near the pole.
US08021530B2 Method for separation of chemical substances and/or particles, device and its use
Electric fields are applied parallel to and/or vertical to the interfaces on adjacent microfluid lamellae made of nonmiscible media containing said biomolecules and bioparticles to which they have different physico-chemical affinities in order to separate biomolecules and bioparticles and the biomolecules and bioparticles are electrophoretically separated.
US08021528B2 Biosensor
A biosensor that is capable of measuring a material contained in a sample is provided. The biosensor is configured to be inserted into a display device, and measures a material contained in the sample. The biosensor includes i) first and second substrates that are opposed to each other; ii) a sample guiding layer that has two sample injection openings and is located on the first substrate; iii) a first electrode that is located between the first substrate and the sample guiding layer; iv) a second electrode that is located between the second substrate and the sample guiding layer; v) a third electrode that is located between the sample guiding layer and the second substrate; and vi) a penetrated opening that penetrates the first substrate, the sample guiding layer, and the second substrate. The second electrode is spaced apart from the first electrode. The biosensor further includes i) a long edge, and ii) a short edge that shares a corner of the biosensor and neighbors the long edge. Each of the two sample injection openings is formed to correspond to the long edge and the short edge, respectively.
US08021527B2 Coaxial shafts for radial positioning of rotating magnetron
A magnetron actuator for moving a magnetron in a nearly arbitrary radial and azimuthal path in the back of a target in a plasma sputter reactor. The magnetron includes two coaxial rotary shafts extending along the chamber central axis and coupled to two independently controllable rotary actuators. An epicyclic gear mechanism or a frog-leg structure mechanically couple the shafts to the magnetron to control its radial and azimuthal position. A vertical actuator moves the shafts vertically in tandem to vary the magnetron's separation from the target's back surface and compensate for erosion of the front surface. The rotary actuators may be separately coupled to the shafts or a rotatable ring gear may be coupled to the shafts through respectively fixed and orbiting idler gears. Two radially spaced sensors detect reflectors attached to the inner and outer arms of the epicyclic gear mechanism for homing of the controller.
US08021525B2 PEM water electrolysis for oxygen generation method and apparatus
A PEM based water electrolysis stack consists of a number of cells connected in series by using interconnects. Water and electrical power (power supply) are the external inputs to the stack. Water supplied to the oxygen electrodes through flow fields in interconnects is dissociated into oxygen and protons. The protons are transported through the polymer membrane to the hydrogen electrodes, where they combine with electrons to form hydrogen gas. If the electrolysis stack is required to be used exclusively as an oxygen generator, the hydrogen gas generated would have to be disposed off safely. The disposal of hydrogen would lead to a number of system and safety related issues, resulting in the limited application of the device as an oxygen generator. Hydrogen can be combusted to produce heat or better disposed off in a separate fuel cell unit which will supply electricity generated, to the electrolysis stack to reduce power input requirements. This however, will add to system complexity, cost and efficiency loss. The present invention provides an improved method and a simple system for the production of oxygen.
US08021524B2 Material and device properties modification by electrochemical charge injection in the absence of contacting electrolyte for either local spatial or final states
In some embodiments, the present invention is directed to processes for the combination of injecting charge in a material electrochemically via non-faradaic (double-layer) charging, and retaining this charge and associated desirable properties changes when the electrolyte is removed. The present invention is also directed to compositions and applications using material property changes that are induced electrochemically by double-layer charging and retained during subsequent electrolyte removal. In some embodiments, the present invention provides reversible processes for electrochemically injecting charge into material that is not in direct contact with an electrolyte. Additionally, in some embodiments, the present invention is directed to devices and other material applications that use properties changes resulting from reversible electrochemical charge injection in the absence of an electrolyte.
US08021523B2 Apparatus and method for electrostatic filtration of fluids
Disclosed herein is an electrostatic fluid filtration system suitable for removing sub micron insoluble contaminants known to cause varnish from fluids such as dielectric fluids. The electrostatic fluid filtration system is configured to remove both water and other contaminants from a target fluid (e.g. a dielectric fluid) and comprises a cabinet, a computer control unit, and one or more substantially cylindrical filtration units, including at least one of a water filtration unit and an electrostatic contaminant filtration unit. In the contaminant filtration unit, the target fluid flows radially through the filtration media that is positioned between the electrode plates in a generally horizontal flow pattern. This forces the target fluid to traverse multiple energy fields in a serpentine fashion and in a single pass through the contaminant filtration unit. After flowing through each layer of the filtration media, the target fluid is discharged from the electrostatic contaminant filtration unit.
US08021521B2 Method for agile workpiece temperature control in a plasma reactor using a thermal model
A method of processing a workpiece in a plasma reactor having an electrostatic chuck for holding a workpiece in a chamber of the reactor includes providing a thermally conductive gas under pressure between a backside of the workpiece and a top surface of the electrostatic chuck, controlling the temperature of the electrostatic chuck, defining a desired workpiece temperature, measuring a current workpiece temperature or temperature related to the workpiece temperature and inputting the measured temperature to a thermal model representative of the electrostatic chuck. The method further includes determining from the thermal model a change in the pressure of the thermally conductive gas that would at least reduce the difference between the measured temperature and the desired temperature, and changing the pressure of the thermally conductive gas in accordance with the change determined from the thermal model.
US08021519B2 Water distillation system
A system for distilling sea or brackish water includes a feed water arrangement for supplying feed water from a feed water source to one or more flashing stages. Each flashing stage has a water flash evaporator for vaporizing at least part of the water therein, and a condenser for receiving the vapour and converting at least a part of the vapour into distilled water. A heat storage arrangement provided with a heat generating source for storing heat energy is used to heat a fluid medium flowing through it. A heat exchange arrangement receives the hot fluid medium and transfers heat to a stream of vapour flowing under pressure from each flashing stage. The vapour leaving the heat exchange arrangement being at a raised temperature is arranged to be condensed into water at the condenser and to transfer some of its latent heat to the evaporator.
US08021517B2 Use of fluorescent nanoparticles to make on-line measurements of cross-web and machine-direction component and property variations in paper and continuous web products
Fluorescent nanoparticles such as quantum dots are incorporated into paper and other web products such as plastics to achieve cross-direction and machine direction on-line detection of selected components during manufacture. Fluorescent nanoparticles markers are added in known proportions into product formulations along with the selected components of interest. By detecting the fluorescence from the nanoparticles, the selected components can be traced at various stages of production. In addition, by using different fluorescent nanoparticles that emit radiation at different wavelengths, data from individual materials or layers in a composite structure can be ascertained simultaneously with a single sensor. The technique is particularly suited for monitoring expensive and difficult-to-measure components that may be present only in trace quantities. The technique can be implemented continuously during normal production, during start-up or re-formulation, such as a grade change in paper production, when considerable changes in the process parameters occur.
US08021516B2 Use of water-soluble polymer complexes in aqueous systems
A water-soluble interjacent complex that includes a first water-soluble polymer and one or more water-soluble monomers polymerized to form a second water-soluble polymer in the presence of the first water-soluble polymer. The water-soluble interjacent complex forms a solution in water that is free of insoluble polymer particles. The interjacent complexes may be used to treat a waste sludge by adding an effective amount thereof to the waste sludge. The interjacent complexes may also be used in making paper by adding an effective amount thereof to a pulp or a forming sheet at a suitable location on a paper making machine. The interjacent complexes may further be used as a rheology modifier in aqueous systems by adding an effective amount thereof to an aqueous medium to effect a desired viscosity, rheology, or flow curve property.
US08021513B2 Substrate carrying apparatus and substrate carrying method
A substrate processing apparatus, adapted to provide a process, such as etching, to a substrate, includes a processing vessel, an ambient atmospheric carrying chamber, a carrying member for carrying the substrate, and a functional module located on a carrying route of the substrate. A first air stream is provided in the ambient atmospheric carrying chamber, and a second air stream is provided in the functional module so as to create a stream of air directed toward the ambient atmospheric carrying chamber from the functional module so as to prevent by-products of the process provided to the substrate from entering the functional module.
US08021511B2 Needle-based medical device including needle guide and method for constructing
A needle-based medical device including a needle guide and a method for constructing the same are disclosed. The needle-based medical device includes a tube having a first lumen; a needle guide which is dimensioned to be inserted into the lumen of the tube, and a needle. The needle is inserted such that an end is positioned in the needle guide. A glue may also be provided to secure the needle guide to the tube. In one embodiment, the tube may be made of extruded plastic.
US08021496B2 Stabilized lithium metal powder for Li-ion application, composition and process
The present invention provides a method for stabilizing lithium metal powder. The method comprises the steps of heating the lithium metal powder to above its melting point to provide molten lithium metal, dispersing the molten lithium metal, and contacting the dispersed molten lithium metal with a phosphorous-containing compound to provide a substantially continuous protective layer of lithium phosphate on the lithium metal powder.
US08021493B2 Method of reducing corrosion using a warewashing composition
A warewashing composition includes a cleaning agent having a detersive amount of a surfactant, an alkaline source in an amount effective to provide a use composition having a pH of at least about 8 when the use composition is measured at a solids concentration of about 0.5 wt %, and a corrosion inhibitor in an amount sufficient for reducing corrosion of glass when the warewashing composition is combined with water of dilution at a dilution ratio of at least about 20:1 water of dilution to detergent composition o form a use composition. The corrosion inhibitor includes a salt of calcium, magnesium, or a mixture of calcium and magnesium. The salt has a water solubility of less than about 0.5 wt % in water at about 20° C. and atmospheric pressure so that the salt precipitates to form a protective layer on a substrate in contact with the use composition. A method of reducing corrosion using the warewashing composition is also disclosed.
US08021491B2 Method for selectively removing coatings from metal substrates
A method for selectively removing an aluminum-poor overlay coating from a substrate of a component, which as a result of its low aluminum content is highly resistant to a selective stripping solution. The method entails diffusing aluminum into the overlay coating to form an aluminum-infused overlay coating having an increased aluminum level in at least an outer surface thereof. The diffusion step is carried out so that the increased aluminum level is sufficient to render the aluminum-infused overlay coating removable by selective stripping. The outer surface of the aluminum-infused overlay coating is then contacted with an aqueous composition to remove the aluminum-infused overlay coating from the substrate. The aqueous composition includes at least one acid having the formula HxAF6, and/or precursors thereof, wherein A is Si, Ge, Ti, Zr, Al, and/or Ga, and x is from 1 to 6.
US08021487B2 Wafer carrier with hub
A wafer carrier for a rotating disc CVD reactor includes a unitary plate of a ceramic such as silicon carbide defining wafer-holding features such as pockets on its upstream surface and also includes a hub removably mounted to the plate in a central region of the plate. The hub provides a secure connection to the spindle of the reactor without imposing concentrated stresses on the ceramic plate. The hub can be removed during cleaning of the plate.
US08021486B1 Protective self-aligned buffer layers for damascene interconnects
Capping protective self aligned buffer (PSAB) layers are layers of material that are selectively formed at the surface of metal layers in a partially fabricated semiconductor device. Encapsulating PSAB layers are formed not only at the surface of the metal layers, but also within the unexposed portions of the metal lines. Encapsulating PSAB layer, for example, can surround the metal line with the PSAB material, thereby protecting interfaces between the metal line and diffusion barriers. Encapsulating PSAB layers can be formed by treating the exposed surfaces of metal lines with GeH4. Capping PSAB layers can be formed by treating the exposed surfaces of metal lines with SiH4. Interconnects having both a silicon-containing capping PSAB layer and a germanium-containing encapsulating PSAB layer provide good performance in terms of adhesion, resistance shift, and electromigration characteristics.
US08021479B2 Plant and method for the production of cement clinker from cement raw material
The invention relates to a device and a method for producing clinker from raw cement material. The raw cement material is preheated in a preheater, is precalcined in a calcining apparatus, is completely burned in a sintering furnace, and is finally cooled in a cooler. Tertiary air of the cooler is fed to the calcining apparatus while eliminated dust is thermally treated in a dust burning reactor with the aid of combustion air and fuel. At least some of the tertiary air is fed to the dust burning reactor as combustion air while the dust that is to be treated and the combustion air penetrate the dust burning reactor in the same direction of flow.
US08021475B2 Organic pigment fine particles and method of producing the same
According to the method of producing organic pigment fine particles of the present invention, when producing organic pigment fine particles by allowing two or more solutions at least one of which is an organic pigment solution in which an organic pigment is dissolved to flow through a microchannel, the organic pigment solution flows through the microchannel in a non-laminar state. Accordingly, the contact area of solutions per unit time can be increased and the length of diffusion mixing can be shortened, and thus instantaneous mixing of solutions becomes possible. As a result, nanometer-scale monodisperse organic pigment fine particles can be produced in a stable manner.
US08021474B2 Oxidation inhibition of carbon-carbon composites
A method of inhibiting oxidation of a porous carbon-carbon composite is disclosed and comprises the steps of: (a) contacting the carbon-carbon composite with an oxidation inhibiting composition comprising phosphoric acid or an acid phosphate salt, at least one aluminum salt, and at least one additional metal salt, the oxidation inhibiting composition penetrating at least some of the pores of the carbon-carbon composite; and (b) heating the carbon-carbon composite at a temperature sufficient to form a deposit from the oxidation inhibiting composition within at least some of the penetrated pores of the carbon-carbon composite. The foregoing oxidation inhibiting composition and carbon-carbon composites treated by the foregoing method are also disclosed.
US08021473B2 Process for manufacturing inks and pigment formulations and ink jet inks made by the process
A process for manufacturing an ink, or pigment formulation (e.g. a concentrate) for use in inks, comprising filtration of a composition comprising a particulate pigment and a liquid medium through an inorganic filter having pores of a uniform size below 10 microns. The process accurately removes oversized particles that could cause problems in inkjet printers without wasting non-problematic under-sized pigment. High flow rates are achieved even with low trans-filter pressure differences. In one embodiment, high flow rates are maintained by selection of pH and/or ionic strength. The filters are more robust than conventional polymeric filters and withstand cleaning with aggressive cleaning agents.
US08021470B2 Water-based ink for ink-jet recording, ink cartridge and ink-jet recording apparatus
A water-based ink includes a dye (1) represented by the general formula (1); a dye (2) at least one dye selected from the group consisting of a dye having free acid that is represented by the general formula (2-1), C. I. Acid Red 52 and 289; DPP; and a surfactant represented by the general formula (3). The dyes, DPP, and the surfactant are blended so as to satisfy the conditions (A) to (D). (A) a total amount of the dye (1) and (2) relative to a total amount of the ink is about 2 wt % to 5 wt % (B) weight ratio between the dye (1) and (2) in the ink is about 9:1 to 7:3 (C) an amount of the surfactant relative to a total amount of the ink is about 0.5 wt % to 2.5 wt % (D) an amount of DPP relative to a total amount of the ink is about 1 wt % to 3 wt %
US08021468B2 Room temperature chemical trap for the purification of gaseous methane
A room temperature trap for the purification and concentration of gaseous methane. The trap utilizes the adsorption and desorption properties of microporous spherical carbon molecular sieves to purify and concentrate radiolabelled methane for application in an automated synthesis module without the need for cryogenic cooling.
US08021465B2 Compressed air supply device
A compressed air supply device for a commercial vehicle is provided. The compressed air supply device includes a valve housing and an air-drying cartridge connected releasably to the valve housing. The valve housing and the air-drying cartridge are connected to each other via a bayonet fastening arrangement.
US08021464B2 Method and installation for combined production of hydrogen and carbon dioxide
The invention concerns a method for combined production of hydrogen and carbon dioxide from a mixture of hydrocarbons wherein the residual PSA is treated to produce a carbon dioxide-enriched fluid, and wherein: the residual PSA is compressed to a pressure such that the partial pressure of the CO2 contained ranges between about 15 and 40 bar; the residue is subjected to one or more condensation/separation steps with production of CO2-rich condensate(s) and a purge of noncondensable gas; the purge of noncondensable gas is preferably treated to produce a H2-rich permeate which is recycled to the PSA, and a residue which is recycled to syngas generation, Preferably, the condensate(s) are purified by cryogenic distillation to produce food grade CO2. The invention also concerns an installation for implementing the method.
US08021461B2 Remedial heap treatment
A system and method are disclosed for improving component extraction from heap leach operations. Following heap leaching for some time, portions of the heap deficient in component extraction are identified, and treatment wells are drilled and remedial treatments are selectively performed on the identified portions of the heap. The remedial treatment can include hydraulically fracturing the identified portions of the heap followed by selective treatment with leach solution of the area impacted by the fracturing.
US08021458B2 Production of ferro-alloys
A method for producing a ferro-alloy in an electric arc furnace is disclosed. The method comprises the step of charging the furnace with an un-agglomerated carbon-containing polymer such that the polymer functions as a slag foaming agent.
US08021455B2 Filter element and method
A filter element having multiple formed layers of filtration media is disclosed. The media are layered so as to form a pore size gradient. The filter element is capable of removing both solid and liquid particulates from a moving fluid stream. The filter element has high strength and compressibility. The layers can be supported on a porous or perforate support to provide mechanical stability during filtering operations. The filtration media layers can be formed into various filter element forms such as panels, cartridges, inserts, and the like.
US08021449B2 Hydrophilic and hydrophobic silane surface modification of abrasive grains
A surface-modified abrasive grain includes an abrasive grain as a substrate, and a film on the abrasive grain that includes a relatively hydrophilic silane component and a relatively hydrophobic silane component. The film can be a single film layer or multiple film layers, wherein a film layer most proximal to the abrasive grain has a predominately hydrophilic silane component, and a film layer more distal to the abrasive grain includes predominately a relatively hydrophobic silane component. Coated abrasive products and bonded abrasive products include the surface-modified abrasive grains.
US08021445B2 Upgrading carbonaceous materials
Disclosed are methods for upgrading carbonaceous materials. Also disclosed are apparatuses for upgrading carbonaceous materials. Also disclosed are systems for upgrading carbonaceous materials. Also disclosed are upgraded carbonaceous materials.
US08021438B2 Cationic acylpyridinium derivatives for use as bleach activators
Agent for dyeing keratin fibers while simultaneously bleaching the keratin fibers. The agent contains, in a cosmetic carrier, at least one oxidation dye precursor and at least one cationic acylpyridinium derivative.
US08021431B1 Modular basal thumb joint implant
Basal thumb joint implant has a head with a smooth, generally hemispherical, medio-proximally directed, articulating surface, and a generally abrupt, distally directed, truncation thereto; and a stem attached to the head, which arises from the truncation of the head and includes at least one of the following features: A) a general angle of attachment to the head which is acute in relation to the truncation of the head; B) a flanged cross-sectional stem profile; C) an inwardly curved stem; D) an eccentric head attachment site for the stem. The implant may be one-piece or modular in construction. The modular basal thumb joint implant, however, which is not necessarily limited by requiring inclusion of the aforesaid additional features A-D, includes a head with a smooth, generally hemispherical, medio-proximally directed, articulating surface, a generally abrupt, distally directed truncation thereto, and a stem trunion-receiving cup in the truncation; and a stem attachable to the head, which stem has intracarpal spike-like distal end, and a proximally directed trunion, which trunion is insertable into the stem trunion-receiving cup of the head. Other digits may be provided with analogous implants, particularly with respect to those digital implants amenable to modularity.
US08021427B2 Intervertebral disk prosthesis with elastomeric insert
Described herein is an intervertebral disk prosthesis with an angled leading edge for insertion into the Intervertebral space. The disk prosthesis may provide for both rotation and compression.
US08021426B2 Mechanical apparatus and method for artificial disc replacement
The present invention relates to a device and method which may be used to reinforce the native annulus during spinal surgery. The device is a catheter based device which is placed into the inter-vertebral space following discectomy performed by either traditional surgical or endoscopic approaches. The distal end of the catheter is comprised of an expansile loop which may be increased in diameter by advancement of a portion of the catheter via its proximal end, such proximal end remaining external to the body. The expansile loop may be formed of a woven or braided material and may be made of a polymer such as nylon, polyurethane, polyester, polyethylene, polypropylene or any of the well known and biocompatible polymers. Alternatively the expansile portion of the catheter may be formed from a metallic braid of stainless steel, elgiloy, Nitinol, or other biocompatible metals. The expansile loop may be formed such that when the loop is diametrically contracted the loop feeds into its other end, similar to a snake eating its own tail. Stabilization of the outer portion of the loop and pulling out the inner portion will thereby increase the overall diameter of the loop while maintaining it as a closed loop or torus. The present invention comprises four embodiments and can be used to 1) facilitate disk fusing, 2) perform an artificial replacement of the nucleus, 3) perform an artificial replacement of the annulus, or 4, perform an artificial replacement of both the nucleus and annulus.
US08021424B2 Intervertebral implant
In order, in an intervertebral implant having a core made of a swellable material and having a vertebral-body locating face on its top and/or bottom side, which is connected to the swellable core, to reduce the shearing forces between the swellable core and the vertebral-body locating face during swelling of the swellable core, it is proposed that the vertebral-body locating face comprises a plurality of support elements, which are respectively anchored adjacent to one another and individually in the core, and a plurality of mutually separate locating elements, which are disposed adjacent to one another and outside of the core and which are carried by the support elements and are movable relative to one another in the plane of the vertebral-body locating face.
US08021423B2 Intraocular lens insertion tool
An insertion tool of an intraocular lens permits the lens to be deformed while rotation of the lens in an insertion tube is effectively prevented. Upper and lower flat planes are formed to spread flatly over a length of a through hole in the insertion tube and the upper and lower flat planes are connected smoothly with right and left wall faces over the length of the through hole. The right and left wall faces have longitudinal linear portions extending substantially in parallel in a vertical direction on a proximal end opening side. With its radius of curvature decreasing gradually in a covered shape which becomes inwardly concave as it approaches a distal end opening the upper flat plane gradually approaches the lower flat plane, and a tapered portion having a reduced cross-sectional area is formed, thus obtaining the distal end opening of substantially oval shape.
US08021420B2 Prosthetic valve delivery system
A prosthetic valve delivery system for percutaneously delivering and deploying a prosthetic valve within an existing valve is disclosed. The delivery system includes a stented prosthetic valve having a balloon-expandable stent portion with a prosthetic valve disposed therein and at least one self-expanding stent portion. The delivery system further includes a dual balloon catheter having a first balloon on which the stented prosthetic valve is disposed during delivery and a second balloon. Upon delivery within the existing valve, the self-expanding stent portion contacts the existing valve and the first balloon expands the balloon-expandable stent portion to a first diameter such that the stented prosthetic valve is in a first stage deployment configuration. The second balloon then expands the balloon-expandable stent portion to a second diameter, greater than the first diameter, such that the stented prosthetic valve is in a second stage deployment configuration being fully deployed within the existing valve.
US08021418B2 Sandwiched radiopaque marker on covered stent
A stent comprises a tubular framework having an outer surface and an inner surface and a plurality of interconnected struts. An outer covering of PTFE extends along at least a portion of the outer surface of the expandable framework and an inner covering of PTFE extends along at least a portion of the inner surface of the expandable framework. At least a portion of the inner and outer coverings are contiguous with one another. The stent further comprises at least one radiopaque marker disposed between the inner covering and the outer covering.
US08021416B2 Methods for delivering a prosthesis to a site in a body
An endoprosthesis cover may be attached to the distal end of a delivery device. The cover may be generally cylindrical in shape and may have a lumen through it. An endoprosthesis may then be covered as it is delivered to a treatment site.
US08021405B2 Treatment of ear infection using blue/violet light
The present invention relates to the treatment of Otitis or other type ear infections with the use of blue/violet light positioned to shine into the ear canal. The treatment quickly clears up infections and reduces the reoccurrence of ear infections.
US08021404B1 Methods for treatment of aneurysms
Fibrosis, in at least one layer of a vessel wall, can be used to strengthen a vessel wall. Fibrosis can be induced by irradiating a vessel wall with an energy source, or by inducing injury to the vessel wall. In addition to an energy source, photoactivatable agents can also be used such that the energy activates the photoactivatable agent to cause a thickening of the vessel wall. For example, ultra-violet radiation can be used alone or in conjunction with a photoactivatable agent, such as a psoralen compound, to increase the adventitial volume of a blood vessel. Upon exposure to radiation, preferably ultra-violet A radiation, the photoactivatable agent becomes activated and causes compositional and/or structural changes in the adventitia. The invention provides a method of treating aneurysms by thickening the adventitial layer of the vessel wall at the site of the aneurysm.
US08021402B2 Distal radius plate
A distal radius plate having a head with a complex palm shaped profile which flares from the sides of the plate to a leading edge that includes a central oblique linking area that helps to mark the placement of the plate relative to the radius. Further, the plate includes an oblique depression that extends from the rounded pinky side of the head and gradually morphs into the elevated styloid prominence in one diagonal direction, and rises less gradually upward into the lunate prominence on the other side of the head. A proximal plate portion mimics the spiral of the radial bone as it spirals along the longitudinal axis, and includes a tighter radial bend. The head includes holes or bores for pegs which extend into the distal portion of the radius to lock fragments into position. In the first embodiment, the angles of the pegs are fixed. In a second embodiment, one or more of the peg holes are provided with a variable axis locking mechanism assembly and the remaining holes are fixed.
US08021398B2 Spinal fixation system
According to one embodiment of the invention, a spinal fixation system includes a bone screw having a longitudinal access and a fixation rod configured to connect the bone screw to at least one additional bone screw. The fixation rod is lateral to the longitudinal axis. A coupling mechanism includes a bone screw securing device configured to secure the coupling mechanism to the bone screw and a fixation rod securing device configured to secure the coupling mechanism to the fixation rod. The spinal fixation system further includes a fastening mechanism. Rotation of the fastening mechanism secures the bone screw securing device to the bone screw and the fixation rod securing device to the fixation rod.
US08021397B2 Multi-axial orthopedic device and system
Embodiments of an orthopedic implant device and system, and methods for implanting them, are disclosed. The implant may include a receiver member having a channel for accommodating an elongated rod or other longitudinal member, a bone anchoring member such as a screw or hook, and a base member rotatable with respect to the receiver member for retaining the bone anchoring member in the receiver member. The base member is configured to allow at least two different degrees of maximum angulation of the bone anchoring member with respect to the receiver member. The number and relative direction of such angulations are independent of the orientation of the channel or other part of the receiving member.
US08021392B2 Methods and surgical kits for minimally-invasive facet joint fusion
Disclosed herein are methods and surgical kits that can be used to fuse facet joints via a minimally invasive procedure (including an arthroscopic or percutaneous procedure). An exemplary method includes creating an incision; locating a facet joint with a distal end of a pin; sliding a substantially hollow drill guide over said pin wherein said drill guide comprises a proximal end, a distal end; removing said pin from within said drill guide; inserting a drill bit into said drill guide; drilling a hole into a bone of said facet joint; removing said drill bit; inserting a facet joint bone plug into said hole using a bone plug inserter having a raised portion at or near is proximal end, wherein said raised portion prevents over-insertion of said bone plug; and removing said drill guide.
US08021389B2 Surgical staple assembly
A surgical staple assembly is disclosed and can include a surgical staple. The surgical staple assembly can be moved between a first configuration and a second configuration. In the first configuration, the surgical staple can be in an original shape. Moreover, in the second configuration, the surgical staple can be in a deformed configuration.
US08021387B2 Trans-septal sheath with splitting dilating needle and method for its use
A device useful for accessing the left atrium is provided. The device comprises an elongated tubular body and a dilating tip. The tubular body has an axis, a proximal end, a distal end and a lumen longitudinally extending therethrough. The dilating tip is slidably mounted on the distal end of the tubular body. The dilating tip comprises a segmented surface that is generally transverse to the axis of the tubular body, and a generally rigid tube extending distally from the segmented surface and having a sharp distal end. Distal movement of the tubular body relative to the dilating tip exerts a force on the segmented surface to thereby open the segmented surface.
US08021383B2 Single-handed, reduced vibration lancing device
A lancing device includes a housing, at least two ramps, a lancet holder, a drive spring, and an activation mechanism. The housing includes first and second ends, and a first wall. The first end has an aperture extending therethrough and the first wall has an opening extending therethrough. The two ramps are located within the housing and forms a channel therebetween. The lancet holder is partially contained within the channel formed by the ramps and holds a lancet. The activation mechanism includes a user-accessible component and a plate spring. A portion of the user-accessible component extends through the opening and is external to the housing. The plate spring engages the ramps forming the channel and the lancet holder upon depression of the user-accessible component, the lancet holder moves towards the second end to a cocked position. Upon further depression of the user-accessible component, the lancet holder moves toward the first end to a puncture position.
US08021379B2 Obstruction removal system
An obstruction removal system for percutaneous removal of clots or obstructions within the vascular system is disclosed. The obstruction removal system includes a multi-lumen catheter with a plurality of circulating capture devices occurring along a drive belt. The plurality of capture devices may be basket-like devices attached to the drive belt, or may be formed by integral coiled or protuberant sections of the drive belt. The circulating capture or interference devices affect removal of the clot or obstruction bit-by-bit through a series of passes.
US08021377B2 Electroactive polymer actuated medical devices
The present invention is directed to novel apparatus for use in medical procedures relating to tubular body fluid conduits. According to a first aspect of the invention, a connector apparatus is provided for use in making an anastomotic connection between first and second tubular fluid conduits in a patient. The connector apparatus comprises: (a) a locking member, which further comprises one or more electroactive polymer actuators that switch the locking member between a locking state and a release state; and (b) a connector body that is configured to permit flow of bodily fluid between the first and second tubular fluid conduits. The connector body has a first portion configured for insertion into an axial end of the first tubular fluid conduit and a second portion configured for insertion through a sidewall of the second tubular fluid conduit.
US08021376B2 Endoscopic suturing system
An endoscopic treatment device is used with an endoscope. This treatment device includes a transmission member with a flexible structure having a distal end portion to be inserted into a body and can be operated outside the body, a push rod coupled to the distal end portion, and first and second connecting members rotatably coupled to the push rod. The treatment device further includes first and second arm members rotatably coupled to the distal end portion of the connecting member, a holding member rotatably holding the respective arm at a predetermined interval therebetween, and first and second actuating members integrally formed with the arm members and can open/close when the transmission member actuates the first and second connecting members and the first and second arm members through the push rod. This device also includes a needle mounted on at least one of the first and second actuating members.
US08021374B2 Method and device for the controlled delivery and placement of securing elements in a body
A device and method for delivering a plurality of securing elements or clips to a target region in a body. Further, the method and device deliver and place a plurality of clips to secure an implant to a target region. The device allows an operator to remotely control the delivery and placement of shape memory clips to effectively and precisely fasten the clips directly to the target region, or alternatively, to use the clips to secure the implant to the target region. Advantageously, the device allows for the application of the plurality of clips from within a lumen of a vessel.
US08021371B2 Medical wire
Disclosed herein is a medical wire with an intracorporeally indwelling member connected to the leading end part of a conductive wire body through a thermally-fusible connecting member, wherein expected heat generation can be achieved even when a conductive member that causes leak comes into contact with the leading end part of the conductive wire body, and thus the intracorporeally indwelling member can be surely released.This medical wire comprises a conductive wire body and an intracorporeally indwelling member connected to the leading end part of the conductive wire body through a thermally-fusible connecting member, in which the connecting member is heated and fused by supplying an electric current for fusion through the conductive wire body, thereby releasing the intracorporeally indwelling member, wherein a non-conductive coating film is formed on an external peripheral surface of an electrode-forming portion in the leading end part of the wire body, and a part of the surface of the wire body is exposed through the coating film, thereby forming a heating electrode portion.
US08021368B2 Methods and apparatus for improved cutting tools for resection
A cutting tool is provided with an arcuate cutting blade that preferably engages a guide tool to create a curved resected surface during an arthorplasty procedure. In one embodiment, a depth of the cutting blade is sufficient to permit the simultaneous creation of resected surfaces on two bones that articulate, such as both the femor and the tibia for a given condyle, without the need to reposition the guide or the leg. In another embodiment, a cutting member has a generally rectangular cross-section along a longitudinal axis with a first and second surface having cutting teeth defined thereon and a third and fourth surface adapted to interface with a cutting guide positioned proximate the bone. In this embodiment, the cutting tool can resect the bone in two different directions without reorienting the cutting member.
US08021365B2 Surgical device having interchangeable components and methods of use
A surgical device, kit, and/or method for interchanging components of the surgical device can include a handle, a docking assembly, a shaft assembly, a coupler assembly, and a surgical implement. The handle can have a lever pivotably connected to the handle, and the docking assembly secured to the handle. The docking assembly can include a docking rod operably attached to the lever and axially slidable within the docking assembly. The shaft assembly can include a shaft rod axially slidable within a detachable shaft and removably attachable to the docking rod. The coupler assembly can be adapted to releasably secure the shaft assembly to the docking assembly. The surgical implement can be attached to a distal end of the shaft rod operable with the lever. The shaft assembly and surgical implement may be interchanged on the handle with other shaft assemblies and surgical implements.
US08021362B2 Methods and apparatus for closing a layered tissue defect
Methods and apparatus for treatment of layered tissue defects having a majority of the surfaces of the defect layers in contact generally involve use of a catheter having at least one energy transmission member at its distal end. The distal end of the apparatus also typically has a force applying member which can apply a force to the tissue defect. Often this force is a lateral force or vacuum which helps the tissue to appose itself. An exemplary method of closing a patent foramen ovale (PFO) involves positioning a closure device between layers of the PFO. Energy is then applied to the layered tissue defect with the closure device so as to substantially close the tissue defect. The energy is often monopolar or bipolar radiofrequency energy. A force may also be applied by the closure device to the layered tissue defect so as to bring the layered tissue defect together.
US08021360B2 System and method for providing even heat distribution and cooling return pads
A return pad for use with an electrosurgical system is disclosed. The return pad includes a conductive layer, a contact layer configured to engage a patient's skin and an intermediate layer disposed between the conductive layer and the contact layer. The intermediate layer is adapted to distribute energy.
US08021356B2 Capsule medication administration system, medication administration method using capsule medication administration system, control method for capsule medication administration system
This capsule medication administration system includes: a capsule type medical device which includes a drug retention section, a drug release section which releases a drug which is retained in the drug retention section, and a communication section which sends and receives signal between the outside; an external device which includes an external communication section which sends and receives signal between the capsule type medical device; a condition input section which inputs conditions for operating the drug release section to the external device; an information acquisition section which acquires information for comparison with conditions which have been inputted by the condition input section; and a comparison section which compares together the information which has been acquired by the information acquisition section and the conditions which have been inputted with the condition input section; and the drug release section is controlled based upon the result of comparison.
US08021355B2 System, kit, and method of transgastric removal of visceral fat and other related methods
Embodiments of systems, kits, and methods to perform transgastric procedures to removing visceral fat in the peritoneal cavity are described. Am embodiment includes deliberately breaching a wall of a gastrointestinal tract to enter the peritoneal cavity to physically remove visceral fat adjacent or on outer surfaces of organs located within the peritoneal cavity. A user can identify regions of visceral fat desired to be removed. A user can insert an instrument through the mouth into the stomach of the patient. The user makes an incision in the wall of the organ adjacent the visceral fat to be removed. Using visual guidance for the instrument, the user passes a distal end of the instrument through an opening formed by the incision into the peritoneal cavity. A user utilizes removes the visceral fat from outer surfaces of the organ, the opening is closed, and the tool is removed from the patient.
US08021352B2 Unfused catheter body feature and methods of manufacture
The disclosure is directed to tubular bodies for catheters. An inner tubular catheter body has an inner layer, a braided portion over the inner layer and an outer layer. The outer layer is fused to the braided portion for a selected length or lengths of the inner tubular body and is unfused for a selected length or lengths to achieve the desired combination of stiffness and flexibility.
US08021351B2 Tracking aspiration catheter
In general, aspiration catheters have a suction device, a proximal portion and a shaft with a proximal end and a distal end. Improvements in the aspiration catheter design provide for improved tracking and/or reduced chance of snagging during delivery of the aspiration catheter. In some embodiments, the tip of the shaft has a curve relative to the neutral orientation of the remaining portions of the shaft. In other embodiments, the aspiration catheter further comprises a tracking portion that has a guide lumen. A guide structure can extend through the guide lumen to limit the motion of the tip of the catheter relative to the guide structure during delivery of the aspiration catheter within a patient's vessel. In further embodiments, the aspiration catheter comprises a deflection structure having a tether and a bumper. Improved methods for using the aspiration catheter to recover an embolism protection device are described.
US08021349B2 Method of using a syringe
A device (10,110,210) for the expression of liquids, such as for the maintenance treatment of vascular catheters. A syringe (16; 116a,116b; 216) has a support structure (15,215) and includes at least two separate compartments (11,12; 111,112; 211,212) in which liquids for treating the lumen (L,283) of a catheter (C,280) with which the device is associated. The syringe further includes a closure plug (13,213) to be associated with the lumen being treated, which is coupled, by virtue of a releasable securement arrangement, to support structure (15,215). At least one plunger (16; 116a,116b; 216) is also included for infusing, in preset order, the different treatment liquids into the lumen to be treated, and the syringe further includes a duct (17,217) which is in fluid communication with the two compartments and is arranged through a through hole through the closure plug (13,213) in order to allow access of the treatment liquid to the interior of the lumen (L,283) upon activation of the plunger.
US08021346B2 Wound irrigation device and method
A device for wound irrigation which has a compressible reservoir housing with a sterile wound irrigation solution and a plurality of ports to facilitate producing a pressurized dispersed stream of wound irrigation solution.
US08021345B2 Pen-type injector
The present invention relates to injectors, such as pen-type injectors, that provide for administration of medicinal products from a multidose cartridge and permit a user to set the delivery dose. The injector may include a housing, a cartridge containing medicinal product, the cartridge being retained within the housing, means for selecting a dose of medicinal product to be expelled, and means for expelling the selected dose of medicinal product. The housing may be a unitary housing within which the means for selecting a dose and the means for expelling the selected dose are moveably retained. Alternatively, the injector may include a housing, a piston rod, an insert located in the housing and through which the piston may rotate, a ratchet, a dose dial sleeve, a drive sleeve, a button located on the drive sleeve and rotatable with respect to the drive sleeve, and a clutch which upon depression of the button prevents rotation between the dose dial sleeve and the drive sleeve.
US08021334B2 Drug delivery device and method
A drug delivery device (10) includes a pressurized reservoir (14) in communication with a flow path to an outlet (12). The flow path includes two normally-closed valves (16, 18) and a flow restriction (18). A pressure measurement arrangement (22) measures a differential fluid pressure between two points (24, 26) along the flow path which span at least part of the flow restriction (18), one of the points being between the valves (16, 18). A controller (28) selectively opens the valves (16,18) to deliver a defined quantity of the liquid medicament to the outlet (12).
US08021332B2 Agent delivery catheter having articulating arms
An agent delivery catheter which has a support member comprising at least one articulating arm having an end fixedly secured relative to the shaft and having an anchor end section opposite to the fixed end. The articulating arm is biased to pivot at the fixed end away from the shaft, to transform from a low profile configuration to a high profile configuration in which the arm extends laterally away from the shaft and the anchor end section contacts the patient's body lumen wall, to support the shaft in the body lumen during delivery of an agent, for example by injection from a needle extended from the catheter into the wall of the body lumen.
US08021331B2 Method of coating a folded medical device
A non-polymeric or biological coating applied to a radially expandable interventional medical device in a collapsed, wrapped, or folded configuration. Properties of the coating material applied to the medical device are adjusted or varied to result in a desired combination of coverage of the surface of the medical device, drug loading, and coating thickness. The coating is sterile, and is capable of being carried by a sterile medical device to a targeted tissue location within the body following radial expansion. The therapeutic coating transfers off the medical device due in part to a biological attraction with the tissue and in part to a physical transference from the medical device to the targeted tissue location in contact with the medical device.
US08021329B2 Catheter including a compliant balloon
A catheter having an elongate shaft including a plurality of apertures disposed along at least a length of the shaft to facilitate bending. The catheter includes an inflatable balloon, wherein a subset of the plurality of apertures provides fluid communication from an inflation lumen to the inflatable balloon.
US08021325B2 Liquid drug medical device
The present invention relates to liquid drug medical devices for enabling the administration of liquid drugs, and also a needle shield removal device for safely removing needle shields.
US08021321B2 Split tip dialysis catheter
A split tip dialysis catheter having an outer tube and an inner tube, the two tubes which can be arranged over at least a portion of their length in a coaxial configuration. The inner tube may be connected to the outer tube at a proximal bifurcation, secured at a distal end of the outer tube or both, but generally the inner tube will remain free to move relative to the outer tube to optimize functionality. In addition, the inner tube can be arranged to be removable from the catheter for replacement thereof.
US08021319B2 Extracorporeal blood set
A blood set comprises an arterial line having a patient end provided with a multiport connector (14) having first port (15) connected to a blood transport tube (13), a second port (16) bearing a male luer connector for connecting to a vascular access device (17), and a third port (18) connected to a service tube (20) terminating with female luer connector (23) for connection with a priming liquid supply line. The blood set operates rapidly and with a small risk of contamination for the patient.
US08021318B2 Methods of blood-based therapies having a microfluidic membraneless exchange device
The present invention is directed to devices, systems and methods for removing undesirable materials from a sample fluid by contact with a second fluid. The sample fluid flows as a thin layer adjacent to, or between, concurrently flowing layers of the second fluid, without an intervening membrane. In various embodiments, a secondary separator is used to restrict the removal of desirable substances and effect the removal of undesirable substances from blood. The invention is useful in a variety of situations where a sample fluid is to be purified via a diffusion mechanism against an extractor fluid. Moreover, the invention may be used for the removal of components from a sample fluid that vary in size. When blood is the sample fluid, for example, this may include the removal of ‘small’ molecules, ‘middle’ molecules, macromolecules, macromolecular aggregates, and cells, from the blood sample to the extractor fluid.
US08021317B2 Orthopedic device providing access to wound site
Orthopedic device for treating neuropathic ulcers and other injuries while allowing easy access to the wound site on the plantar surface of a patient's foot includes a base portion and a sole that is either movably connected or removably connected to either the base or to a strut member. The base or strut is maintained in position on the lower leg while the sole may be rotated, slid, or completely removed for allowing inspection and access to the plantar surface of the patient's foot.
US08021315B1 Fingertip protecting device
A fingertip protecting device for preventing the contact and the spread of germs includes a housing having a container and a cover. A quantity of molten wax is stored within the container and a heater for heating the wax is stored within the housing. A person's fingertip may contact the molten wax through an opening formed in the cover. The housing also includes a scraper for removing the hardened wax from the person's fingertip and a waste container into which the wax scraping may be deposited.
US08021308B2 Breath end-tidal gas monitor
An improved apparatus and method for capturing and analyzing the end-tidal portion of an exhalation. The CO2 level of air drawn into the system (10) is monitored to distinguish inhalation and exhalation of breath. Upon detection of a decrease in the CO2 level in the air drawn into the system (10), indicating a transition between exhalation and inhalation a pair of flow selector valves (26, 28) are operated to capture the end-tidal volume of air drawn into the system (10) immediately prior to the detection of the decrease in the CO2 level. Incoming air is diverted around the captured volume of air, and the CO2 levels are continually monitored to ensure that the captured volume of air corresponds to the end-tidal portion of an exhalation. Once the captured volume of air is positively identified as the end-tidal portion of an exhalation, the captured volume is routed through a gas analyzer (44) for analysis of one or more predetermined gas levels.
US08021303B2 System for extracting morphological information through a perfusion assessment process
A perfusion assessment system is proposed. The system includes means for providing an echo-power signal indicative of a reperfusion of a contrast agent in a body-part of a living subject following destruction of a significant portion of the contrast agent in the body-part, means for associating the echo-power signal to a perfusion function with an S-shape based on a plurality of elementary perfusion functions with said S-shape each one for a corresponding value of at least one perfusion parameter, the elementary perfusion functions being weighted according to a probability density distribution of the at least one perfusion parameter, wherein the S-shape includes an initial portion with substantially zero first derivatives, a final portion with substantially zero first derivatives, and a central portion between the initial portion and the final portion changing monotonically from a value of the initial portion to a value of the final portion, means for determining at least one shape indicator of the probability density distribution, and means for comparing the at least one shape indicator with at least one predetermined further shape indicator to identify morphological characteristics of the body-part according to a result of the comparison.
US08021302B2 Ultrasonic apparatus and ultrasonic diagnostic method
An ultrasonic apparatus has a pulse transmission and reception unit, an envelope curve detection unit, a time difference detection unit, and an attenuation characteristic obtaining unit. The pulse transmission and reception unit transmits a first transmitted pulse that a frequency increases with time and a second transmitted pulse that the frequency decreases with time, further receives a first received pulse corresponding to the first transmitted pulse and a second received pulse corresponding to the second transmitted pulse. The envelope curve detection unit detects a first envelope curve based on the first received signal and a second envelope curve based on the second received signal, respectively. The time difference detection unit detects a time difference between the first envelope curve and the second envelope curve. The attenuation characteristic obtaining unit obtains a frequency dependent-attenuation characteristic of an ultrasonic base on the time difference.
US08021301B2 Ultrasonic image processing apparatus, ultrasonic image processing method and ultrasonic image processing program
An ultrasonic image processing apparatus in which image quality of ultrasonic images can be improved by performing image processing that utilizes image characteristics of the original image. The ultrasonic image processing apparatus processes image data generated based on a signal obtained by scanning an object to be inspected by using an ultrasonic beam and respectively representing pixel values of the plurality of pixels within the ultrasonic image. The ultrasonic image processing apparatus includes a morphology processing unit for processing the image data to reduce speckles appearing in the ultrasonic image, and a DSC for converting a scan format of the image data processed by the morphology processing unit.
US08021300B2 Three-dimensional fly-through systems and methods using ultrasound data
A volume is represented using high spatial resolution ultrasound data. By modulating B-mode data with Doppler or flow information, the spatial resolution or contrast of the B-mode data may be enhanced. The same set of ultrasound data is used for identifying a boundary, for placing the perspective position within the volume and rendering from the perspective position. The identification of the boundary and rendering are automated or performed by a processor. Ultrasound data may be used for generating three-dimensional fly-through representations, allowing for virtual endoscopy or other diagnostically useful views of structure or fluid flow channel. Virtual processes are not invasive, allow for more patient comfort, have selectable or different depths of focus, may allow for fly-through of different types of anatomy and may be used as a training tool.
US08021296B2 Wound retractor
A wound retractor (320) comprises a distal ring (3) for insertion through a wound opening (6), a proximal ring (4) for location externally of the wound opening (6), a valve (10) mounted to the proximal ring (4), and a sleeve (2) for retracting laterally the sides of the wound opening (6). The wound retractor (320) also comprises a flexible release member (81) for releasing the distal ring (3) from a retracting configuration for removal of the distal ring (3) from the wound opening (6). A first end (301) of the release member (81) is attached to the valve housing (102), the release member (81) loops around the distal ring (3), and a second end (302) of the release member (81) is movable relative to the proximal ring (4) to release the distal ring (3) from the retracting configuration.
US08021295B2 Endoscope system and observation method using the same
An endoscope system and an observation method using the same includes an agent dispensing portion for dispensing towards an acquisition object a fluorescent agent; a light source portion for emitting excitation light for exciting the fluorescent agent and irradiation light having different spectral characteristics from the excitation light; an optical system for transmitting the excitation light and the irradiation light towards the acquisition object; image-acquisition means, disposed at a portion that is inserted inside a body cavity and capable of acquiring fluorescence excited from the acquisition object by the excitation light, and light in a different wavelength band, which is excited from the acquisition object by the irradiation light; and control means for controlling the agent-dispensing means so that the acquisition object is irradiated with the irradiation light before the fluorescent agent is spouted out towards the acquisition object and for synchronizing at least the operation for spouting the fluorescent agent from the agent dispensing portion with the irradiation of the excitation light.
US08021294B2 Tissue resecting system
A surgical system includes a first instrument defining a first channel and a second instrument receivable by the first channel. The second instrument defines a second channel. A valve coupled to the first instrument controls fluid flow through the first channel, such that impedance of fluid flow through the first channel is substantially the same without the second instrument received in the first channel and with the first channel partially blocked by the second instrument. In another aspect, a surgical apparatus includes an outer member and an inner member received within the outer member to define a first channel therebetween. The inner member houses an optical lens and defines a second channel for receiving a surgical instrument. The first and second channels are configured such that a pump having an inflow rate of up to about 0.7 L/min connected to the second channel can maintain fluid pressure inside an organ.
US08021291B2 Markers for use in brachytherapy and other radiation therapy that resist migration and rotation
In an embodiment, an implantable marker, which is adapted to be implanted into patient tissue using a hollow needle, includes a marker body including a radiopaque material and a polymeric material that encapsulates at least a portion of the marker body. In an embodiment, an outer surface of the encapsulating material includes one or more protrusions to reduce a tendency of the marker to migrate and rotate within a patient's body after implantation.
US08021289B2 Clean-in-place decanter centrifuge
A clean-in-place decanter centrifuge for processing a combined liquid and solid material to separate the solid material from the liquid. The centrifuge is designed for use in the food processing industry, particularly for dairy products, and therefore has fluid injectors for cleaning all surfaces within the centrifuge including the opposed mating surfaces which function as non-contacting seal mechanisms. The centrifuge has no metal-to-metal contact areas within the interior of the bowl and scroll assembly. In one embodiment, the outer wall of the bowl and scroll assembly comprises a plurality of drain lines located in the rear wall section of the bowl to allow essentially all liquid to drain from the bowl and scroll assembly. In another embodiment, the bowl hub comprises at least one drain line to allow lubrication oil to drain into said cavity and prevent ingress of lubrication oil into said bowl and scroll assembly. In another embodiment, the discharge housing further comprises an enclosure bound by the interior surface of the exterior wall of the discharge housing, partitions within the discharge housing, and the outer wall of the rotating bowl, said partitions being separated from the outer wall of the rotating bowl by non-contacting seals whereby fine solid material that migrates into said enclosure is removable from the discharge housing by liquid sprayed from one or more fluid injectors inserted into said enclosure.
US08021288B2 Device for moving people or objects in a flexible controllable manner
The invention relates to a device for moving people or objects for the purposes of play, sport, pedagogy or therapy. Said device consists of a static base surface (2), a mobile surface (1) on which the person to be moved is located, and active, controllable, mechanical adjusting bodies which act between the static and mobile surfaces. Piston-free pneumatic actuators (3) are used for the mechanical adjusting bodies. In this way, the device is essentially more economical, smaller, lighter and more reliable than known comparable devices comprising different active mechanical adjusting bodies.
US08021286B2 Exercise apparatus with adjustable resistance
An exercise apparatus with adjustable resistance includes a housing and a cord mounting member coupled to the housing. An elastic cord is mounted to the cord mounting member and the cord mounting member permits movement of the elastic cord. A stop member is coupled to the elastic cord at a fixed location. An adjustable catch member is coupled to the housing and engages the stop member to limit movement of the elastic cord.
US08021284B2 Exercise device
An exercise device is provided for enabling a user to obtain a full body workout. By attaching the device at selected positions on the hands, feet, arms, legs, or torso, different muscle groups of a user's body can be isolated for training and strengthening purposes. The exercise device includes two or more pieces of tubing material fitted together in a telescoping manner. Pressurized air within the tubing provides a user with resistance to movement in order to tone and strengthen the muscles. At one end of the telescoping tubing material is a handgrip and at the other end is a strap of a suitable resilient or elastic material that allows a user to securely place a foot or hand in for exercise purposes.
US08021281B2 Electronic scoring system, method and armor for use in martial arts
An electronic scoring system for use in a variety of martial arts (including traditional styles of martial arts, mixed martial arts, weapons based martial arts or the fighting arts generally). The scoring system allows an objective determination of the force, location and effectiveness of forces applied during competition, without the need for electric weaponry.
US08021278B2 Seat mechanisms
Described are several mechanisms for permitting a user to adjust the seat on a stationary exercise bicycle. The described mechanisms can be used to adjust the height of the seat or the fore and aft positioning of the seat on an upright type bicycle. Each of the described mechanisms can be configured to provide users with an optimum seat position and with a convenient latch mechanism to adjust the position of the seat.
US08021276B2 Climber appliance
An exercise apparatus to simulate climbing is described that includes such features as arm handles that move in synchronism with the motion of foot pedals to provide a total body workout; side handrails; a mounting step; linear foot movement at a simulated climbing angle; a three point support structure using a vertical support column; pedal track covers; a mechanism to provide constant resistance to pedal motion; and pedal impact absorption.
US08021272B2 Collapsible play structures
A collapsible play structure has a coiled wire supporting a covering which is attached to the wire to define an interior tunnel-like passageway. A number of features are disclosed for addition to the structure, including one or more openings provided in the covering, a basketball goal assembly attached to one end of the coiled wire and covering, tie members for varying the length of the passageway, a helical track provided about the covering, a plush toy attached to the covering, and electronic devices attached to the covering.
US08021269B2 System and method for manipulating portable equipment using foot motion
Provided is a system and method for manipulating portable equipment using a foot motion. The system includes a foot motion sense module for sensing a user's foot motion, and collecting sensor data based on the user's foot motion, and the portable equipment for receiving the sensor data based on the user's foot motion, recognizing the foot motion, and performing a portable equipment function in response to the foot motion recognition result.
US08021268B2 Vehicular control apparatus and control method
The invention provides a control apparatus and a control method for a vehicle provided with an automatic transmission which has an automatic shift mode in which a gear is selected according to a running state and a manual shift mode in which a gear is selected according to a manual operation, and which transmits driving force generated by an internal combustion engine. The control apparatus and control method determine whether the vehicle is in a high load state; determine whether engine braking force from the internal combustion engine is required; calculate differential braking force which is the difference between engine braking force generated when running in a gear selected according to the manual operation and engine braking force generated when running in a gear selected according to the running state; and control the automatic transmission to execute an upshift and perform control to generate the calculated differential braking force when it is determined that i) the vehicle is in the high load state and ii) the engine braking force is required, while the automatic transmission is in the manual shift mode.
US08021267B2 Coupling assembly
A coupling assembly for transmitting torque between a first body and a second body is disclosed herein. The coupling assembly includes a diaphragm plate extending radially between a radially inner periphery fixed for rotation with the first body. The diaphragm plate extends to a radially outer periphery and is operable to elastically deform in response to changes in the axial positions of the first and second bodies relative to one another. The coupling assembly also includes a socket assembly engaged with the radially outer periphery of the first diaphragm plate for concurrent axial movement in response to changes in the axial positions of the first and second bodies relative to one another. The socket assembly is also operable to transmit torque between the second body and the diaphragm plate. The socket assembly includes a radially-accommodating structure operable to at least partially move in response to changes in an outer profile of the second body. The diaphragm plate and the socket assembly are engaged such that the socket assembly does not impart loading on the diaphragm plate in response to changes in the outer profile of the second body.
US08021266B2 Multi-speed transmission
A transmission is provided having an input member, an output member, four planetary gear sets, a plurality of coupling members and a plurality of torque transmitting mechanisms. Each of the planetary gear sets includes first, second and third members. The torque transmitting mechanisms include clutches and a brake. The torque transmitting mechanisms are each selectively engageable to interconnect at least one of the first, second, and third members of the planetary gear sets with at least another one of the first members, second members, third members of the planetary gear sets, and the stationary element. The torque transmitting mechanisms are selectively engageable in combinations of at least two to establish at least eight forward speed ratios and at least one reverse speed ratio between the input member and the output member.
US08021263B2 Multi-speed transmission having three planetary gear sets
A transmission is provided having an input member, an output member, three planetary gear sets, a plurality of coupling members and a plurality of torque transmitting devices. Each of the planetary gear sets includes first, second and third members. The torque transmitting devices include clutches and brakes.
US08021261B2 Differential limiting apparatus
A differential limiting apparatus includes a housing 2 rotated by driving force of a driving source, a differential mechanism 3 having a pair of output gears 3A, 3B to distribute the driving force to a pair of output shafts differentially, a clutch 4 disposed directly between the pair of output gears 3A, 3B and having the inner clutch plate 4A and an outer clutch plate 4B as an annular frictional plate to restrict a differential movement of the differential mechanism 3, and an output mechanism 5 driving the clutch 4. The output mechanism 5 includes a first cam 52C moved along an axial direction, and a pushing pin 35 as a transmitting member transmitting the axial movement of said first cam 52C to the clutch 4.
US08021259B2 Differential assembly with oil deflector shield
An axle assembly that includes a housing assembly, a lubricant and a differential assembly. The housing assembly defines a differential cavity with a lubricant sump into which the liquid lubricant is received. The differential assembly is mounted in the differential cavity for rotation about a rotational axis and includes a differential case, a ring gear, a gear set and an oil shield. The differential case has a body, which defines a gear cavity into which the gear set is received, and a flange that is coupled to the body and includes a flange face. The ring gear is coupled to the flange. The oil shield is coupled for rotation to the differential case and covers a least a radially outward portion of the circumferentially discontinuous features so that they do not directly contact the liquid lubricant in the lubricant sump when the differential assembly rotates about the rotational axis.
US08021258B2 Axle assembly having mechanism for circulating lubricating fluid to wheel ends
An axle assembly with an axle shaft, an annular disc and a pair of change members. The axle shaft includes an unthreaded body portion having a first diameter. The annular disc is mounted on the body portion and has a central aperture through which the unthreaded body portion is received. The central aperture has a second diameter that is larger than the first diameter. Rotation of the axle shaft causes the annular disc to translate on the unthreaded body portion between the change members and wherein a direction in which the annular disc translates is changed through contact between the annular disc and the change members.
US08021255B2 Silent chain power transmission device
The present invention is directed to decreasing noise from the onset of engagement with the sprocket teeth till seating thereon and also to reducing wear to the silent chain and the sprocket. A face (a) of a sprocket tooth, which contacts the chain at the onset of engagement, has a tooth profile that is an envelope (1) of trajectories described by the inner flank surface (23) of the link plate (2) when the chain moves linearly toward the sprocket (S) and engages with the sprocket (S) without causing a chordal action. A crest (b) of the sprocket tooth, which contacts the chain (S) at the time of seating on the sprocket tooth, has a tooth profile that is an envelope (m) of trajectories described by the crotch (25) of the link plate (2) when the link plate (2) rotates around the connecting pin during the wrapping motion of the chain around the sprocket (S). A connecting surface (c) that connects the face (a) of the sprocket tooth with the crest (b) is formed of a curved surface which is inscribed in the envelope (1) of the trajectories during the linear motion of the link plate and the envelope (m) of the trajectories during the rotational motion of the link plate.
US08021254B2 Variable leakdown chain drive tensioner
A variable leakdown chain drive tensioner system includes a chain drive tensioner in operable communication with a chain. The chain drive tensioner includes a tensioner body with at least one leakage hole defining a first leakdown path and a tensioner piston assembly in operable engagement with the tensioner body. As the chain wears, the tensioner piston assembly is moveable from a first position, allowing flow through the first leakdown path, to at least a second position, substantially blocking flow through the first leakdown path. The tensioner body may also include a second leakage hole defining a second leakdown path and the tensioner piston may also have a third position. The first position would allow flow through the first and second leakdown paths, the second position would allow flow through only the second leakdown path, and the third position would substantially block flow through both the first and second leakdown paths.
US08021253B2 One-way isolator for high torque devices
A one way isolator for high torque devices, such as alternator-starters, driven by a flexible drive means includes a hub and a sheave each of which includes at least one stop member. The hub and sheave are linked by a isolating spring and, via a bearing and/or bushing, can rotate with respect to each other to provide isolation, through the spring, from torque variations when torque is transferred from the flexible drive means to the device. When substantial amounts of torque are transferred from the device to the flexible drive means, the sheave rotates with respect to the hub to bring the stop members into contact such that the isolator then acts like a solid pulley to facilitate the transfer of the torque from the device.
US08021251B1 Hunting arrow point
A hunting arrow point having a ferrule having a forward nose, a rearward shank, and a body, the body having a plurality of radially outwardly opening apertures; the point further having blades, each blade having a proximal end, a distal end, a forward end, and a rearward end, each proximal end having an eye, each blade's forward end having a sharpened edge, each blade being positioned so that its eye aligns over one of the ferrule's apertures; the point receiving screws, each screw extending through one of the blade's eyes and engaging one of the ferrule's apertures; and the point presenting a plurality of pivot stops, each pivot stop being fixedly attached to or formed wholly with the ferrule, each pivot stop being positioned for biasing against one of the blades upon application of a rearwardly directed force to the blade.
US08021250B2 Lacrosse head having a ball stop
A lacrosse head includes a ball stop having a bottom and side regions that transition at transition regions to head sidewalls, which are joined with a scoop of the head. The ball stop can include a longitudinal axis that extends toward the scoop. The transition regions can be located on a horizontal axis generally perpendicular to the longitudinal axis. At a distance less than or equal to 1.25 inches from the bottom along the longitudinal axis, opposing side regions and/or sidewalls are separated by a minimum width of 3 inches from the bottom. This construction provides a generally v-shaped ball stop that complies with proposed 2010 NCAA Lacrosse Rules regarding the dimensional requirements for a lacrosse head, while providing improved ball retention within the lacrosse head.
US08021248B2 Multilayer core golf ball having hardness gradient within and between each core layer
The present invention is directed to an improved multi-layered core golf ball wherein each core layer comprises its own specific hardness gradient (positive, negative or a combination) in addition to an overall specific hardness gradient from one core layer to the next. In one embodiment, the golf ball comprises a two layer core and a cover disposed about the two layer core. The inner core layer has a plurality of hardnesses of from about 50 Shore C to about 80 Shore C and a first hardness greater than a second hardness to define a negative hardness gradient of about 20 Shore C or less. The outer core layer has a plurality of hardnesses of from about 45 Shore C to about 65 Shore C, a fourth hardness greater than a third hardness, and a fifth hardness less than the third hardness and the fourth hardness. Finally, the fourth hardness is less than the first hardness to define a two layer core having a negative hardness gradient of no greater than about 20 Shore C.
US08021242B1 Golf swing training device and method of use
The golf swing training device is used by golfers to gauge golf ball targeting and total body alignment. The golf swing training device comprises a device for teaching golfers seven golf swing fundamentals, including correct body alignment and ball targeting towards their desired target. The trainer has two tracks for alignment. These two tracks have graphical markings to assist the user in gauging the appropriate width of stance, ball position and shoulder alignment at address. The two tracks also form parallel targeting lines extending from the base of the trainer out to the desired target. First and second side pieces wrap around the user to form a trapezoidal frame. The side pieces are graphically marked to assist the user with hip, waist and leg alignment.
US08021238B2 Mirror image display method
The mirror image display method is used to suspend the main subject of a decorative or presentation display in mid air. This is accomplished by affixing the flat side of a symmetrically divided main subject flush onto a true first-surface mirror, which makes up one wall of the display body and eliminates the subjects visible seam line.
US08021235B2 Rolling element shaft assembly
A shaft assembly includes a first shaft member and a second shaft member telescopically engaged with the first shaft member. A roller carrier supporting a plurality of rollers is disposed between the first and second shaft members. The first shaft member defines a first slot extending along and angled relative to a longitudinal axis. The second shaft member defines a second slot extending along and angled relative to the first slot and the longitudinal axis. The roller carrier defines a carrier slot extending transverse to the longitudinal axis. A pin extends through the first slot, the second slot and the carrier slot and moves the roller carrier at a slower speed than the relative movement speed between the first shaft member and the second shaft member during telescoping movement. A wedge is disposed between the first shaft member and a portion of the rollers to de-lash the shaft assembly.
US08021233B2 Wrap around coupling
A coupling for joining rotatable shafts including a pair of mating hubs having projecting pins, which hubs are held together via a free-floating wrap around restraint. The coupling has first and second circular hubs, wherein each circular hub has and a tool for fixing a shaft within each bore. Several coupling pins extend outwardly from each hub such that the coupling pins of the hubs are facing and longitudinally aligned with each other to define mating pairs of coupling pins. The coupling has a flexible annular restraint having split ends and semi-cylindrical concavities through the restraint. The restraint is wrapped around the mating pairs of coupling pins such that the split ends align and one mating pair of coupling pins are positioned within each of the semi-cylindrical concavities. A cincture is then fastened around the outer surface of the restraint.
US08021230B2 Gaming system having multiple gaming machines which provide bonus awards
A gaming system including a central server linked to a plurality of gaming machines, gaming tables, hand held gaming devices and/or community gaming displays. The central server monitors wagers placed on any games played. Based at least in part on the wagers placed, the central server determines when one or more bonus events will occur and which players to provide any bonus awards.
US08021229B2 Method and apparatus for employing flat rate play
Systems and methods are provided allowing a player to play a gaming device and receive a predetermined number of outcomes in exchange for a payment. The gaming device generates at least the predetermined number of outcomes, and adjusts a balance of the player device based on the outcomes. The player can continue playing regardless of whether the balance is less than zero.
US08021227B2 Gaming system and method having configurable bonus game triggering outcomes
A gaming system and method which provides for one or more gaming device triggered bonus games to be skipped or otherwise not provided to the players at the gaming devices which triggered such bonus games. The average expected payouts of skipped gaming device triggered bonus games are contributed to a bonus accumulation pool or fund. The accumulation pool is subsequently utilized to provide one or more gaming system triggered bonuses to one or more players at one or more of the gaming devices in the gaming system.
US08021226B2 Gaming system and method for providing designated symbol display areas that modify awards
Gaming devices and methods of operating gaming devices are provided that include indicator or designator symbols. When an indicator or designator symbol is generated and displayed, the indicator or designator symbol indicates at least one symbol display area as a modification symbol display area. Upon at least one subsequent generation of symbols displayed in the symbol display areas, awards associated with symbols displayed in the modification symbol display areas are modified.
US08021224B2 Slot machine game having a plurality of ways for a user to obtain enhanced payouts based on consecutive winning combinations
A slot machine that provides enhanced awards for consecutive spins with winning symbol combinations of any type (“Consecutive Wins”). Enhanced may be defined as any award in addition to the award indicated by the game's base level award schedule. Enhanced awards may include: any type of award (e.g., credits or free spins); any amount of award (e.g., ten times the base level award or five free spins); any combination of awards (e.g., credits and a free spin); and any schedule of enhancement (e.g., five times the base level credits for the first three consecutive wins and ten times the base level credits for any additional consecutive wins). Following any loss, the game returns to the base level award schedule.
US08021221B2 System and method for conducting programming competitions using aliases
This invention relates to a method and apparatus for providing a coding competition. In one embodiment, a method for providing a coding competition includes transmitting a coding problem to contestants, receiving computer code created by a contestant, determining a response of the computer code to test data, and evaluating the response of the computer code to the test data. In another embodiment, a method for evaluating a skill level of a contestant includes electronically communicating a coding problem to contestants, electronically receiving a software program in response to the coding problem from one of the contestants, evaluating the received software program, awarding points to the contestant based on the received software program, and determining a rating for the contestant for the competition based on the number of points awarded to the contestant.
US08021220B2 Shooting game apparatus, storage medium storing a shooting game program, and target control method
A shooting game apparatus includes an LCD for displaying a target and an operating button for a player to perform an operation for shooting the target. For example, an enemy character is switched between an ineffective state in which it is never damaged even if an attack hits it, and an effective state in which it is damaged if an attack hits it. Different images are displayed depending on whether the target is in the ineffective state or in the effective state. In a case where the target is in the effective state, when a player character enters into a state of being continuously damaged because it is positioned an area where it receives continuous damage, for example, the target is switched into the ineffective state.
US08021219B2 Top cover for axial rotary combine having coned transition
A threshing and separating mechanism for a combine includes an elongated rotor mounted for rotation about a rotor axis on the combine within a rotor housing. The rotor has a threshing portion and a separating portion. The housing has a threshing section and a separating section corresponding to the threshing portion and the separating portion. The housing surrounds the rotor and is spaced from the rotor to form an annular space between the rotor and the housing for crop material to flow through in an axial crop flow direction from an inlet end of the housing to an outlet end of the housing. The housing includes a smooth transition section between the threshing section and the separating section of the housing.
US08021216B1 Field dressing knife
A field dressing knife suitable for all aspects of field dressing small and large game is disclosed. Various novel aspects of the knife include: a large butt-end of the handle and a groove near the butt-end of the handle, both to spread the normal stress over a larger surface area when striking the butt-end of the handle with a free hand for splitting pelvis and brisket bones; and a configurable handle, permitting the double-edged field dressing knife to be used in knife mode or in a hatchet mode.
US08021215B2 Carrier head with retaining ring and carrier ring
A carrier head that has a housing, a base assembly, a retaining ring, a carrier ring, and a flexible membrane is described. The base assembly is vertically movable relative to the housing. The retaining ring is connected to and vertically movable relative to the base assembly and has a lower surface configured to contact a polishing pad and an inner surface configured to circumferentially surround the edge of a substrate to retain the substrate. The carrier ring is connected to and vertically fixed relative to the base assembly, circumferentially surrounds the retaining ring to prevent lateral motion of the retaining ring, and has a bottom surface configured to contact a polishing pad.
US08021214B2 Hand-held power tool with locking nut
A hand-held power tool has a drive spindle (2) rotatable about a rotational axis (A) and provided at its free end with a clamping flange (3) and a locking thread (4), a locking nut (7) tightenable on the locking thread (4) for securing a disc-shaped tool (5) between the clamping flange (3) of the drive spindle (2) and its clamping surface (6), and a safety spring (9) located between the disc-shaped tool (5) and an inner recess (8) formed in the clamping surface (6) of the locking nut (7), radially preloaded against the locking thread, and having at least one radial, outwardly projecting nose (10), with the disc-shaped tool (5) having at least one, opening radially inwardly and axially extending groove (11) associated with the at least one nose (10).
US08021209B1 Novelty sports equipment with adjustable impact surface
A toy assembly that has an impact surface made from an array of taut strings. The hardness of the impact surface is a function of the tension present in the strings. The toy assembly has two plates that are spaced apart. The two plates are held apart by a post. One or more of the plates may be selectively adjustable in position along the post. A plurality of strings extends between the first plate and the second plate. Each of the strings is maintained in tension to create a resilient impact surface. The strings are maintained in tension by the use of spring clips and/or by adjusting the distance between the two plates.
US08021206B2 Fluorescent lamp, method of manufacturing the same, and backlight unit having the same
A fluorescent lamp that can be easily manufactured to provide high brightness and high efficiency, a method of manufacturing the same, and a backlight unit having the same are provided. First and second non-emissive glass tube are joined to an emissive glass tube coated with a phosphor, and first and second electrodes are formed on outer surfaces of the first and second non-emissive glass tubes. The first and second non-emissive tubes may have the same diameter as the emissive glass tube. The first and second non-emissive glass tubes are simply joined to the emissive glass tube, thereby reducing the manufacturing process and cost. The first and second non-emissive glass tubes are formed to be thinner than the emissive glass tube, thereby enhancing the brightness and efficiency of the fluorescent lamp.
US08021203B2 Electroluminescent element
A method for producing an EL element for realizing high luminous efficiency, high light takeout efficiency, simplicity of the production process, and the formation of highly fine patterns. In order to achieve the above-mentioned object, the method for producing an EL element uses at least one organic EL layer constituting the EL element patterned by the use of a photolithography method.
US08021195B2 Electrical connector with improved contact arrangement
An electrical connector (100) includes an insulative housing (10) which includes a first housing (11) and a second housing (12) engaging with each other, a number of first contacts (2) insert molded in the first housing (11), and a number of second contacts (3) retained in the second housing (12). The first housing (11) has a body portion (13) and a tongue (14) extending from the body portion (13). Each first contact (2) has a first contact portion (22) extending to the tongue (14) and a first soldering portion (23) extending out of the first housing (11). Each second contact (3) has a second securing portion (31) retained on the second housing (12), a second contact portion (32) extending to the tongue (14) behind the first contact portions (22), and a second soldering portion (33) extending out of the second housing (12).
US08021194B2 Controlled impedance display adapter
A display adapter for a digital connector and an analog connector. The display adapter includes a PCB (printed circuit board). A first connector and a second connector are both mounted on the PCB. The first connector and second connectors can be VGA, DVI-I, DVI-D, or HDMI format. The PCB is configured to communicatively couple video signals between the first connector having one format and the second connector having a different format.
US08021190B2 Battery cable with provisions for integral circuit protection
A battery cable circuit protection device and circuit for preventing fluctuations in voltage from a supply battery is provided. The device includes a terminal base having a battery cable lug member adapted for mounting to and making an electrical connection with a battery post of the battery. A battery cable mounting structure is fixedly connected to the terminal base for detachably connecting the terminal base to a battery cable. The battery cable supplies a vehicle starter motor with electrical energy. A first fuse mount supported by the terminal base provides electrical interconnection to the battery post. A second fuse mount supported by the terminal base provides electrical energy to vehicle electrical systems other than the vehicle starter motor. The first and second fuse mounts are adapted for detachable a connection to a fuse which provides electrical interconnection between the first and second fuse mounts.
US08021189B2 Ultraviolet lamp for use in water purifiers
A lamp base is provided having terminal pins mounted on and extending outwardly along a longitudinal axis of the lamp base. At least one of the pins may be a female pin connector. The female pin connector may be provided within a sheath that extends from an end of the lamp base along a longitudinal axis of the lamp base.
US08021188B1 Electrical connector
An electrical connector includes an insulating housing, a terminal pack module, at least one propping element and a shielding shell. A front of the insulating housing defines a receiving space. At least one fastening groove is opened in an outer periphery of the insulating housing. A bottom of the fastening groove defines a fastening hole. A plurality of terminals is received in the insulating housing. The propping element is received in the corresponding fastening groove and has a base portion. An elastic portion is extended frontward from the base portion, and a contacting portion is protruded inward from a free end of the elastic portion for being inserted into the fastening hole to be exposed to the receiving space. A shielding shell encloses the insulating housing and the propping element. The shielding shell has at least one mouth corresponding to the fastening hole and the contacting portion.
US08021174B1 Cord securing cover for an electrical outlet
An outlet cover for an electrical outlet which prevents unintentional disconnection of an electrical plug of an electrical cord from the electrical outlet. The outlet cover includes a plate having at least one opening sized and positioned to receive the electrical plug and a lid hingedly attached to the plate so that the lid can be moved between an open position that allows access to the electrical outlet and a closed position wherein the electrical outlet is covered by the lid. The lid includes a base having an outward facing surface, a prong having a proximal portion connected to, and extending outwardly from, the base, and a distal portion that is spaced from the base by the proximal portion, and at least one bump extending outwardly from the outward facing surface of the base. The electrical cord is positioned between the prong and the base, and also between the prong and the at least one bump such that the electrical cord engages the prong and the at least one bump. Accordingly, the prong and the at least one bump cooperate together to secure the electrical cord such that the electrical plug is not unintentionally disconnected from an electrical outlet when tension forces are applied to the electrical cord.
US08021173B1 Socket cover with a passage with a middle portion smaller than the end portions
A socket cover includes a body and a base. The base includes a passage with a middle portion and two terminals. The width of the middle portion of the passage is smaller than the width of the two terminals of the passage. The body includes a pin, extruded in a direction parallel with an axis and pivotable about the axis relatively to the base. The pin of the body passes through and slides in the passage. When the pin is abutted against one of the terminals of the passage, the pin lodges in the terminal of the passage, so as to fix the body on the base.
US08021171B2 Connection module for switching device and connection rail
The invention relates to a connection module, particularly for use between a switching device and a busbar adapter, having a base plate, comprising a plurality of contacts disposed parallel to each other and parallel to a longitudinal axis of the base plate, wherein the contacts have contact ends configured as input and output sections, which are positioned substantially in the same plane, wherein the contact ends forming the input sections are disposed on one side of the base plate and the contact ends forming the output sections are disposed on the opposite side of the base plate, wherein the contact ends defining the output sections extend vertically to the base plate, and are configured as plug-in contacts, and wherein the contacts are guided through slots in the base plate, and the contact ends representing the input sections are provided parallel to each other and are aligned in a contact opening arrangement of the busbar adapter, which is configured in a mirror-symmetrical manner to a transverse axis.
US08021168B2 Contact spring
A contact spring having a free contact end for producing an electrical contact between the free contact end and a contact surface, the contact spring formed from N contact spring metal sheets and N−1 spacer elements. The contact spring metal sheets are fixed in a clamping region with a spacer element between two adjacent contact spring metal sheets, are at a distance from each other in a spring region around the thickness of the spacer elements, extend parallel to each other up to the free contact end in a freely elastic manner, and end in a common plane on the free contact end. In the spring region, the contact spring metal sheets have at least one bend with a pre-determined angle between the longitudinal axis of the contact spring metal sheets before the bend and the longitudinal axis of the contact spring metal sheets after the bend.
US08021148B2 Intraoral light-emitting device
The present invention relates to methods, systems, kits and devices that emit light to the oral cavity. The device includes a light source; a power source in electrical communication with the light source; and a bite actuated switch in electrical communication with the power source and the light source. The device is a size or shape that fits within an oral cavity of an individual. Since the device along with the light and power source are self contained, the user have their hands-free and can perform other activities while using the device. The device, methods, systems, and kits, further include the use of an agent (e.g., antibacterial agents, tooth whitening agents, cleaning agents) that assists or enhances the efficacy of the light therapy.
US08021147B2 Method and system for comprehensive evaluation of orthodontic care using unified workstation
A method and system for orthodontic treatment planning, evaluation and quality measurement is provided comprising a workstation having computing platform, a graphical user interface, a processor and a computer storage medium containing digitized records pertaining to a patient. The digitized records include image and other types of data. The computer storage medium further includes a set of software instructions providing graphical user interface tools for providing a user with access to the digitized records for planning orthodontic treatment of a patient. Also provided are reference databases for aiding in the decision process during treatment selection, treatment planning and treatment delivery and progress monitoring and evaluation. Also provided are parameter or criteria measurement techniques and generally acceptable thresholds, which can be updated through learning process and through acquisition of patient data. Once the treatment is planned, the virtual dentition model of the patient in the proposed treatment set-up or the target state is evaluated using several virtual model evaluation features and criteria.
US08021145B2 Gas burners
A gas burner comprising a gas supply element having an outlet, and a gas deflector disposed adjacent the outlet, the position of the deflector, relative to the outlet, being adjustable so as to allow the extent of deflector-induced aeration to be altered.
US08021144B2 Catalytic combustor and method thereof
A catalytic combustor (1) is provided for combustion of gaseous and liquid fuels, which combustor comprises a housing (2) having an inlet (3) and an outlet (4) through which an airflow is directed, and a fuel injector (10) for injecting fuel in the airflow. The combustor also comprises at least one catalytic element (12, 14, 15) for combusting the mixture of air and fuel. A fuel-evaporating device (7) is arranged for evaporating a liquid fuel, which device is heated by the catalytic element (12), either through combustion therein or by means of an electrical heating element (13) arranged adjacent thereto.
US08021143B2 Furnace
A gas fired furnace capable of operating with a 16:1 turndown ratio or greater. The furnace includes a plurality of burners (10) grouped into at least (14a) first and second (14b) groups, each group connected to a source of combustible gas through a control valve (30a, 30b, 30c). The control valve (30c) controlling at least one group of burners is of a modulating type having an output proportional to a control signal applied to the valve. The burners fire into associated heat exchange tubes (20a), each tube having an inlet (24) and an outlet. The tube outlets are connected to a collector chamber (44) that includes a baffle plate (60) that divides the collector into two sections, one of the sections communicating with the outlets of the tubes associated with the first group of burners, the other section communicating with the outlets of the heat exchanger tubes associated with the other group of burners.
US08021141B2 Imprint apparatus
An imprint apparatus has a head unit with a fine structure. The head unit includes a fine imprint pattern layer including fine concavities and convexities, a resin layer on a face of the fine imprint pattern layer opposite to a face where the concavities and convexities are formed, a first pressurizing base member on a face of the resin layer opposite to a face contacting the fine imprint pattern layer, and a second pressurizing base member on a face of the first pressurizing base member opposite to a face contacting the resin layer. The resin layer has a modulus of elasticity smaller than that of the fine imprint pattern layer, and the first pressurizing base member has a modulus of elasticity smaller than that of the resin layer. A light source or a heat source may be further provided. The head unit may be light permeable. A replacement layer may be further provided for replacement.
US08021140B2 Linear bearing assembly to guide movement of roll stand on apparatus for forming an extruded sheet product
An apparatus for forming an extruded sheet product having an extruder assembly with a sheet die through which flowable material is delivered for sheet product formation and a roll stand assembly with a main frame and a roll stack sub-assembly on the main frame that cause flowable material from the sheet die to be formed into a sheet product. The apparatus further has a sub-frame assembly and a linear slide bearing assembly with at least one rail defining a travel path for the main frame and through which the main frame is guided in movement relative to the sub-frame assembly, thereby to selectively vary relative positions of the sheet die and roll stand assembly along the travel path.
US08021139B2 Three-dimensional object forming apparatus and method for forming three dimensional object
A three-dimensional object forming apparatus forms a three-dimensional object by binding powder particles with a curable liquid. The apparatus includes a powder layer forming unit that spreads a powder to a uniform thickness to form a powder layer. A curable liquid ejection head ejects the curable liquid to the powder layer through an ejection nozzle. A sectional data producing device produces sectional data at each of a plurality of cross sections of a desired three-dimensional object according to shape data of the desired three-dimensional object. A cross-sectional member forming section is also included by which cross-sectional members are formed by ejecting the curable liquid to the powder layer from the curable liquid ejection head according to the sectional data and thus binding the powder layer. The cross-sectional member forming section includes a permeation rate controller controlling the permeation rate of the curable liquid to the powder layer.
US08021136B2 Annular venting of tire tread molds
The present invention differs fundamentally from prior art because it provides for machining a substantial number of relatively thin annular tooling plates (10), fitting them together side-by-side in two opposed mold sides, and engraving the tread pattern into the interior face of the assembled plates in two or more complementary mold parts to form a unique tire mold (12). In one form of the disclosed construction two halves are built up and internally engraved to form a two-piece clamshell mold. This building up of annular plates (10) applies also to the construction of segmented molds, wherein there are multiple complementary mold sections which are joined to provide a complete circumferential mold. Thus, the invention applies to both two-piece clamshell molds and segmented molds. The invention encompasses an all engraved mold and all venting (22) in the circumferential direction.
US08021130B2 Apparatus and method for handling fluids at nano-scale rates
Apparatus and Method for Handling Fluids at Nano-Scale Rates. A linear displacement pump produces non-pulsatile liquid flow rates as low as the nl/mm range. The pump includes a servo motor, a gear reduction, a lead screw, a linear stage, a barrel, and a plunger extending into the barrel and coupled to the stage. A microfluidic interconnect device can be coupled to the barrel. One or more of these pumps can be disposed in a thermally controlled pump assembly that includes a pump housing, a thermally conductive body disposed in the housing and including first and second opposing sides, and a temperature regulating element such as a thermoelectric device disposed in thermal contact with the thermally conductive body on a side thereof opposite to the barrel or barrels of the pumps.
US08021129B2 Hydraulically actuated submersible pump
A submersible pumping system comprises a piston that is axially moveable relative to a body between an extended position and a retracted position. A pump valve is coupled to the body and in fluid communication with a supply of operating fluid. The pump valve has a first position, where the pump valve supplies operating fluid so as to move the piston to the extended position, and a second position, where the pump valve supplies operating fluid so as to move the piston to the retracted position. The pumping system also comprises an upper stop that is coupled to the pump valve so that the pump valve is moved to the first position when the upper stop is engaged by the piston in the retracted position. The pumping system also comprises a lower stop that is coupled to the pump valve so that the pump valve is moved to the second position when the lower stop is engaged by the piston in the extended position.
US08021127B2 System and method for cooling a compressor motor
Apparatus and methods are provided for cooling motors used to drive gas and air compressors. In particular, the cooling of hermetic and semi-hermetic motors is accomplished by a gas sweep using a gas source located in the low-pressure side of a gas compression circuit. The gas sweep is provided by the creation of a pressure reduction at the compressor inlet sufficient to draw uncompressed gas through a motor housing, across the motor, and out of the housing for return to the suction assembly. The pressure reduction is created by structure in the suction assembly, such as a nozzle and gap assembly, or alternatively a venturi, located upstream of the compressor inlet. Additional motor cooling can be provided by circulating liquid or another cooling fluid through a cooling jacket in the motor housing portion adjacent the motor.
US08021122B2 Inflation control apparatus for an inflatable object with two chambers
Apparatus for maintaining differential internal fluid pressure in a dual fluid chamber object where it is desired for one chamber to have greater internal pressure than the other includes a sensor for generating a differential signal indicating the difference in pressure between the chambers, a pressure sensor for generating a pressure signal indicating the pressure inside the chamber desired to have less pressure, a comparator for generating a first output when the differential signal is less than a predetermined minimum differential value, a second comparator for generating a second output when the pressure signal is less than a predetermined minimum pressure value, where the predetermined minimum pressure value biased by the first output, and blowers coupled to the respective chambers and responsive to said first and second outputs respectively.
US08021121B2 Wind turbine, a method for establishing at least one aperture in the spinner of the hub of a wind turbine rotor and use of a wind turbine
A wind turbine includes a rotor having a hub from which a number of wind turbine blades extend, and a spinner mounted on the hub, wherein the spinner includes one or more apertures. The wind turbine is characterized in that it includes one or more aperture covers for entirely or partly covering one or more of the apertures in the spinner and in that the wind turbine further includes one or more aperture adjustment mechanisms for adjusting the effective size of one or more of the apertures, by displacement of one or more of the aperture covers. A method for establishing at least one aperture in the spinner on the hub of a wind turbine rotor and use of a wind turbine are also contemplated.
US08021119B2 Locking plate fast fastening ceiling fan blades
A locking plate fast fastening ceiling fan blades includes a clamp plate. A chute is formed on the clamp plate, where a pushing board is contained. The clamp plate in the chute is provided with a first wedge unit and a thru hole. Thus, when a set of blades is installed on a blade rack of a ceiling fan, only the blade is set around several pillars on the blade rack, the clamp plate of fixing plate is set to locate on the pillar, and a pillar is made to pass through the thru hole. The pushing board of fixing plate is shifted toward the pillar, making the front end of pushing board stay close to the side of pillar and the first wedge unit of clamp plate stay close to the terminal of pushing board for fast and exact completion of fixing of the blade onto the blade rack.
US08021117B2 Impeller for supercharger and method of manufacturing the same
An impeller for a supercharger cast in molds to provide excellent aerodynamic performance by eliminating parting-line corresponding parts from a hub surface and vane surfaces in each space formed of a pair of long vanes adjacent to each other an a method of manufacturing the impeller. The method comprises a step for casting the impeller in the molds. Molten metal is poured in spaces formed by radially arranging, toward a center axis, the plurality of slide molds each having a short vane-shaped bottomed groove part and a shape for the space between the pair of long vanes adjacent to each other to mold the impeller. Then, the slide molds are moved in the radial direction of the center axis while rotating for mold-releasing. Thus, the impeller for the supercharger having no parting-line corresponding parts on both the hub surface and the vane surfaces in each space formed of the pair of long vanes adjacent to each other can be provided.
US08021114B2 Self-adjusting wind turbine generator blade
A self-adjusting blade for wind turbine generator or windmill will change width of blades according to wind speed to optimize efficiency. The windmill comprises a brace, rib tubes, cylinders, cylinder holders, hose holders, non-movable shells, and movable shells. The movable shells and rib tubes are organized like extendable antennas of portable radios. The movable shells are moved and held by cylinders. There are sets of hose holder for hoses and wires for cylinders. A micro-controller controls cylinders to move the movable shells according wind speed.
US08021112B2 Methods and systems for monitoring operation of a wind turbine
A method for monitoring wear of a blade pitch brake within a rotor blade pitch control system of a wind turbine is described. The rotor blade pitch control system includes a blade pitch actuator. The method includes engaging the blade pitch brake and measuring a blade pitch displacement while the blade pitch brake is engaged. The method further includes determining a brake wear level based on the measured blade pitch displacement while the blade pitch brake is engaged, and generating a brake wear level output signal corresponding to the brake wear level.
US08021108B2 Exhaust fan
An exhaust fan includes a fan frame, a fan, and a shielding plate. The fan frame defines a hollow shell, the hollow shell defines an air flow passage with an air inlet and an air outlet. The fan is received in the hollow shell, the fan includes a hub and a plurality of fan blades extending outward in a radial direction from circumference of the hub. The shielding plate is disposed at the air inlet side of the hub and covers an end surface of the hub facing the air inlet side. The shielding plate is separated from the hub.
US08021107B2 Variable-nozzle assembly for a turbocharger
A variable-nozzle assembly comprises a nozzle ring and an array of vanes circumferentially spaced about the nozzle ring and rotatably mounted to the nozzle ring such that the vanes are variable in setting angle, and an insert having a tubular portion and an annular nozzle portion extending generally radially out from one end of the tubular portion. A plurality of axially extending holes extend through a thickness of the nozzle portion. A plurality of spacers have first ends joined to the nozzle ring, opposite second ends of the spacers being engaged in the holes and secured to the nozzle portion by welds formed at the second surface. An annular groove is defined in the second surface of the nozzle portion radially inward of and proximate to the holes. Alternatively or additionally, discrete recesses are formed in the second surface adjacent the holes. The groove and/or recesses facilitate weld penetration.
US08021103B2 Pressure activated flow path seal for a steam turbine
A pressure activated flow path seal for a steam turbine is disclosed. In one embodiment a gap closure component is located about a rotary component and the stationary component of the steam turbine. A pressure differential activates the gap closure component to seal or reduce the radial clearance of a steam leakage path between the rotary component and the stationary component.
US08021096B2 Truck bed
A truck bed is disclosed which is movably mounted on the frame of a truck so as to be selectively movable from a transport position on the truck frame to a loading/unloading position rearwardly of the truck.
US08021095B2 Apparatus for removal of packaging material from a big bale
An apparatus (3, 103) for removal of packaging (11) from a big bale (1), the apparatus (3) comprising: at least one gripper (41, 141) arranged to hold on to a part of the packaging (11); at least one cutting means (31, 131) arranged to make at least a first cut through the packaging (11) at the binding material-covered face and substantially transversely of the longitudinal extent of the binding material, and optionally make further cuts through the packaging, preferably in the faces adjacent to the first cut, in order to create an opening in the packaging (11) enclosing the big bale (1); and at least one supporting means (23, 123), the at least one supporting means (23, 123) and/or the gripper (41, 141) being arranged to effect relative movement between the big bale (1) and the at least one gripper (41, 141), whereby the packaging (11) is separated from the contents (1a) of the big bale.
US08021094B2 Work handling mechanism and work inspection system
In the present invention, through a provision of a relay stand including a first relaying point, a second relaying point and a plurality of work mounting bases, a discharge/feed process of works between the relay stand and the work feed container and the work accommodation container is performed at the first relaying point and a load/unload process of works between the relay stand and the plurality of work inspection machines is performed at the second relaying point.
US08021093B2 Locking threaded fastener
The specification discloses a locking threaded fastener including a body, a coil spring, and a compression ring. The body is hex-shaped and defines a partially threaded bore, an external circumferential groove, and a passage extending radially between the bore and the groove. The coil spring is located within the bore and includes a first end angularly fixed with respect to the body and a second end extending through the passage and into the groove. The compression ring is located within the groove and defines an opening receiving the second end of the coil spring. The compression ring is free to move within the groove and includes portions that extending radially outwardly beyond the flats of the hex shape. When a conventional wrench is engaged with the hex shape, the wrench compresses the compression ring to prevent relative angular movement between the ring and the body. The “locked” compression ring thereby prevents relative angular movement between the second end of the coil spring and the body.
US08021087B2 Tool holder assembly for multifunction machine and adapter for the same
Tool holder assembly (TH) for multifunctional machining, such as drilling, boring, facing, and turning, eliminate interference between facing and turning, and secure an adequate chip groove space (10) for discharging chips therethrough without deterioration of a rigidity of the tool holder (TH), thereby securing a good quality of machining, and an exclusive adapter (TA) suitable to the foregoing tool holder (TH) assembly for multifunctional machining.
US08021081B2 Pull-style tensioner system for a top-tensioned riser
A tensioner system for a top-tensioned riser in a floating platform includes a hydro-pneumatic tensioner assembly resiliently mounted to the floating platform, and a riser support conductor surrounding the riser coaxially, wherein the support conductor conveys a pull-type tensional force from the hydro-pneumatic tensioner assembly to the riser through a riser conductor coupling assembly that engages the tensioner assembly and the riser support conductor to convey the tensional force. A riser tension joint support assembly conveys the tensional force from the riser support conductor to a riser tension joint on the riser. The tensioner assembly compensates for relative platform motion including pitch, heave, and yaw. Also a reactive load assembly is mounted to the platform and reacts to a two-point dynamic bending moment imposed on the riser support conductor, while resisting riser support conductor rotation.
US08021079B1 Compactor device for hydraulic hammer
A compactor device for attaching to a shaft of a hydraulic hammer or the like comprising a base, an outer receiving tube and inner receiving component attached to the top of the base, wherein the inner receiving component is for clamping onto the shaft of the hydraulic hammer. The compactor device is for compacting all materials, such as dirt, sand, and/or aggregate material.
US08021076B2 Runway for aircraft and roadway for vehicles and method of renewing a runway or roadway
The cover layer is applied as an asphalt cover layer (5, 5′, 5″) to avoid significant restrictions of the running traffic and for shortening the construction time. Also, this asphalt cover layer is arranged above the upper face (OK) of the runway or roadway in need of renewal and an asphalt base coarse layer (4, 4′, 4″, 4′″, 4″″, 4′″″) is disposed between the base layer (2, 2′) and the asphalt cover layer (5, 5′, 5″). A method subdivides the roadway or runway in the direction of travel into a primary lane (7) and at least one secondary lane (8, 9). First, the work subzones (10) to (14) of the primary lane (7) are processed and then the work effort is transferred to subzones (15) to (20) of the secondary lanes (8, 9) for processing. At least the frost-protective layer (1) remains in the earth and at least an asphalt base layer (4, 4′, 4″, 4′″, 4″″, 4′″″) and an asphalt cover layer (5, 5′, 5″) are applied thereon. The asphalt base layer (4, 4′) is applied to the level of the upper face (OK) for the old way and the asphalt cover layer (5, 5′, 5″) is applied above the level of the upper face (OK) of the old way.
US08021071B2 Compliant joint
A compliant joint capable of achieving passive compliance for a robot in order to prevent an injury to a human by collision with the robot. The compliant joint includes a housing, a cam member rotatably mounted in the housing, a roller spring device mounted to the cam member to be compressed and extended, a guiding member formed in the housing to guide compression and extension of the roller spring device in accordance with rotation of the cam member, and a receiving recess formed at the guiding member to engage the housing and the cam member with each other by receiving the roller spring device and release the engagement by separating from the roller spring device. Accordingly, robustness of the robot can be maintained when an impact less than a predetermined magnitude is applied, while being suddenly decreased when an impact greater than the magnitude is applied.
US08021068B2 Cosmetics container structure
A cosmetics container structure comprises an outer tube having an actuating chamber and a receiving chamber. A control assembly includes a coupling cylinder having a button opening at the center and multiple obliquely-cut pieces with sliding surfaces alternating with clearance grooves at the internal surface thereon. A button post has a first toothed-collar defining the opening edge with protrusive blocks disposed above. An actuation assembly includes a rotary tube, a spring element, and an insert seat. The rotary tube has a second toothed-collar equipped with high and low stop surfaces, and a conjoining cavity at the bottom. The insert seat has a screw-threaded bore disposed at the bottom. A push rod has a rod body defined by an external-threaded section and identically shaped like the conjoining cavity thereof. Thus, the button post can be simply pressed by single hand to squeeze out filling substance for easy and economical application thereby.
US08021062B2 Developing apparatus and developing method
A developing apparatus has a substrate holder to hold a substrate, a heater which is provided in a substrate holder, and heats a substrate on a substrate holder for processing a resist film by PEB, a cooler to cool a substrate on a substrate holder, a developing solution nozzle to supply a developing solution to a substrate on a substrate holder, and a controller to control a heater, a cooler and a developing nozzle.
US08021058B1 Fabrication of optical devices and assemblies
A method of forming a waveguide or an optical assembly includes molding a waveguide material, optionally in alignment with one or more optical components. The one or more optical components are aligned in a precision mold that is also used to form the waveguide. A cladding and encapsulation material can also be molded. The molded materials can be used to hold the components together in alignment in a single assembly. A connector structure can be molded as part of the assembly or can be prefabricated and incorporated into the molded assembly to facilitate connecting the assembly to other components without requiring active alignment or polishing of optical fiber ends.
US08021057B2 Optical module and cable unit provided with optical module
Operation efficiency can be dramatically improved and a smaller configuration can be obtained by simplifying the optical connection. An optical module has an electrical connector to connect a device; an optical element unit being electrically connected to the electrical connector and provided with optical elements to convert electrical signals and optical signals and emitting or receiving light; an optical ferrule, having a plurality of optical fiber insertion holes, to hold optical fibers inserted into the plurality of optical fiber insertion holes so as to allow the fibers to be optically connected to the optical element; and an optical cable inlet to introduce an end part of an optical cable provided with the optical fibers. The cable unit with an optical module has an optical cable that includes a plurality of optical fibers and an optical module provided at two ends of the optical cable.
US08021055B2 Mechanical lock for rolling mill oil film bearing
An oil film bearing is seated on the tapered neck of a rolling mill roll by a hydraulically actuated piston/cylinder unit. The piston/cylinder unit is axially confined by externally threaded locking arms seated in a groove in the roll neck. A locknut is threaded onto the locking arms.
US08021043B2 Magnetic shielding for portable detector
A digital radiographic detector has a detector panel that forms digital image data according to radiation exposure energy. An enclosure houses the detector panel, formed from an electrically conductive material and having a base with an inner base surface and an outer base surface and having, along its perimeter, one or more side walls that extend orthogonally from the inner base surface. A base magnetic shield extends as a layer of nanocrystalline material across at least one of the inner base surface and the outer base surface. A cover is formed from a non-conductive material and has a cover magnetic shield of a layer of a metallic alloy bonded to an inner surface. A side wall magnetic shield extends along one or more surfaces of the one or more side walls, wherein the side wall magnetic shield has at least one of the nanocrystalline material or the metallic alloy.
US08021039B2 Quality control material monitor
An apparatus is disclosed designed to monitor the thermal status of a quality control material. The apparatus has the ability, with visual and/or audible means, to alert the user when the quality control material has become thermally equal to the local, ambient environment by way of natural thermal entropy. The apparatus alerts the user by visual and/or audible indicators when the quality control material, after removal from the monitor, should be returned to cool storage after a pre-determined period to maintain its designed criteria, integrity and/or purpose.
US08021036B1 Method and apparatus for mixing dough
The invention provides an apparatus and method for improved mixing of yeast-raised and yeast-raised type dough. The device and method keep the dough cool by an improved structure and method for circulating coolant around a jacketed bowl, and by circulating cooled air through the spinning agitator roller bars. Better aeration of the dough and faster mixing time are achieved along with full water and flour absorption, and reduced operating temperatures by controlling placing of the agitator driveshaft in a carefully defined region. Finally, the invention provides a wiper for wiping the lip of the bowl to keep it clean of dough and to insure a proper seal along the bowl lip when a resilient, expandable bowl seal is used, and it provides a pneumatic seal for securely sealing the mix ingredients in the bowl during mixing.
US08021035B2 Surface-mountable light fixture having an access port and corresponding method and kit
A surface-mountable light fixture (100) can comprise a base (101) that is configured and arranged to be mounted in an installed position juxtaposed against a mounting surface (102). At least one light source interface (109, 110) that requires mains electricity is contained within this base. This base further comprises an access port (111) formed therein which, when opened while the base is mounted in an installed position on the mounting surface, provides end user access to electrical conductors for the light source interface(s) as well as the mains electricity (104, 105). This base then also further comprises a lockable cover (112) that is configured and arranged to selectively and reversibly seal the access port to deny end user access to the electrical conductors.
US08021032B2 Light emitting diode back light module
An LED back light module includes a metal support, a thermal conductive material, a circuit board and at least one light emitting diode. The metal support has a recess and a plurality of holes. The thermal conductive material has a first surface in contact with a bottom of the recess. The circuit board is disposed on a second surface of the thermal conductive material, in which the circuit board has a plurality of flanges lodged into the holes of the metal support for compacting the circuit board, the thermal conductive material and the metal support. The light emitting diode is disposed on the circuit board, in which the thermal energy generated by the light emitting diode is delivered to the metal support through the circuit board and the thermal conductive material.
US08021030B2 Lighted exterior mirror assembly for vehicle
A lighted exterior mirror assembly configured for attachment to a side of a vehicle includes a reflectance element that is movably positionable by the driver of the vehicle to adjust its rearward field of view when the lighted exterior rearview mirror assembly is attached to the side of the vehicle, and a unitary light module having at least one light source. When the mirror assembly is attached to the side of the vehicle and when the light source is electrically powered, light emitted by the light source illuminates a ground area adjacent the vehicle of at least approximately 2 feet by 4 feet. When the mirror assembly is attached to the side of the vehicle and when the light source is electrically powered, light emitted by the light source provides a ground surface illumination intensity at the illuminated ground area of at least approximately 5 lux.
US08021029B2 LED emergency light safety apparatus for a vehicle
According to one embodiment, an LED emergency light apparatus for use with a motorized vehicle includes a foldable substrate that is formed of a plastic material and a plurality of light emitting diodes arranged about and coupled to the substrate such at a portion thereof protrudes beyond one face of the substrate. The apparatus also includes a controller in electric communication with the light emitting diodes and a power source. In addition, means for releasably attaching the substrate to a support surface is also provided.
US08021027B2 LED based acorn style luminaire
A conventional acorn style globe is described incorporating the utilization of LED's as an illumination source. A supporting heat dissipation plate is provided which extends to the exterior periphery of the acorn style luminaire allowing heat to transfer from the LED's directly to the exterior of the acorn style luminaire while maintaining the integrity of an acorn style globe construction. A plurality of individually orientable lenses on each of the LED's positioned within the interior of the globe allow for efficient downlight and desirable optical characteristics. Interior access may be provided through removal of the globe top to thereby provide accessibility to the LED board mounted on the heat transfer plate.
US08021026B2 Lamp with angle adjusting member
A lamp includes a lamp pole, a lamp head and an adjustable component. The adjustable component comprises an engaging portion and an adjustable portion pivotably connecting with the engaging portion via a pivot shaft. The adjustable portion mounted on the lamp head defines a plurality of adjustable holes therein. The engaging portion receiving a front end of the lamp pole therein and secured to the lamp pole, defines a plurality of engaging holes located corresponding to the adjustable holes. The adjustable portion is rotatable about the pivot shaft relative to the engaging portion. Two screws extend through the adjustable portion and screw into the engaging portion to connect the adjustable portion and the engaging portion together. When the adjustable portion is rotated from a first position to a second position, an elevation angle of the adjustable portion and accordingly the lamp head relative to the lamp pole is varied.
US08021025B2 LED lamp
An LED lamp has a base, a tubular conductor, a bulb and at least one LED. The base is metallic and has an electrical connector. The tubular conductor is filled with a fluid and mounted on the base and has a distal end and a proximal end. The bulb is pellucid and connected to the base. The at least one LED is mounted on the distal end of the tubular conductor and electrically connected to the connector of the base. The fluid in the tubular conductor may vaporize close to operating temperatures of the LED so transports heat away from the LED quickly and efficiently so allowing high power or multiple LEDs to be implemented, so improving brightness of the LED lamp and commercial applications.
US08021024B2 LED lamp
An LED lamp includes a monolithic housing made of metal extrusion and comprising a heat sink and a receiving portion integrally extending from a bottom of the heat sink, a plurality of LED modules attached to the heat sink, a cover covering the LED modules and a supporting bracket mounted on the housing. The heat sink includes a planar base and a plurality of fins extending from a top face of the base. The receiving portion includes a frame defining a window at a center thereof and two sidewalls interconnecting the frame and the base. The frame, the sidewalls and the base cooperatively define a receiving chamber for accommodating the LED modules therein. The LED modules are attached to the base and located corresponding to the window of the frame. The cover is mounted on a bottom of the frame and correspondingly covers the window.
US08021011B2 Light-emitting device and display device
The display device is provided with: a display panel that displays an image; a circuit board 20 that is disposed on a back surface of the display panel; a plurality of LED chips 21 that are disposed in an array on the circuit board 20 and that emit light to the display panel; and a lens 30 of a hemispherical shape that is disposed on the circuit board 20 and that covers each of the plurality of LED chips 21. A following relationship is established: R1/0.281≦L1, where L1 denotes an array pitch of LED chips 21 constituting the plurality of LED chips 21, and R1 denotes a radius of the lens 30. Accordingly, a light-emitting device achieving a high degree of light utilization efficiency and an excellent degree of color mixing, and a display device using the light-emitting device are provided.
US08021010B2 Light-emitting module, diffusion unit and diffusion sheet
A diffusion unit of a light-emitting module is disposed adjacent to at least one light source. The light source emits a light beam. The diffusion unit includes a first diffusion sheet and a second diffusion sheet. The thickness of the first diffusion sheet is less than 1 mm, and the thickness of the second diffusion sheet is also less than 1 mm. The second diffusion sheet is disposed adjacent to the first diffusion sheet. The light beam is directly emitted from the light source directly to the first diffusion sheet. A light-emitting module and a diffusion sheet are also disclosed.
US08021009B2 Domestic appliance with an interior which can be illuminated from the direction of a glass door
A domestic appliance includes an interior that can be illuminated by a light source and is surrounded by walls and a door, wherein the interior is illuminated by the light source from the direction of the door, in particular wherein the door has at least one glass area, light from the light source is coupled into the glass area from the direction of an edge, with the result that the light is at least partially guided in the glass area, and the glass area has elements through which some of the light which is guided in the glass area is conducted into the interior. This manner of illumination prevents articles in the interior from having a silhouetted appearance.
US08021008B2 Solid state lighting using quantum dots in a liquid
A lighting apparatus includes a source of light of a first spectral characteristic, a reflector or a diffusely reflective chamber or cavity having a transmissive optical passage, and a liquid containing quantum dots. The quantum dots provide a wavelength shift of at least some light emitted by the source of light to produce a desired second spectral characteristic in the light output.
US08021003B2 Projection display apparatus having nonvolatile memory
A projector 100 includes an image projection unit 6 that projects an image using light from a discharge lamp 1, a ballast 2 that controls a supply voltage supplied to the discharge lamp 1, a system controller 3 that controls a command signal outputted to the ballast 2, a volatile memory 31, a nonvolatile memory 32 that stores data stored in the volatile memory 31, and a power supply unit 4 that includes a capacitor 48 used for generating a supply voltage supplied from an external power supply to the ballast 2. The system controller 3 stores the data of the volatile memory 31 in the nonvolatile memory 32 using a voltage generated by accumulated charges of the capacitor 48 when the external power supply is cut off.
US08021002B2 Speckle-generating lighting system for attracting viewer's attention
The present invention relates to a lighting system (1), having a laser (3), and a method carried out in such a system. The system is arranged to vary the coherence of outputted light, preferably periodically, such that the light, when projected onto a surface (7; 33), produces a varying amount of speckle. This has proven useful as a tool to attract the attention of a user viewing the light projected on the surface.
US08021001B2 Projector and method of controlling a light source for use with the projector
A projector has a storage unit storing data on a plurality of different light emission patterns each occurring in a period based on a plurality of different-colored lights, wherein each light emission pattern corresponds to a respective one of a plurality of different projection conditions of a color image. An acquiring unit acquires a present projection condition of the color image, and a controller controls a light emission operation of the plurality of different-colored light emitting elements in the period in accordance with data on a light emission pattern corresponding to the present projection condition of the color image.
US08020996B2 Method of kinetic perimeter
A method for kinetic perimeter of the present invention including: a step of visual field scanning screen generating means; a step of fixation image scan line setting means; a step of fixation image displaying and controlling means; a step of visual target scan line orthogonally setting means; a step of visual target displaying and controlling means; a step of statically displaying and controlling means; a step of static display position storing means; a step of kinetic display and control starting means; a step of detecting means; a step of detection position storing means; a step of visual field mapping screen generating means; a step of visual field mapping means; a step of under the same fixation image scanning continuation means; and a step of, scanning switching means to the scanning under the next fixation image.
US08020995B2 Methods of obtaining ophthalmic lenses providing the eye with reduced aberrations
The present invention discloses methods of obtaining ophthalmic lens capable of reducing the aberrations of the eye comprising the steps of characterizing at least one corneal surface as a mathematical model, calculating the resulting aberrations of said corneal surface(s) by employing said mathematical model, selecting the optical power of the intraocular lens. From this information, an ophthalmic lens is modeled so a wavefront arriving from an optical system comprising said lens and corneal model obtains reduced aberrations in the eye. Also disclosed are ophthalmic lenses as obtained by the methods which are capable reducing aberrations of the eye.
US08020994B2 Custom phase plate
A custom phase plate for a light beam of wavelength “λ” includes a sheet of plastic material having a base refractive index “no”. Formed onto a surface of the sheet is a plurality of contiguous spots having different refractive indices. Together, the spots establish a diffraction pattern in a light beam that removes optical aberrations from the beam. Specifically, each spot has a selected Optical Path Difference (OPD) relative to the base index (no) that is equal to kλ/10 (for k=1 to 10). Further, the OPD for each spot in the pattern is individually established by heating the plastic material with a laser beam of ultra-short pulses.
US08020990B2 System and method of surfacing a lens, such as a lens for use with eyeglasses
A system and method of forming surfaces on eyeglass lenses is described. In some examples, the surface is a spherical, cylindrical or spherocylindrical surface at a center of the lens and an aspherical surface at a periphery of the lens. In some examples, forming the surface on the lens reduces the thickness of the lens at the periphery of the lens.
US08020986B2 Image recording apparatus
The image recording apparatus includes: a recording head; a re-feeding roller configured to re-feed a one-side recorded medium toward the recording head; and a flap provided on an upstream side of the re-feeding roller in a conveying direction of the one-side recorded medium. The flap extends from an upstream end to a downstream end in the conveying direction. The downstream end of the flap is closer to the re-feeding roller than the upstream end in the conveying direction. The flap is configured to support and introduce the one-side recorded medium to the re-feeding roller. A downstream end portion of the flap has: a first region opposing the re-feeding roller; and a second region except the first region. The downstream end portion has a cutout portion provided in at least a part of the second region.
US08020981B2 Inkjet recording apparatus and air removal method therefor
There is provides an inkjet recording apparatus comprising: an inkjet head held in a head holder; and an ink tank mounted on the head holder. The ink tank has an ink chamber, a path for communicating the chamber with atmosphere, and an exit valve to open and close the path. The apparatus further comprises: an ink cartridge arranged below the ink tank for supplying the ink to the ink chamber through a channel; an operating member for opening and closing the exit valve; a ink transfer device; and a controller. The controller performs controls of causing the operating member to open the exit valve such that an atmosphere pressure affects an inside of the ink chamber, and such that the ink both in the chamber and in the channel returns to the ink cartridge through the channel; and causing the device to fill the chamber.
US08020979B2 Cartridge with optically readalble print media and ink information
A printing cartridge that has a roll of print media, a tubular former on which the roll of print media is wound, the former having a plurality of ink supply containers for different types of ink, a casing with a pair of molded covers which releasably fasten together to encase the roll of print media. The casing bears one or more optically readable indicia relating to the length of print media and the types of ink.
US08020978B2 Cartridge for ink jet recording and method for producing the same
The present invention provides a method for producing an ink jet recording cartridge provided with a recording element substrate on the bottom surface, wherein the cartridge can be integrated with the recording element substrate precisely without causing any damage on the substrate, has a high barrier capacity, and can work with various inks and wherein such characteristics of the cartridge are provided by including the steps of pressing a lid to the upper opening of an ink-holding container made of a resin material including glass fibers to cover the opening, and vibration welding the lid to the container where means for holding the lid is vibrated in the direction along the top surface of the container.
US08020971B2 Liquid ejection head, liquid ejection apparatus and liquid ejection method
A liquid ejection head, having: an insulating nozzle plate provided with a nozzle having, a liquid supply port to supply liquid and an ejection port to eject the liquid supplied from the liquid supply port onto a substrate; a cavity communicating with the liquid supply port to reserve the liquid to be ejected from the ejection port; an electrostatic voltage applying device to generate an electrostatic attraction force by applying an electrostatic voltage between the liquid in the nozzle and the cavity, and the substrate; and a control device to control the electrostatic voltage applying device for conducting polarization relaxation operation by applying an electrostatic voltage having reverse polarity opposite to that of the electrostatic voltage applied in liquid ejection, wherein the nozzle is a flat nozzle and the control device.
US08020970B2 Printhead nozzle arrangements with magnetic paddle actuators
A printhead has a plurality of nozzle arrangements. Each nozzle arrangement includes a wafer substrate defining a nozzle chamber, said chamber having a roof wall with an ink ejection port defined therein and an ink supply channel defined through the substrate for supplying the chamber with ink; a magnetic coil arrangement positioned around the inlet of the nozzle chamber; bridge members spanning over the magnetic coil arrangement, the bridge members being supported on support posts protruding from the magnetic coil arrangement and having a resilient characteristic; and a magnetic paddle supported from the bridge members over the inlet, the magnetic paddle for ejecting ink from the chamber via the ejection port.
US08020968B2 Printhead module for a inkjet printhead assembly
A printhead module is provided for an inkjet printhead assembly. The printhead module includes a support. An elongate carrier is mounted to the support. The carrier defines a plurality of transverse ribs and an ink channel located between the ribs. A subassembly includes a micro-electromechanical integrated circuit (IC) configured to eject ink. The subassembly is mounted to the carrier so that the support, carrier and subassembly define a plurality of sealed ink chambers which can feed ink to the IC via the ink channel.
US08020966B2 Ink channel extrusion module for pagewidth printhead
An extrusion module for delivering ink to an array of printhead modules defining a pagewidth printhead. The modules are substantially coextensive with the extrusion module. The extrusion module includes a plurality of discrete ink channels each for conveying ink; and a pattern of holes defined in a surface of the extrusion module via which ink from each of said discrete ink channels is fed from the extrusion module to a printhead module. The pattern of holes is repeated longitudinally along the extrusion module. one repetition of the pattern corresponds to one printhead module.
US08020965B2 Printhead support structure with cavities for pulse damping
A printhead for an inkjet printer is disclosed. The printhead has one or more a printhead integrated circuits (ICs) with an array of nozzles for ejecting ink. A support structure of the printhead supports the printhead ICs. The support structure has ink conduits for supplying the array of nozzles with ink. Each ink conduit includes cavities distributed along a roof of the ink conduit. An opening to each respective cavity has an upstream edge and a downstream edge. The upstream edge contacts the ink before the downstream edge during initial priming of the ink conduits from an ink supply. The upstream edge has a transition face between the ink conduit and the cavity interior. The transition face is configured to inhibit ink from filling the cavity by capillary action during initial priming of the ink conduit. This causes gas to be trapped within the cavity. The gas acts to compress pressure pulses in the ink.
US08020961B2 Ink-jet recording apparatus
An ink-jet recording apparatus includes an ink-jet head formed with an ejection face, and an ejection control board fixed to the ink-jet head. A head cover forms a container space that contains therein the ejection control board. The container space has an air inflow port and an air outflow port. An airflow generator generates an airflow that goes into the container space through the inflow port and out of the container space through the outflow port. Through the outflow port, an airflow filtered by the filter goes out of the container space across a plane including the ejection face.
US08020956B2 Element substrate, printhead, head cartridge, printing apparatus, and method for confirming electrical connection status of printhead and printing apparatus
An element substrate capable of independently confirming an electrical connection status with a logic power source without increasing costs due to increasing the number of terminals or the like. The element substrate includes a connection status output circuit that outputs a signal in response to a connection status of a logic power source input terminal, or a connection status of input terminals of each of a print signal, a clock signal, a drive signal, and a latch signal, and a connection status output terminal that outputs an output signal from the connection status output circuit.
US08020954B2 Recording apparatus and data processing method for recording apparatus
A host device sends, as setting data, data including a registration adjustment value corresponding to a relative distance between nozzle arrays in a scanning direction. A recording apparatus includes a recording buffer 4 that stores recording data of each nozzle array in association with a recording position in a scanning direction. A record buffering structure control circuit 8 controls to adjust storage positions of recording data of each nozzle array and store the recording data in the recording buffer 4, based on a registration adjustment value stored in a register and information regarding the readout order of the recording data.
US08020950B2 Switching cabinet arrangement with a main door and at least one auxiliary door
A switching cabinet arrangement equipped with a main door and at least one auxiliary door having a locking device mounted on them, which has an actuating mechanism that causes an actuating device to unlock the auxiliary door as the main door is opened. The locking device has a blocking mechanism that acts on the actuating device and prevents the main door from closing when an auxiliary door is open.
US08020946B2 Vehicle brake control unit base body and vehicle brake control unit
A base body has flow path configuring portions, has inlet and outlet ports, a central mounting hole 31, a central flow path 51 penetrating the central mounting hole 31, first inner and outer mounting holes 32, 33 disposed upstream side of the central mounting hole 31, second inner and outer mounting holes 34, 35 disposed downstream side of the central mounting hole 31, a third mounting hole 36 disposed below the second outer mounting hole 35, a wheel side sensor mounting hole 46 disposed on an extension of the outlet port 22L between the first inner mounting hole 32 and the second inner mounting hole 34, and a hydraulic pressure source side sensor mounting hole 45 disposed on a center line X of the base body so as to straddle to extend to the flow path configuring portions across the center line X.
US08020945B2 Wheel for vehicle
A wheel for vehicle includes a wheel body, a decorated member, and a visible space. The wheel body has a rim, a hub, and a plurality of spokes connecting the hub and the rim. The decorated member is made from resin, is disposed on a surface of at least one of the spokes, and is retained to the wheel body. The visible space is disposed between the decorated member and the one of the spokes at least, exhibits a height in an axial direction of the wheel body, and is visible from an axial outer side of the wheel body.
US08020943B2 Aircraft wheel assembly
A wheel assembly (10) is disclosed, including a wheel base (14) and a wheel rim member (16). The wheel base (14) has along at least a portion of its length a non-circular cross sectional outer surface (86). The wheel rim member (16) has along at least a portion of its length a mating non-circular cross sectional inner surface (88). The inner surface (88) of the wheel rim member (16) interacts with the outer surface (86) of the wheel base (14) to restrict relative rotation of the wheel rim member (16) on the wheel base (14).
US08020941B2 Cutter bit insert removal system and method
A system and method to remove quickly an insert, especially an insert with a damaged gripping portion, from a base portion of a mining and/or milling drum. The insert can have a threaded portion engageable with the base portion opening. The insert can define an interior bore configured to receive a cutter bit, and an opening configured to receive an apparatus engageable with the insert opening to facilitate the removal of the insert from the base portion. The insert opening may be polygonal or may include at least one planar surface and/or a rounded corner. An apparatus can engage with the polygonal opening and/or a fastener can engage with the shafted fastener. In both cases, the apparatus and/or fastener is rotated with a force to disengage the threaded portion of the insert from the opening of the base portion.
US08020935B2 Electrically height adjustable head rest device for an automotive vehicle
An electrically height-adjustable head rest device of a motor vehicle seat having a head rest, one or two bars carrying the head rest, a cross member, which is connected to at least one bar and comprises an internal thread, and a motor-spindle unit comprising a spindle engaging the internal thread. On the cross member and/or on the motor-spindle unit there is provided a guide seat for a guide part.
US08020934B2 Chair backrest elevating device
A chair backrest elevating device includes a slide base having a slide way provided with a recessed hole and a positioning rod. An adjusting unit is combined with the positioning rod, composed of a sustaining member, a movable block, a spring, a positioning block and an elastic retainer. A fixing plate unit received in the slide way of the slide base contains a fitting block and a fixing plate. A slide cover is coupled with the slide base for covering the adjusting unit and the fixing plate unit. The slide base and the slide cover are assembled on a chair backrest while the fixing plate unit is combined with a seat. When the backrest is pulled up or down, the slide base and the slide cover is also shifted to have the sustaining member engaged in different-level engage grooves of the fitting block, thus adjusting the backrest upward or downward.
US08020933B2 Lumbar support device of seat for vehicles
A lumbar support device of a seat for a vehicle surrounds and supports the lumbar region of a passenger. In the lumbar support device, a mounting bracket is fastened to a seat back frame. A support panel is coupled to the mounting bracket through link units so as to be movable ahead of the seat back frame. Side support units are coupled to respective opposite ends of the support panel so as to be rotatable. A connection wire is connected between each of the side support units and the corresponding link unit of the support panel such that when the support panel moves forwards, the side support units surround side portions of the lumbar region of the passenger.
US08020926B2 Vehicular structural frame assembly
A structural vehicular frame assembly includes an inner panel of interconnected flange members forming a first common plate of material and an outer panel of interconnected flange member forming a second common plate of material. Each of the flange members of the outer panel is joined to a respective one of the flange members of the inner panel in alignment therewith by one or more web members coupled between the inner panel and the outer panel such that the inner panel and the outer panel are spaced apart from one another and generally span alongside one another in a common direction of the frame assembly.
US08020924B2 Integrated reinforcing crossmember
Some embodiments comprise an integrated reinforcing crossmember having a carrier with an expansible material adhered to at least a portion of the exterior surface of the carrier. The carrier is comprised of a center section and two end sections, each section comprised of external lateral walls and internal ribs joined by cross walls and horizontal connecting walls. The crossmember is inserted into a cavity of a corresponding structural member, and the expansible material is activated and expands, securing the crossmember in place within the cavity.
US08020922B2 Interior trim component and method of manufacturing the same
An interior trim component includes a body portion defining an inboard-surface, and an outboard-surface, wherein the body portion is further defined by a groove formed in the inboard-surface, and a passage extending through the body portion from the inboard-surface to the outboard-surface; and a bolster portion including a first end portion, and a second end portion, wherein the first end portion is disposed in the groove formed in the inboard-surface, wherein the second end portion is disposed in the passage. A method is also disclosed.
US08020916B2 Convertible vehicle with a roof that is capable of opening separately
The invention relates to a convertible vehicle with at least two roof sections; that, when the roof is closed, are situated one behind the other with regard to the direction of travel (F), of which, when roof section that is situated behind the other section when the roof is closed, at least one separately openable part of the or a roof section, which is situated in front of roof section, can be displaced with a component pointing toward the rear, and the convertible vehicle is ready to be driven when the roof sections are in this position. The convertible vehicle is designed in such a manner that the or each separately openable part, when in its closed position, extends in a transversal direction (q) of the vehicle up to the outer edge of the respective roof section, abuts against at least one upper edge of a side window and at least when closed, is supported on a longitudinal beam placed in the vicinity of a vertical longitudinal center plane (E) of the vehicle and between the windshield frame and a rear roof section.
US08020914B2 Visor support and method
Embodiments disclosed herein relate to a visor support and a method of supporting a visor. In one embodiment, the support comprises a body and a flange with the visor is attached to the body such that the visor moves with respect to the body. A fastener attaches the body to the flange such that the body moves with respect to the flange. A first detent is disposed on the flange. A first ball is disposed on the body. The first ball is engageable with the first detent to control vertical movement of the visor. A second detent is disposed on the visor. A second ball is disposed on the body. The second ball is engageable with the second detent to control horizontal movement of the visor.
US08020913B2 Vehicle interior structural assembly
A vehicle interior structural assembly includes an instrument panel that is dimensioned to extend laterally between opposing interior side walls of a passenger compartment of a vehicle. A structural member extends between first and second ends of the instrument panel such that the structural member is substantially concealed by the instrument panel. A stay bracket is connected to the structural member and extends downward from the structural member. The stay bracket is located forward of a rearmost extent of the instrument panel. A reinforcement member is connected to the stay bracket at a first point and a second point that are vertically spaced from one another. The reinforcement member includes a support portion cantilevered from and extending rearward of the rearmost extent of the instrument panel such that forces applied to the reinforcement member are directed to the stay bracket and the structural member.
US08020909B1 Pincers illuminating items grasped therein
A pincer (e.g., a tweezer) has tips which are at least substantially transparent, such that a user can at least partially view an object being grasped through the tips, and/or such that the tips collect and channel incident light onto the grasped item. The tips preferably have an exterior which is convex in one or more dimensions, and are configured and/or coated such that light falling on the tip exteriors is focused onto a spot or band on the item. Since the tips can enhance illumination of an item being grasped, they can particularly enhance the viewing of translucent materials such as gemstones or selected biological materials.
US08020907B2 Bumper for a motor vehicle
A bumper for a motor vehicle includes a cross member which is disposed transversely to a side rail of a motor vehicle frame. A crash box is incorporated between the cross member and the side rail, with set bolts being provided to join the crash box to the cross member in the absence of prepunching.
US08020906B2 Easily mountable motor vehicle crash apparatus
A crash apparatus, in particular a crash box in a motor vehicle, has a deformation body for absorbing energy in the event of a crash, which body is assembled from two half shells having beads running transversely to the main deformation direction. The two half shells each have outwardly projecting flanges with beads also running transversely to the main deformation direction. The two half shells exclusively press against one another via the contact surfaces lying between the beads of the flanges and are connected to one another in these areas.
US08020900B2 Latching mechanism
An exemplary latching mechanism (10) includes a detachable member (12), an elastic member (18), a positioning member (20), a rotatable member (22), and a base (24). The detachable member has a pair of clasping portions (124) and a pair of actuators (126). The elastic member is disposed between the positioning member and the detachable member for providing elastic force. The rotatable member is disposed on the base. The rotatable member includes a plurality of pedals (226) for engaging with the actuators of the detachable member and a plurality of latching portions (224) for abutting the clasping portions of the detachable member. The positioning member engages with the rotatable member. When the detachable member is pressed, the detachable member can be latched on or detached from the base.
US08020899B2 PVC pipe coupling
A threaded coupling for connecting a threaded first connecting drop pipe to a second connecting drop pipe. The coupling has a first female end having an enlarged exterior diameter and an interior diameter defining a lead in section which provides strength to resist lateral forces exerted on the threaded drop pipe connection. Adjacent the lead in section, internal threads are located in the first female end of the coupling. A second female end having an interior diameter substantially the same size as the lead in section of the first female end is located adjacent the internal threads, opposite the first female end. A male connecting end of a first connecting drop pipe having external threads is inserted into the lead in section and screwed into the coupling. A male connecting end of a second connecting drop pipe is coated with an adhesive and inserted into the second female end.
US08020898B2 Single-bolt band clamp with gasketed center rib and pipe lap joint using the same
A band clamp that connects overlapping pipe ends includes a band, a tightening mechanism, and a gasket. The band has a radially protruding rib, a first lateral section that extends from a side of the rib, and a second lateral section that extends from another side of the rib. The tightening mechanism connects to the band and is operable to tighten the band, and the gasket is received in the rib. In one example, an axial width of the lateral sections are within a range relative to an axial width of the rib, and in another example, the lateral sections are angled inwardly with relative to an axis of the band.
US08020896B2 Hose connector and portable handheld work apparatus
A hose connector (19, 59) for the assembly in a housing opening (13) of a tank of a portable handheld work apparatus is formed as one piece and has a base plate (20). A sealing rim (21) for mounting in the housing opening (13) is provided on the base plate (20) at a first side (57). The hose connector (19, 59) has at least one connecting channel (31, 32) which projects through the base plate (20) and which, at least at one end, has at least one connecting stub (24, 24′, 25, 26, 26′) for connecting to a hose line. A first connecting stub (26, 26′) is fixed within the sealing rim (21) on the base plate (20) and has a pull-off protector (54). The pull-off protector (54) is at a distance (e) to the base plate (20) perpendicularly to the plane of the base plate (20) which is greater than the distance (f) of the lower edge (51) of the sealing rim (21) to the base plate (20). The lower edge (51) faces away from the base plate (20).
US08020895B2 Device comprising an outflow bend and a pipe clamp and mounting structure comprising such a device
The device serves to fasten the outflow bend (9) to a fastening body (4), for example to a mounting frame (2). The pipe clamp (11) has a holder (12), which on an inner side (20) possesses grooves (21), which, for the axial fixing of the socket (10), cooperate with ribs (22, 23, 24) on an outer side of the socket (10). The holder (12) has a collar (19), which, for the axial fixing of the socket (10), can be mounted into a groove (25, 26) of the socket (10) or onto a rib (22, 23, 24) of the socket (10), according to choice.
US08020891B2 Vehicular airbag device
A vehicular airbag device includes an airbag and an opening degree change portion. The airbag is folded and accommodated in an accommodation portion provided in front of a vehicle seat. The airbag is deployed toward an occupant seated in the vehicular seat by being supplied with a gas when a head-on collision occurs, and has a vent hole that allows the gas to be discharged in restraining the occupant. The opening degree change portion changes an opening area of the vent hole in accordance with a direction of impact of an upper body of the occupant with the airbag during deployment of the airbag.
US08020889B2 Passive airbag venting
An occupant protection apparatus comprises an inflatable airbag with a panel, the panel including a first vent opening that permits inflation fluid to escape out of the airbag; and a valve sheet including a second vent opening movable relative to the first vent opening, the valve sheet having opposite ends that are each fixed to a surface of the airbag. One end of the valve sheet moves with the panel during inflation of the airbag to move the second vent opening relative to the first vent opening. The apparatus also includes a valve guide to guide movement of the valve sheet relative to the panel, the valve sheet is capable of sliding between the valve guide and the panel. Both ends of the valve sheet remain fixed to the panel during and after inflation of the airbag.
US08020888B2 Vehicle curtain airbag
A post-deployment, position-stabilized curtain airbag has a top edge from which an inflatable safety cushion is supported by a vehicle for deployment into a vehicle passenger compartment between occupant and occupant enclosure. A fill chamber is secured to the top edge of the safety cushion. An inflatable structural member is mechanically connected to the safety cushion. The fill chamber directs pressurized gas into the safety cushion and the structural member. The structural member deploys into the passenger compartment between the safety cushion and occupant enclosure limiting outboard displacement of the safety cushion. The structural member fully deploys after the safety cushion. Another structural member deploys along the top edge of the safety cushion limiting pivoting of the safety cushion there relative to the fill chamber. Pressurized gas enters each structural member through auxiliary passageways having oppositely directed entrances and outlets.
US08020887B2 Ski or snowboard with a means for influencing its geometry
The invention describes a ski (2) or a snowboard in the form of a board-type gliding device (1). By reference to the width (13) of the gliding board body, at least one slot (14) is provided in its middle portion extending in the depth direction—arrow (15)—from the top face (7) of the gliding board body in the direction towards the running surface facing (10) and in its longitudinal direction essentially parallel with the longitudinal direction of the gliding board body. This at least one slot (14) is provided with a view to causing a cross-sectional weakening and reducing the stiffness of the gliding board body transversely to its longitudinal direction. Also provided is at least one geometry-influencing means (19), by means of which the cross-sectional shape or contour of the gliding board body is variable as a function of load and/or can be manually varied. The geometry-influencing means (19) comprises a plate-type force-transmitting element (44), which extends across more than 50% of the length of the gliding board body and is supported within its longitudinal extension, at least in part-portions, on the top face (7) of the gliding board body so as to transmit load, and the plate-type force-transmitting element (44) is disposed so that it overlaps the least one slot (14) in the longitudinal direction and bridges it transversely to the longitudinal direction of the slot (14).
US08020881B2 Mobility assistance apparatus
A mobility assistance apparatus having an elongated frame. The elongated frame includes a pair of disparate sized wheels coupled to each end of an elongated frame. A pivoting joint is provided longitudinally in the elongated frame which allows the second wheel to track the first wheel in a lateral arc about the along a longitudinal centerline of the first wheel.
US08020880B2 No-pinch steering mechanism
A children's vehicle includes a body and a cross member attached to the body. A tongue assembly features a leading portion, a central portion and a trailing portion, with the central portion pivotably connected to the cross member. A handle is pivotally attached to the leading portion of the tongue assembly. A tie rod is pivotally attached to the trailing portion of the tongue assembly. A pair of axle spindles are pivotally attached one each to opposite ends of the cross member. A pair of wheels are rotatably mounted one each on the pair of axle spindles. A pair of generally S-shaped torque arms are connected one each to the axle spindles and pivotally connected one each to opposite ends of the tie rod.
US08020868B1 Magnetic board game
An insert has a bottom with sides and a contoured top. The container has semi-spherical concave valleys. The valleys are positioned in rows and columns. The insert is fabricated of a resilient non-magnetically-responsive material. A plurality of magnets is provided. Each magnet has faces defining a thickness and a periphery. The periphery of the magnets have various geometric shapes.
US08020866B2 Games of chance including an evaluation of a partial symbol combination
A game to be modified in accordance with the invention involves a first random outcome and a second random outcome that are combined according to game rules to produce a game outcome. The game is administered by receiving a player request for a specific entry, the specific entry replacing one of the random outcomes and being selected from a finite set of possibilities not determined by chance. The requested specific entry affects the probable outcome of the game. The specific entry is combined with the remaining one of the random outcomes according to the game rules to determine the game outcome. According to one embodiment, the specific entry may be a score such as 18 which replaces the player's hand in the game of blackjack.
US08020863B2 Method and device for transporting a flat object
A method and a device transport a flat object, especially a mail item, over a conveyor path. The transport device has two conveyor elements, a thickness sensor and a gap-altering device. With the aid of the thickness sensor the thickness of the object is measured, before the object reaches the conveyor path. With the aid of the gap-altering device the gap between the two conveyor elements is set to a computed value. The effect of this setting is that after the setting the gap is smaller than the measured thickness and the difference between the measured thickness and the gap is smaller than a predetermined limit. This setting is concluded before the subject matter reaches the conveyor path. The two conveyor elements clamp the object for a time between themselves and transport the clamped object over the conveyor path.
US08020857B2 Paper sheet processing apparatus, and paper sheet processing method
A post-processing apparatus has a standby tray to receive a paper sheet to be supplied from a digital copier, a processing tray to receive a paper sheet dropped from a standby tray, and a stapler to staple the rear end aligned on the processing tray. When a first paper sheet is supplied to the standby tray, the standby tray roller is brought into contact with the paper sheet and rotated reversely, the paper sheet is returned a little, and the rear end of the paper sheet is placed at the holding position on the tab. Then, the press member presses the rear end of the paper sheet, to receive a second paper sheet.
US08020852B2 Device for the torque limitation in a machine for processing means of payment
The present invention relates to a device for the torque limitation in a machine for processing means of payment. The invention has use as a device for limiting torque in a machine for processing means of payment, wherein the device for the torque limitation includes two elements transmitting a torque, the two elements having magnets which are disposed in the two elements with an angular distribution of the same kind, the magnets connecting the two elements to each other in a non-positive fashion, and the connection of the two elements being disrupted when a maximum transmittable torque is exceeded.
US08020837B2 Splash bar
A splash bar includes a tubular splash bar body in a form of a lattice structure that has a plurality of openings formed therethrough. The splash bar body is positioned centrally along and about an imaginary three-dimensional coordinate system having a lengthwise axis defining a lengthwise direction, a widthwise axis defining a widthwise direction and a heightwise axis defining a heightwise direction with the lengthwise axis, the widthwise axis and the heightwise axis being oriented perpendicularly relative to one another. The splash bar body defines a hollow passageway extending in the lengthwise direction and has a top portion, a bottom portion disposed generally opposite the top portion and a pair of opposing side portions interconnecting respective ones of the bottom and top portions with the top and bottom portions spanning the opposing side portions. The top portion is generally upwardly arcuate in shape as viewed in cross-section.
US08020835B2 Device for installing and removing high insertion force modules
The present invention provides for electronic module insertion and removal such as when high insertion/extraction forces are exerted in small spaces. To secure or unsecure the module a frame is mounted to a cabinet housing the high insertion module. The frame includes a lever assembly that fixedly rotates about an axle attached at each end to a hub. The hub is allowed to rotate to one or more fixed angular positions that move the lever proximity relative to the front surface of the high insertion module. Applying a pressure to the lever rotates the lever until the module is either secured or unsecured from its cabinet.
US08020827B2 Suspendable blow dryer holder
A suspendable blow dryer holder includes a lower frame having a first opening located within the bounds of the lower frame, the first opening dimensioned to accept and secure a barrel of a first blow dryer such that the barrel is pointed at a floor when the suspendable blow dryer holder is suspended. The suspendable blow dryer holder includes an upper component having a connection mechanism, the connection mechanism configured to connect the suspendable blow dryer holder to a suspending mechanism. Finally, the suspendable blow dryer holder includes a plurality of elongated connecting elements attached to the upper component and extending to a perimeter of the lower frame, the plurality of elongated connecting elements each attached to the perimeter of the lower frame at a connection location, the plurality of elongated connecting elements each having a sufficient length for the first blow dryer to fit between the upper component and the lower frame when the suspendable blow dryer holder is suspended, the connection locations on the perimeter of the lower frame spaced apart for the first blow dryer to fit between at least two of the plurality of elongated connecting elements.
US08020826B2 Rotatable module and supporting mechanism using the same
A rotatable module includes a pivotal shaft, a rotating washer, a stationary washer, a resilient member, and a fixing member. The rotating washer is rotatably sleeved on the pivotal shaft. The stationary washer is non-rotatably sleeved on the pivotal shaft. The fixing member prevents the above mentioned components from detaching from each other. The rotating washer and the stationary washer have an engaging surface and a resisting surface respectively. The engaging surface resists the resisting surface due to a force of the resilient member. The engaging surface forms a plurality of protrusions, and the resisting surface defines a plurality of grooves to engage with the protrusions. The resisting surface further defines at least one buffering portion adjacent to at least one of the grooves or in at least one of the grooves to receive material flowing from other portions adjacent to the at least one of groove.
US08020825B2 Utility clip
Hospital equipment includes a member and a clip mounting to the member. The clip includes a first clip member and a second clip member pivotally interconnected with the first clip member about a pivot axis. Each of the first and second clip members has a receiving portion for receiving the member. A biasing member urges the first clip member and the second clip member toward each other wherein when the first clip member and the second clip member straddle the frame or rail member and the frame or rail member is positioned in the receiving portions, the biasing member urges the first and second clip members to engage the frame or rail member. An accessory mounting member is configured for mounting an accessory to the clip and is coupled to one of the clip members wherein the clip may be used for mounting an accessory to the member.
US08020822B2 Shelf assembly for customizable furniture
An adjustable shelf assembly having a plurality of ribs, a rib cam associated with each rib, and a support beam having a substantially I-shaped profile. The rib cam has a transversely extending slot including a floor. Each rib has a shelf-retaining surface, a T-shaped downwardly-opening aperture, and two inwardly extending opposing rib cam flanges. The beam has two upper beam flanges and two annular channels. The upper beam flanges slide into the upper recesses of the aperture such that the rib is captured on the beam, and each rib cam flange extends into a respective one of the channels. The rib cam slides into one of the channels with one of the rib cam flanges captured in the slot. In the channel, the rib cam is rotatable around its longitudinal axis between an unlocked position and a locked position in which the slot floor bears down on the rib cam flange thereby locking the flange to the support beam.
US08020819B2 Container and universal bracket system
A container [10] is disclosed having a universal bracket system [300] that allows attachment of the container [10] to a plurality of upstanding outdoor supports including posts, angle iron, and signs supported in cylindrical frameworks. In the preferred embodiment, the container [10] has a box [100] with a lid [200] pivotally attached thereto. The box [100] has a bracket system [300] comprised of an upper bracket [310], a central bracket [320] and a lower bracket [340] which have complementary geometric relationships that facilitate attachment to the multiple structures.
US08020817B2 Musical instrument stand having holding function
A musical instrument stand includes a top seat including a seat body and a holding unit. The holding unit includes two pivot members, a torsion spring, and two holding rods. Thus, when the musical instrument is pulled upward relative to the holding unit to detach from the two holding rods of the holding unit, the two pivot members of the holding unit are pushed upward by the restoring force of the torsion spring so that the two pivot members of the holding unit are moved upward to the expanding position, and the two holding rods of the holding unit are moved to space from each other such that the neck of the musical instrument can be removed from the two holding rods of the holding unit easily and conveniently.
US08020816B2 Information transfer device support stand
Disclosed herein are support stands for information transfer devices. There is provided a support stand for an information transfer device comprising a hollow mast having a first end and a second end, the first end comprising a bracket attached to one or more walls of the mast, the second end comprising a base member for attachment to a surface; a rotatable member affixed to the hollow mast and secured to the bracket by a connector means, the rotatable member capable of rotational movement between a first position and a second position; the rotatable member comprising an information transfer device holder for supporting an information transfer device and a security shield for preventing unauthorized viewing of confidential information during input into the information transfer device.
US08020815B2 Modular stand with mounting provisions
The stand has modules to form a support base with elongated support members with wheels or legs. The stand can either incorporate two spaced load supporting posts or a single post extending from a spanner module that connects between the elongated support members. When two load support members are employed the user can step between the posts. When a single post and spanner are employed the user can step as far as the spanner near the center of support. The support members diverge from their centers provide a wide stance of the wheels or legs for stability. At the upper end of the post or posts a load support platform is carried either directly on a single post or through a cross-piece on a two post configuration. T-shaped slots in the post can be utilized to attach loads to the posts and a panel extending between posts. In either configuration a spilt wheel at the level of the load support allows for the user to maneuver a wheeled version of the stand and serves as a fender protecting equipment carried on the load support platform.
US08020814B2 Clamp for securing a tubular or hose-shaped object
A clamp for securing a tubular or hose-shaped object on a support. The clamp includes a clamp jacket having first and second ends, wherein a first leg protrudes from the first end of the clamp jacket and a second leg projects from the second end of the clamp jacket. The first leg has a lug which in the open state protrudes in the direction of the second leg. The second leg includes a fastening section which can be inserted into a gap between the lug and a neighboring portion of the first leg located opposite the lug, wherein, in the closed state of the clamp, the lug is plastically deformed toward the outer side of the fastening section facing away from the first leg.
US08020808B2 Aircraft subassembly and aircraft comprising such a subassembly
An aircraft subassembly including a shelf combined with a longitudinal banquette for a passenger, a mechanism for moving the shelf along one of the two longitudinal sides of the banquette.
US08020806B2 Aeronautical high-lift system with an overload safety device
An aeronautical high-lift system comprising a drive unit, elements for the transmission of the drive energy to drive stations of individual segments of landing flap/slat flap systems as well as comprising an overload safety device, with the overload safety device having at least one electrical overload sensor which is arranged in the drive chain between the drive unit and an output drive station.
US08020799B2 Hydraulic crusher
A hydraulic crusher includes crushing arms, a crusher body having a hollow portion extending in the longitudinal direction, and hydraulic cylinders. The hydraulic crusher includes four first hydraulic hoses which have proximal caps connected to ports of the crusher body and extend toward the distal side in the longitudinal direction in the hollow portion; four second hydraulic hoses which have proximal caps connected to ports of the hydraulic cylinders, and extend into the hollow portion and then toward the distal side in the longitudinal direction while being curved; and a clamp or the like serving as a joint member movable in the longitudinal direction, the clamp connecting distal sections of the first hydraulic hoses with distal sections of the second hydraulic hoses.
US08020796B2 Shredder thickness with anti-jitter feature
A shredder includes a housing having a throat for receiving at least one article to be shredded, and a shredder mechanism received in the housing and including an electrically powered motor and cutter elements. The shredder also includes a detector that is configured to detect a thickness of the at least one article being received by the throat, and a controller that is configured to operate the motor to drive the cutter elements to shred the at least one article and to set a flutter threshold higher than the predetermined maximum thickness threshold, if the detected thickness is less than a predetermined maximum thickness threshold. The controller is also configured to thereafter continuously detect the thickness of the at least one article being inserted into a throat of the shredder; and to perform a predetermined operation responsive to the thickness detector detecting that the thickness of the at least one article is greater than the flutter threshold.
US08020794B2 Shredder head adapted to vary power by thickness of material
A shredder head adapted to vary the power consumed by the shredder according to the thickness of the material to be shredded.
US08020790B2 Biological sample disruption techniques
Improved ball mill disruption techniques. In different embodiments, disrupting particles that are not substantially spherical are used. In other embodiments, roughened disrupting particles are used. In other embodiments, larger disrupting particles are used. In each instance, improved disruption can be achieved.
US08020787B2 Showerhead system
A showerhead system is provided. The showerhead system may provide a plurality of spray modes using a control mode selector located adjacent to a shower pipe. The showerhead system may also include an adjustment mechanism located near or about the shower pipe. The showerhead system may also have dual support structures.
US08020785B2 Aromatic deodorant
An aromatic deodorant includes a first cover, a second cover and a scent device. An interior of the first cover is extended outward with a fixing element, an interior of the second cover is extended outward with a fixing seat to pivot the fixing element, the scent device is transfixed at the fixing element and is fixed by the fixing seat, and a scent dissipated by the scent device is released outside by convection through air vents on the first cover. When stopping dissipating the scent, a user only needs to rotate the first cover and the second cover through pivoting of the fixing element and the fixing seat, and uses the isolation plate on the second cover to block the air vents. Thus, the deodorant can be put in any space where a bad smell needs to be removed and not only saves space but is used very conveniently.
US08020784B2 Coating material supply installation and associated operating procedure
A coating material supply device is disclosed, such as for a paint facility. An exemplary coating material supply device may include a coating material reservoir and a coating material meter. During application of coating material, the coating material reservoir may be at ground potential and may be located upstream from the coating material meter, which may be at a high-voltage potential. The reservoir may be connected via an insulating path to the coating material meter. The coating material meter may be at ground potential when the coating material reservoir supplies coating material to the coating material meter. Before the coating material meter is returned to a high-voltage potential for continued application of coating material, the insulating path may be emptied to insulate the coating material meter from the coating material reservoir.
US08020777B2 System and method for budgeted zone heating and cooling
An Electronically-Controlled Register vent (ECRV) that can be easily installed by a homeowner or general handyman is disclosed. The ECRV can be used to convert a non-zoned HVAC system into a zoned system. The ECRV can also be used in connection with a conventional zoned HVAC system to provide additional control and additional zones not provided by the conventional zoned HVAC system. In one embodiment, the ECRV is configured to have a size and form-factor that conforms to a standard manually-controlled register vent. In one embodiment, a zone thermostat is configured to provide thermostat information to the ECRV. In one embodiment, the zone thermostat communicates with a central monitoring system that coordinates operation of the heating and cooling zones and provides heating and cooling to the various zones according to a cost budget.
US08020773B2 Method for personalizing chip cards
Key information is stored in a memory of a chip card for the purposes of partial personalization. For a complete personalization, an instruction sequence definition and an instruction sequence designed for a chip card application and including instructions to be carried out by the chip card are transmitted to the chip card. A check which is secured by the key information is carried out for each chip card instruction to determine whether the chip card instruction satisfies the instruction sequence definition, and if it does, the chip card instruction is carried out by the chip card.
US08020768B2 Portable container inventory control system
A portable container inventory control system uses RFID technology for automatically monitoring the taking and returning operations of items such as, but not limited to, tools, weapons, jewelry, surgical instruments, from one or more receptacles inside a portable container to maintain a status of each item as well as an operational record of each item. Furthermore, the system may register which item has been taken from or stored from which receptacle by which worker and when it was taken and returned, and compile the information of all storage locations in one database and system for administering, reporting and sending alarms in order to have total item control, accountability, item service control (maintenance, calibration, repairs, and/or replacement), and administration of usage for the items controlled by the system.
US08020765B2 Method of printing, distributing and placing price information
A method of printing price information tags and signs includes providing a digital press, a data management system with computer hardware connected for internet access, a database of stored/archived information, and a supply of sheets having a suitable stiffness and surface quality for use as price information tags and signs. Updated information is received electronically via the internet, and combined with selected elements from the stored/archived information to generate customized tag data, which is communicated through a data flow balancer to the digital press(es) to print customized price information tags. The tags are cut to size and include attachment barbs. The customized tag data is arranged to print tags in a series corresponding to product arrangement on particular store shelves. The customized price information tags can be printed and shipped on a just-in-time basis and in a predetermined sequence within at least 24 hours of receiving the real-time digital information.
US08020762B2 Techniques and systems for supporting podcasting
Improved podcasts and techniques that facilitate their use are disclosed. The improved techniques can pertain to creating, publishing, hosting, accessing, subscribing, managing, transferring, and/or playing podcasts. According to one aspect, a client application can subscribe to podcasts and then automatically monitor the podcasts for updates to be downloaded. In the event that user interest in a podcast becomes inadequate, downloading of further updates can be restricted. According to another aspect, a podcast can be subscribed to through use of a portable subscription file. According to still another aspect, podcast feeds can be enhanced to include segment elements and other metadata.
US08020760B2 Method of authorizing automated banking machine use responsive to user data read from data bearing records
An automated banking machine includes an input device including a card reader which operates to read data bearing records in the form of user cards. The card data is usable to identify a user or a user account. The machine also includes an output device and a cash dispenser selectively operative to dispense currency sheets to machine users. Software operating in a computer of the machine causes the computer to operate a cash dispenser in carrying out cash dispensing transactions where cash is dispensed to users whose read accounts are assessed for value associated with cash dispensed. The computer also processes a mark up language document. The software includes screening software operative to prevent the computer from operating the cash dispenser responsive to communication with at least one system address.
US08020752B2 Security receptacle
A security receptacle generally includes an outer housing defining an inner chamber and having an inlet thereto, and a movably connected top door for selectably closing the inlet, wherein the top door is configured for movement between an open position and a closed position. The security receptacle further includes an inner shield for dividing the inner chamber into a receipt chamber and a holding chamber to prevent user access to the holding chamber from the inlet, wherein the inner shield is driven by the movement of the top door through a cam assembly.
US08020742B2 Powered surgical stapling device
A medical instrument having a tool assembly attachable to a distal end of the medical instrument is disclosed. The tool assembly includes a pair of opposing tissue engaging surfaces for clamping tissue therebetween. The medical instrument also includes a housing having a fixed handle and a movable handle mounted to the housing and selectively movable relative to the fixed handle from a first position in spaced relation relative to the fixed handle to a second position closer to the fixed handle to actuate the clamping of tissue. The instrument further includes a selectively activatable drive assembly including a power source and a motor which is operatively coupled to the movable handle, wherein upon actuation the motor actuates the pair of opposing tissue engaging surfaces. The drive assembly also includes a controller configured to variably control the rate at which the motor actuates the pair of opposing tissue engaging surfaces in response to the force exerted on the movable handle.
US08020741B2 Endoscopic stapling devices and methods
Described herein are endoscopic staplers used to apply one or more fasteners to body tissue. In one embodiment, a fastener-applying device, which is preferably a stapler, is passed transorally into the stomach and used to plicate stomach tissue by engaging tissue from inside of the stomach and drawing it inwardly. In the disclosed embodiments, the tissue is drawn inwardly into a vacuum chamber, causing sections of serosal tissue on the exterior of the stomach to be positioned facing one another. The disclosed staplers allow the opposed sections of tissue to be moved into contact with one another, and preferably deliver staples for maintaining contact between the tissue sections at least until serosal bonds form between them. Each of these steps may be performed wholly from the inside of the stomach and thus can eliminate the need for any surgical or laparoscopic intervention. After one or more plications are formed, medical devices may optionally be coupled to the plication(s) for retention within the stomach.
US08020740B2 Effort-saving stapler
An effort-saving stapler includes a first activating mechanism including a first connecting section and an abutting ridge, and a second activating mechanism including a ram and an arm including a second connecting section pivotally connecting to the first connecting section at a fulcrum point “A”. The stapler further includes a pushing mechanism including a third connecting section, an anvil, and an abutting ridge contacting with the abutting edge of the first activating mechanism, and with these two abutting ridges defining a contact point “B”. The stapler further includes a magazine including a fourth connecting section pivotally connected to the third connecting section at a second fulcrum point “C”. The fulcrum point “A”, contact point “B” and fulcrum point “C” are in a straight line when bending legs of the staples for closing the staples in an effort-saving way.
US08020721B2 Tank for storing cryogenic fluids and method for constructing a fluid tight tank
The invention relates to a tank (11) for storage of cryogenic fluids. The tank (11) comprises a base section (12), a vertical wall element (14) and preferably an upper top (19). The tank (11) is provided with a fluid tight barrier (26) intended to prevent the stored fluids to escape to the surroundings. The fluid tight barrier (26) is formed of thin metal plates joined together. At least the vertical wall (14) comprises an inner structurally supporting wall element (24) and an outer structurally supporting wall element (25). The fluid tight barrier (26) is arranged between the inner (24) and the outer (25) structurally supporting wall element. The invention relates also to a method for constructing such tank (11), where the base part (12) is firstly erected whereupon a vertical wall (14) is concreted, preferably by means of slipforming or jumpforming. Firstly, the inner structurally supporting wall element (24) of the wall (14) is reinforced and concreted, whereupon a fluid tight barrier (26) is arranged on the external side of the inner structurally supporting wall element (24), whereupon the outer structurally supporting wall element (25) is reinforced and concreted.
US08020717B2 Preform base and method of making a delamination and crack resistant multilayer container base
Molded preform for blow molding a plastic container for pressurized applications, the preform having a base with a multilayer wall for forming a delamination and crack resistant multilayer footed container base. The preform base forming region has an end cap portion with a non-spherical inner wall, including a flattened central section joined by arcuate corner sections to a generally cylindrical outer section. The inner wall sections provide a varying end cap thickness profile which enhances orientation in select portions of the footed container base for improved performance and/or lightweighting of the container base.
US08020716B2 Apparatus for organizing and storing sports equipment
A rack for drying, storing or organizing sports equipment includes a central post having a vertical axis, a plurality of holes substantially perpendicular to the vertical axis, and a plurality of tier members adapted to be inserted into one or more of the holes. The tier members include first and second members. The second members are adapted to be inserted into an end of and secured at any of a plurality of positions within, the first member. The rack may also have one or more holes that extend through the vertical post, the first member may be inserted through the holes, and a third member may be adapted to be inserted into an end of and secured at any of a plurality of positions within, the first member.
US08020715B2 Standalone open frame
A standalone open frame of an apparatus in one example is configured to support a rack-mount electronic component of a predetermined size selected from any of a plurality of predetermined sizes. The standalone open frame is configured to securely engage the rack-mount electronic component through employment of at least one set of rack-mount adapters that is configured for the predetermined size of the rack-mount electronic component.
US08020712B2 Device and associated methods for storing, displaying, and shaping items
A device and associated methods for storing, displaying, and shaping apparel items, linens, and the like. The device includes a plurality of suspended bands, each suspended band being suspended vertically and having a front portion and a rear portion, the front portion and the rear portion being attached one to another at a plurality of predetermined connection points, thereby providing a plurality of loops in each suspended band, wherein the plurality of loops is configured to store, display, and shape a plurality of articles, each of the plurality of articles placed in one of the plurality of loops.
US08020711B2 Controller retainer for a video game remote controller assembly
A controller retainer (14) for a video game controller (12) includes a retainer top (20), a retainer bottom (22), a first side (24), a second side (26), a retainer back (28) and a retainer front (30). The retainer top (20) includes a controller receiver (34) that receives the controller (12). The first side (24) and the second side (26) extend between the retainer top (20) and the retainer bottom (22). The second side (26) can be non-parallel to the first side (24). At least one of the sides (24, 26) can form an angle (44, 48) that is greater than 90 degrees relative to the retainer bottom (22). At least one of the sides (24, 26) can form an angle (250, 254) that is less than 90 degrees relative to the retainer back (28). The retainer bottom (22) can include a first surface (36) and a second surface (38) that is positioned at an angle greater than 90 degrees relative to the first surface (36). The controller receiver (14) can include one or more cavities (358) that each substantially encircles at least a portion of the controller (12).
US08020710B2 Method and equipment for liquid-liquid extraction
The invention relates to a method for reversing the dispersion formed in the mixing section of liquid-liquid extraction and kept condensed in the separation section and the separated solutions form the rear end of the separation section to flow back towards the feed end of the separation section. The invention also refers to the extraction equipment for implementing the reversed flow.
US08020702B2 Packaging for medical products and the like
The invention relates to a pack for pharmaceutical and/or medical products and/or food supplements, comprising a substrate which is fitted with one or more prefabricated, sealed product carriers which each contain one or more isolated products, for a given length of time, and is provided with instructions for application or administration time for the patient, wherein each product carrier exclusively contains products of a special active ingredient or a special combination of active ingredients in a special dosage, which is distinguished by the fact that each product carrier forms part of a single-strip blister pack that can be rolled up, and the product carriers each containing one or more isolated products are assembled on the substrate individually to the patient. Furthermore the invention concerns a method as well as an apparatus for the manufacture of such packs.
US08020699B2 Shoe box divider
A shoe box having one or more integrally formed dividers extending from the end of the shoe box, with the dividers shaped and sized to extend laterally across and to be positioned between a pair of shoes within the box to secure the shoes in fixed space relation to one another. In one embodiment, one divider is integrally formed from the shoe box blank extending from one end of the box with a separate, removable insert divider having a similar function at the other end of the box.
US08020692B2 Apparatus for transferring a tray
An apparatus for transferring an upright tray at high speeds that can sense an entrance and discharge of the tray. The apparatus includes a transferring unit with pulleys driven by a driving source to form a transferring path. The pulleys contact a fixing member, which is attached to a lower edge of a substrate and mask fixed to the tray. The apparatus also includes a sensing unit with a sensor installed beneath the transferring path to detect the entrance and discharge of the tray. The apparatus may also include rollers disposed to contact both sides of the upper portion of the tray, and the rollers may be coupled with moveable members encased within rails along the transferring path so that the rollers can translate when a tray passes between two rollers. The rollers along both sides of the tray can be arranged symmetrically or alternately in a zigzag pattern.
US08020688B2 Mechanical system for processing workpieces
A mechanical system is provided for processing workpieces, especially metal sheets. The system has a storage side with a workpiece store, a processing side with a plurality of mechanical processing units, and a mechanical feeding device which is provided between the storage side and the processing side. The feeding device comprises a plurality of feeding units, with different feeding units of the feeding devices being associated with different processing units. The feeding units are configured to pick up work pieces at the storage side and deliver them at the processing side to the respectively associated processing unit(s). In addition or as an alternative, the feeding units may pick up workpieces at the processing side from the respectively associated processing unit(s) and deliver the workpieces at the storage side.
US08020679B2 Rotatable foot-wheel used for luggage trunk
Disclosed is a rotatable foot-wheel used for a luggage trunk, that is provided to a user with a simple & convenient mounting and detaching means, such that in detaching a foot-wheel from a luggage trunk, upon securing a lower half portion element, all the user has to do is to move the step-shaped housing of an upper half portion element outward, so that balls on a ball portion are separated from the step-shaped housing, then rotating the foot-wheel to make it separate, thus achieving the purpose of detaching a rotatable foot-wheel, such that a user may replace worn-out elements by himself. When installing a new element or reinstalling a repaired element, similarly, upon securing a lower half portion element, moving step-shaped housing of an upper half portion element outward, so that balls on the ball portion rejoin with the step-shaped housing, hereby achieving the objective of fixing and re-installing foot-wheels.
US08020676B2 Tone ring for an anti-lock brake system
An ABS tone ring is made of a thermo-set plastic material transparent to electro-magnetic radiation. A plurality of discrete, spaced magnets or ferro-magnetic buttons is arrayed in a circle, embedded in the tone ring. The thermo-set plastic is heat tolerant and resistant to road salt and oxidation and protects the magnets or buttons from oxidation and corrosion.
US08020673B2 Braking system for high speed and power rotating machinery
A braking system for a high speed, high load rotor operating in a vacuum and suspended by a magnetic field such as in a flywheel energy storage device includes a pair of calipers disposed on opposed sides of the rotor for actuating a pair of annular friction discs into engagement with opposed sides of the rotor. Each caliper includes a mounting ring on its outer edge and an inner shallow annular groove in which it disposed one of the friction discs. A circulating fluid under pressure is directed into each annular groove for urging each friction disc into engagement with one of the opposed surfaces of the rotor for safely bringing the rotor to a stop such as in an emergency. The circulating fluid removes the heat generated by the braking action, while the controlled pressure applied by the discs also controls the position of the rotor when static such as during initialization.
US08020667B2 Lift apparatus
A lift apparatus to deploy from a storage position in a ceiling to a working position supported by the floor of a room below the ceiling where the lift apparatus is stored. A electrically motor powered drive raises a lift platform and lowers a lift platform to carry items from the room to an attic above the room. Controls are used to deploy the lift apparatus and to operate the lift platform on the lift apparatus. Preferred method of operating the lift apparatus is an acme screw drive which provides an appropriate mechanical advantage reducing the size of the motor required, but also will prevent downward movement either of the lift apparatus during the deployment procedure or of the lift platform while moving items from the attic to the room below the attic or from the room below the attic to the attic. The lift apparatus is supported by the floor and does not require any special bracing or strengthening of floor joists. When stored it is completely out of view of the living area of the room below the ceiling where the apparatus is stored.
US08020666B2 Lubrication device and oil pan
An oil pan separator 132 is disposed so as to divide a space within an oil pan 130 into a first chamber 30a and a second chamber 30b. The oil pan separator 132 has a protrusion 132b formed at a low position in such a manner that a portion of the first chamber 30a protrudes into the second chamber 30b. A protrusion upper-plate 132b1, which serves as an upper end portion of the protrusion 132b, is provided with an oil feed valve 138, which is a one-way valve, for allowing substantially only outflow of oil from the first chamber 30a to the second chamber 30b.
US08020658B2 Easy ejector seat with skeletal crash safety beam
An arrangement in passenger vehicles, that diverts the impact energy in impacts away from the passengers to the remaining mass of the vehicle thereby protecting the passengers, and in the same arrangement provides utilitarian access to the vehicle, such utilitarian access making it possible to both install multi-element contoured surround seats for passengers and the driver, and also safety devices and arrangements for head-on collision protection that protect the passenger. An indo-skeletal structural arrangement proposed for the vehicle, provides further benefits by targeting the strength of the vehicle to protect passengers while minimizing other massive elements in the vehicle.
US08020657B2 Systems and methods for obstacle avoidance
Embodiments of the invention provide systems and methods for obstacle avoidance. In some embodiments, a robotically controlled vehicle capable of operating in one or more modes may be provided. Examples of such modes include teleoperation, waypoint navigation, follow, and manual mode. The vehicle may include an obstacle detection and avoidance system capable of being implemented with one or more of the vehicle modes. A control system may be provided to operate and control the vehicle in the one or more modes. The control system may include a robotic control unit and a vehicle control unit.
US08020655B2 Variable pitch radiator fan control system
A control system for a variable pitch radiator fan is disclosed. The control system is capable of varying the blade pitch of the radiator fan from a normal position to a full reverse position. The control system can change the blade pitch based on a number of factors, including vehicle speed. In systems with a plurality of fans, the control system is also capable of independently controlling the blade pitch of each of the fans. In systems with multiple fans, the control system can produce a circulation mode.
US08020654B2 Drivetrain of a hybrid motor vehicle
A drivetrain is proposed for a hybrid motor vehicle, which comprises an electric machine arranged between the vehicle's transmission (2) and a differential (8) of a driven axle in order to drive the axle via a Cardan shaft (7) or another shaft capable of torque transfer in which a transmission (10) made as a reducing gear system is arranged in the force flow direction behind the electric machine in order to produce the necessary speeds and torques and transfer them to the Cardan shaft or to the other shaft.
US08020649B2 Legged robot
A legged robot that ensures a large step length while keeping the height of the trunk low is realized. The legged robot is provided with a trunk, a pair of legs, and a pair of sliding joints. Each of the sliding joints links one end of each of the legs to the trunk so as to slide in a front and rear direction with respect to the trunk. For each step, one leg is caused to slide forward, and the other leg is caused to slide backward. It is possible to ensure a predetermined distance between the end portion of the one leg and the end portion of the other leg. The legged robot can make the step length large by an amount that is equivalent to this distance irrespective of the length of the legs.
US08020646B2 Electric charging roof on an automobile
The present invention relates to an electric charging roof on an automobile. The present invention can be or a method of manufacture of an automobile having a body with an interior, a roof attached to the body, a layer of paint coated on top of the roof, an energy storage unit located within the interior of the body, and a layer of photovoltaic material deposited on top of the layer of paint to form a solar panel connected to the energy storage unit.
US08020639B2 Cutting removal system for PDC drill bits
The blades of a PDC bit have a nozzle between them preferably oriented laterally across the plane of the cutters on the blade and more preferably in a trough disposed adjacent the row of cutters. The cutting is less likely to adhere to the bit surface because the trough abruptly spaces back the bit surface and the spray being oriented radially preferably into the trough gets between the bit surface and the cutting before it can adhere to the bit surface using the fluid energy to drive the cutting into the junk slot.
US08020638B2 Seal with dynamic sealing surface at the outside diameter
A seal assembly for sealing between a journal shaft and a rotating cone cutter of a drill bit. In an embodiment, the seal assembly comprises a seal gland positioned between the journal shaft and the cone cutter. In addition, the seal assembly comprises an annular seal body disposed about the journal shaft within an annular recess. The seal body comprises a dynamic sealing surface at its outer diameter D1 that forms a dynamic seal with the sealing surface of the recess and a static sealing surface at its inner diameter D2 that forms a static seal with an outer bearing surface of the journal shaft.
US08020637B2 Downhole lubrication system
What is claimed is a downhole lubrication system comprising a drill string component comprising an outer diameter and an inner bore. A reservoir may be disposed intermediate the outer diameter and inner bore. A piston may be disposed at least partially within the reservoir. At least one channel may extend from the reservoir to a bearing surface. As drilling fluid is passed through the inner bore, the piston may be pressurized, urging lubricant toward the bearing surface via the at least one channel.
US08020636B2 Method and apparatus for introducing a geothermal probe into the earth
In a method for introducing a geothermal probe into the earth, a geothermal probe is introduced in a radiating manner with the drill head into the earth. After the drilling operation, the drill head remains in the earth. The drill head is introduced into the earth with the assistance of a rod linkage.
US08020634B2 Method and apparatus for supporting a downhole component in a downhole drilling tool
An apparatus for supporting a retrievable downhole component within a drill collar includes a sleeve that is positionable about the downhole component and is mounted within the drill collar. The sleeve is adapted to limit the lateral movement of the downhole component. The sleeve includes a series of fins or is lined with an energy absorbing material which protects the component from shock and vibration while at the same time enables the component to be retrieved should the drill string become stuck in the borehole.
US08020631B2 Reversible valve assembly for a pneumatic tool
A pneumatic rotary tool comprises a housing, a square drive output member supported by the housing for rotational movement, and a pneumatic motor disposed in the housing for driving rotation of the square drive. A valve is disposed in the housing for rotary movement between a first position in which pressurized air powers the motor in a forward direction and a second position in which pressurized air powers the motor in a reverse direction. An actuator supported on the housing for translational movement is connected to the valve by a lost motion connection system. The lost motion connection system comprises first and second connector elements that are engaged for generally conjoint movement in a first direction and for relative sliding movement in a second direction generally perpendicular to the first direction.
US08020629B1 Low-disturbance tillage tool
A low till agricultural implement has a frame with a hitch for attachment to a tractor. An shallow-angled blade gang composed of an array of parallel ultra-shallow inclined disk blades is mounted to the front of the frame, and is followed by at least one wheel, spiral reel, and spike tooth harrow. The ultra-shallow inclined disk blades are mounted perpendicular to rotating shafts, and slice through field residue and soil with minimal lateral movement of material. The blades of the following reel then make cuts nearly perpendicular to those made by the circular blades. A trailing rolling basket or other finishing implement follows the spiral reel. The implement aids in drying the soil surface layer. Also, by cutting up residue and evenly spreading debris and topsoil, the implement helps to warm up the soil.
US08020628B2 Fire extinguishing device
A fire extinguishing device comprises an extinguishing agent tank and pressurised gas generation means such that the generated gas can enter into the tank when the extinguishing agent is to be ejected on a fire area.The device according to the invention also comprises means of regulating the pressure inside the extinguishing agent tank: thus, the pressure inside the tank remains controlled with time, with a profile predetermined by the user as a function of regulatory parameters and criteria, in order to optimise the action of the extinguishing agent and the necessary quantity.
US08020625B2 Monobore construction with dual expanders
A method and apparatus of expanding tubing. The method may include expanding a first portion of an expandable tubing into contact with a surrounding tubing using an upper expander; expanding a second portion of the expandable tubing that extends beyond the surrounding tubing using a lower expander; and further expanding the first portion of the expandable tubing using the lower expander, thereby expanding the surrounding tubing. The apparatus may include a fluted expander coupled to a first end of the expandable tubing; and a collapsible cone disposed inside the expandable tubing.
US08020623B2 Control module for subsea equipment
A subsea control module for providing control of subsea equipment is provided. The design allows for replacement and retrieval of a subsea control module with a single remotely operated vehicle (“ROV”) deployment from a vessel. The subsea control module can provide distributed electrical and hydraulic control functions via multiple directional control valve modules, multiple pilot valve modules, and a central electronic control module. Each directional control and pilot perform a set of functions so that replacement of a single module does not require disassembly of any other components) or hydraulic connection. Similarly, each pilot valve module can include a set of pilot valves, pressure transducers, solenoids and electronic circuitry to perform a limited set of functions so that failure of a single pilot valve module does not result in failure of the entire subsea control module. The central electronic control module can provide electrical signals to each pilot valve module which can provide hydraulic signals to each directional control valve module and to off-board hydraulics through a subsea equipment receptacle mated with the subsea control module.
US08020622B2 Annealing of materials downhole
A downhole annealing system includes a component to be annealed; a steam generating catalyst in proximity to the component; and a reactant fuel selectively communicative with the catalyst to produce an exothermic reaction and method.
US08020619B1 Severing of downhole tubing with associated cable
Methods for severing tubing having a cable extending along its length include lowering a first cutting torch into the tubing to a desired location, igniting the first cutting torch, and directing cutting fluids in a circumferential arc to form a first cut in the tubing and sever the cable. A second cutting torch can be lowered and positioned relative to the first cut, and ignited to direct cutting fluids radially to cut the tubing all around the circumference, enabling retrieval of the tubing. The need for precise positioning and alignment of the torches to sever both the cable and tubing is thereby eliminated.
US08020617B2 Well treatment to inhibit fines migration
A method of treating a formation with a well treatment fluid comprising a clay stabilizer comprising a polyamine ether before or during a well treatment such as cleanup, gravel packing, fracturing, or the like. The stabilizer can continue to inhibit fines migration in the treatment zone even after an aqueous fluid without the stabilizer, e.g. a production fluid or injection fluid, displaces the original treatment fluid. When the stabilizer is used in a viscoelastic system (VES) with an acid blend component, the viscosity of the VES is essentially unchanged relative to the VES alone without the stabilizer.
US08020615B2 Truck-mounted pumping system for treating a subterranean formation via a well with a mixture of liquids
An efficient truck-mounted injection system for injecting a combined aqueous or hydrocarbon-based liquid stream and a liquid carbon dioxide stream via a well into a subterranean formation.
US08020614B2 Apparatus for the decomposition of hydrogen peroxide
A method and apparatus for the decomposition of hydrogen peroxide, particularly for use as a hydrocarbon well bore and pipeline cleaning and maintenance aid. The apparatus includes a decomposition engine having an inlet manifold extending centrally within the housing and having means for passage of hydrogen peroxide through the manifold wall through a catalyst stack. The decomposition products produced are directed through an exit venturi. The decomposition products are passed through a piping system which allow the selective venting or introduction of the products into a facility to be cleared. Control means are coupled to the engine and valving to allow for the selective adjustment of temperature and or pressure of the decomposition products, as well as the introduction and diversion of the blend into the facility.
US08020610B2 Exhaust gas heat exchanger and method of operating the same
The invention relates to an exhaust gas heat exchanger in an exhaust gas recirculation arrangement. The heat exchanger includes a plate stack which is surrounded by a housing. The plate stack can include two plates which are connected at their longitudinal edges to form a flat tube which contains a turbulator through which exhaust gas flows. The heat exchanger can also include a coolant duct which is equipped with flow directing elements arranged between two flat tubes. In order to make the exhaust gas heat exchanger more resistant to changing temperature stresses, the invention provides that the flow directing elements can be formed from a corrugated plate in which ducts with inlets and outlets are formed. At least some of the ducts in the inlet area of the coolant have a nonlinear profile so that changes in length are permitted between the plate stack and the housing.
US08020606B2 Method of making a wax mold for a golf club head
A method of making a wax mold for a golf club head includes the steps of: a) positioning a male die in a cavity of a female die; b) injecting and filling dissolvable wax into a gap between an outer surface of the male die and an inner surface of the female die; c) cooling and solidifying the dissolvable wax in the gap to obtain a wax shell; d) removing the wax shell from the male die and the female die, the wax shell being provided with a hole extending through inner and outer surfaces of the wax shell; and e) inserting a wax plug into the hole to form the wax mold.
US08020605B2 Continuous steel slab caster and methods using same
A steel slab caster having a mold with movable opposing mold faces, and methods of using the steel slab caster for casting steel slabs. The movable opposing mold faces may be laterally positioned with respect to each other in a predefined configuration. Molten steel may be introduced into the mold of the slab caster. The forces exerted by the molten metal on at least one of the opposing mold faces and/or the lateral positions of the opposing mold faces may be monitored during casting at locations on at least one of the movable mold faces. The position of the monitored mold face may be controlled during casting responsive to the monitored forces and/or monitored position.
US08020604B2 Method for the layered construction of models
A method for the layered construction of models is disclosed, whereby at least one first material is applied to a building platform and then a second material is selectively applied in layers. Both application steps are then repeated until the desired model is obtained and the both materials form a solid body with a desired mixing ratio. The first material comprises a moulding sand and the first and/or the second material comprises a binder, comprising a crystalline salt binder, or/and a protein binder.
US08020603B2 Blocking element, particularly for a gate used as a checkpoint
A blocking element for a gate used as a checkpoint includes a housing having a height, a support having a second height and arranged in the housing, a horizontally directed pivot axis furnished on the support, and a pair of blocking vanes each of which are in a form of a circle sector, wherein the pair of blocking vanes are mounted, by way of a tapering sector inner side of the blocking vanes and such that the blocking vanes can pivot about the horizontally directed pivot axis. A drive motor is connected to the blocking vanes on the pivot axis, wherein the drive motor in response to a signal, slides one of the blocking vanes into the other in a telescopic manner from a position which blocks a passage of the gate into a position, which opens the passage of the gate, and wherein the blocking vanes can be drawn apart from one another in order to again block the passage of the gate.
US08020602B2 System for operating top down/bottom up covering for architectural openings
A control system for a top down/bottom up covering for an architectural opening includes a common drive shaft for raising and lowering a middle rail and a bottom rail between which a flexible shade material extends. A control element operates a spool lift system and a roller lift system, with the spool lift system being associated with the middle rail and the roller lift system being associated with the bottom rail. The lift systems are sequentially operated when the drive shaft is driven in either direction by the control element.
US08020599B2 Common carrier
A method for mounting a film, used to fabricate a mask for use in screening an electronic device, to a common carrier frame. The common carrier frame has an outer edge along an outer periphery and an opening in a central portion of the frame. The method includes applying external pressure to the frame outer edge to compress the frame inward and reduce the opening, securing a film to the frame, the film covering the frame opening, and releasing the pressure on the frame to expand the opening and place the film in tension.
US08020597B2 Oxidation shield for tires
The invention is directed to increasing the endurance of a tire by providing an oxidation shield strip located in the vicinity of a critical area of the tire. The oxidation shield strip acts as a local oxygen diffusion barrier.
US08020594B2 Debarking mechanism
The invention relates to a debarking mechanism (1) for the decortication or pretreatment of logs (2) for separately performed final debarking and for the discharge of at least some of the bark removed from a wood stream passing through the debarking mechanism, the said debarking mechanism comprising a number of rotatable debarking shafts (3, 3′) extending parallel to an advancing direction (A) of the logs (2) to be fed therethrough, which are provided with a number of teeth (4) extending beyond the circumferential surface of the shaft (3, 3′).
US08020588B2 Tire repair solution can
A tire repair solution can includes a body and a cap closed on the body. The cap is provided with an intake, an outlet, and a guide tube extending from the underside of an intermediate portion into the body. Then an exterior tube is connected with the intake and also with an air compressor. A one-way air valve is fitted in the intake, and the guide tube has a through hole in its wall, and a slide block is fitted on the through hole to close it up. The outlet has a back-pressure valve fitted inside, and is connected with a connect tube for connecting with a joint to be connected with an air valve of a tire. Then the tire repair solution can is possible to be used in a normal position and in an inverted position, convenient to operate and saving time and labor largely.
US08020585B2 Apparatus and method for detecting a leak within a duplex valve assembly
A leak detection system for detecting a leak within a duplex valve assembly is disclosed. The duplex valve assembly includes a first valve, a second valve and an intermediate fluid flow passageway fluidly interconnecting the first valve to the second valve. The leak detection system includes a means for indicating whether the first valve is maintained in a closed position or an open position, a means for indicating whether the second valve is maintained in a closed position or an open position, and a pressure change detector within the intermediate fluid flow passageway. The pressure change sensing means is at least partially positioned within the intermediate fluid flow passageway for detecting a pressure change within the intermediate fluid flow passageway.
US08020573B2 Microfluidic channels and reservoirs in portable electronic devices
A microfluidic case and an electronic device including a microfluidic case are described.
US08020572B2 Umbrella structure
An umbrella is provided that comprises a canopy and a support structure upon which the canopy is supported. The canopy can comprise a first panel and a second panel. The support structure can comprise a spacer configured to provide a gap separating the first and second panels to permit air to be vented through the canopy.
US08020569B2 Portable concrete washout facility
Apparatuses and methods can reduce washout water use and facilitate removal of waste. A transport vehicle can include a water holding tank, a washout tub, and a means for conveying water. Water can be conveyed from the water holding tank to clean concrete from utensils, chutes, pumps, and other implements through a hose. The water can then be separated from the concrete and conveyed back into the water holding tank using a hose and a strainer.
US08020568B2 Dishwasher
The present invention relates to a dishwasher. A dishwasher includes a washing tub in which dishes are placed, a steam generator that generates steam and a nozzle part in which a flow direction of the steam is diverted at least one time to allow the steam exhausted to the washing tub.
US08020567B2 Palladium-containing nanoscale catalysts
A method of making palladium-containing nanoscale catalyst particles comprising subjecting a starting material to laser energy so as to form a vapor and condensing the vapor so as to form the catalyst particles. The catalyst comprises nanoscale particles of palladium and/or palladium oxide incorporated in and/or on nanoscale particles of a metal or metal oxide. The nanoscale catalyst, which can be incorporated in the tobacco cut filler, cigarette wrapper and/or cigarette filter of a cigarette, is useful for low-temperature and near-ambient temperature catalysis. The nanoscale catalyst can convert carbon monoxide and nitric oxide that are found in mainstream smoke to carbon dioxide and nitrogen, respectively. The nanoscale catalyst may also be incorporated into a hydrocarbon conversion reactor, into a vehicle exhaust emissions system, into a laser, into a fuel cell or used in an air filter or for emissions reduction in the cold starting of an automobile engine.
US08020563B2 Ultra thin ear plugs
An ultra thin ear plug enables sound protection to be conveniently carried inside a wallet. The ear plug is made of a slow-recovery thin foam strip. The user rolls the strip into a cylinder, compresses the cylinder and inserts the compressed foam cylinder into the ear canal. The foam strip can incorporate a pressure sensitive adhesive on one surface so that the ear plug retains the cylindrical shape after being rolled up. Tapered ends can assist sealing by minimizing the existence of an air gap at the center of the cylinder and on the ear canal. A flexible envelope about 0.008 inch thick and of credit card dimensions can provide packaging for two or more ear plugs and can provide a large surface area for promotional advertising. Total thickness of the packaged ear plugs can be thinner than 0.050 inch or the thickness of a credit card.
US08020561B2 Surgical drape having a fluid collection pouch with an inflatable rim
According to one embodiment of the invention, an apparatus used for collecting fluids during a medical procedure includes a fluid collection pouch having an open end, an inflatable bladder substantially surrounding a perimeter of the open end, and a pump coupled to the inflatable bladder. The pump is operable to inflate the inflatable bladder. According to another embodiment of the invention, an apparatus used for collecting fluids during a medical procedure includes a fluid collection pouch having an open end with a perimeter, a channel surrounding approximately one half of the perimeter of the open end, an inflatable bladder disposed within the channel, and a pump disposed within the channel and coupled to the inflatable bladder. The pump is operable to inflate the inflatable bladder.
US08020560B2 Devices, systems and methods using magnetic force systems affecting the tongue or hyoid muscles in the upper airway
Systems and methods are provided for resisting posterior movement of the tongue during sleep, thereby keeping an airway open. The systems and methods employ a first structure that can be placed either in or on a tongue within an oral cavity and/or in a region of hyoid muscle. The first structure includes a ferromagnetic material. The systems and methods employ a second structure that can be placed either in or on external tissue outside the oral cavity and/or in or on external tissue outside the oral cavity in a desired relationship with the first structure. The second structure includes a magnetic material that magnetically interacts with the ferromagnetic material by attracting the ferromagnetic material, thereby resisting posterior movement of the tongue.
US08020554B2 Opening device for fluid product dispensing device
An opening device (40) for opening an individual reservoir (21) containing a single dose of fluid, such as powder, said reservoir (21) including a closure wall (23), said opening device (40) comprising perforator and/or cutter means (41) that are adapted to cut said closure wall (23) of the reservoir (21) in such a manner that the cut portion(s) (24) does/do not obstruct the opening(s) (25) that is/are formed.
US08020550B2 Intervening heat conducting medium
A device for recovering, harnessing and reutilizing heat from along a side wall of a cooking vessel, a cooking vessel comprising such device, and a method of using such device. The device can be constructed as part of the cooking vessel or can be an accessory that attaches to different sized cooking vessels via adjusting means. Specifically, the device is made of a highly conductive and durable metal or metal alloy and comprises a skirt-like body, extending from the side of the cooking vessel and attached to a perforated slip-like portion that may be attached to or integrated into the side wall of the cooking vessel. The device harnesses and reutilizes heat from the underside of the body and safely and efficiently transfers the heat to the cooking vessel through conduction. The device can further comprise diffusers, a bottom portion with a plurality of ventilation openings, and a heat shield.
US08020549B2 Self-aligning telescoping downdraft ventilator assembly
A telescoping downdraft ventilator with a system for self-aligning a vent within a housing is provided. The telescoping downdraft ventilator of the present invention comprises a housing with a track, a vent sized to fit within the housing, a drive assembly that moves the vent along the track, and a guide attached to the vent for engaging the track, wherein the guide is operably coupled with a biasing element. In one embodiment, a pair of guides is respectively coupled with pair of compression springs and is positioned on opposite sides of the vent along a line that is substantially perpendicular to a pair of tracks.
US08020548B2 Method for producing a household appliance and a household appliance
A household appliance includes a storage compartment and a useful storage volume that is closable by a door. The door is able to slide into the storage compartment with a guiding system during opening. To simplify the assembly of the household appliance, the useful storage volume is provided in a useful storage volume module while the storage compartment is provided in a storage compartment module. The two modules represent two separate components that are assembled so as to form the household appliance.
US08020547B2 Pellet stove
A pellet stove is disclosed comprising a reverse flow heated air pathway defined by stove sections. In an illustrated embodiment, the space between upper and lower stove sections is open to provide a heating or oven area. The stove can be readily disassembled in part for easy portability.
US08020538B2 Cooled EGR system for coolant heating during cold engine start
Various systems and method for heating an engine in a vehicle during a cold start are described. In one example, thermal efficiency of the engine is improved by heating engine coolant via a high-pressure exhaust gas recirculation (HP-EGR) system. For example, after light-off of an exhaust catalyst, exhaust gas is routed through the HP-EGR system which includes a HP-EGR cooler. Heat from the exhaust gas is then used to warm the engine coolant via the HP-EGR cooler. One or more engine operating parameters are adjusted in response to the HP-EGR entering the engine during the cold start in order to maintain combustion stability.
US08020536B2 Cooler arrangement for a motor vehicle
A cooler device for a motor vehicle includes a charge air cooler with a first cooler element, an EGR cooler with a second cooler element, the first and second cooler elements are arranged beside and substantially in plane with one another. The cooler elements each comprise pipelines for the respective medium to be cooled. Air passages for a cooling air flow are arranged between the pipelines. A screening device is arranged in front of or behind the cooler elements to regulate the air flow through elements. A control mechanism switches the screening device to and from between an open position in which the screening device does not, or at least does not appreciably, limit air flow through the air passages of the cooler elements, and a closed position in which the screening device limits the air flow through the air passages between all of the pipelines of the second cooler element and the air flow through the air passages between only some of said pipelines of the first cooler.
US08020532B2 Fuel injection control during start-up
A method of operation of an internal combustion engine including an intake valve coupled to a combustion chamber, and a port fuel injector positioned upstream of the intake valve in an intake manifold including a throttle, the port fuel injector fluidly coupled to a fuel rail included in a fuel delivery system housing a fuel at least partially composed of alcohol. The method including, during a start-up operation when the temperature of the engine is below a threshold value and fuel is actively being injected into the intake manifold, adjusting a cone angle of a fuel spray from the port fuel injector, via adjustment of the throttle and/or fuel delivery system, based on the position of the intake valve.
US08020527B2 Variable valve timing system
A valve timing control is executed by an ECU that controls an engine and an electric-motor ECU that controls an electric motor. The ECU and the electric-motor EDU serve different functions. The ECU sets the target phase of an intake valve based on the engine operating state, and prepares a rotational speed command value for the electric motor that serves as an actuator such that a phase feedback control loop, in which the intake valve phase is caused to match the target phase, is formed. The electric-motor EDU forms a feedback control loop for the motor speed, in which the electric power supplied to the electric motor is controlled such that the electric motor rotates in accordance with the rotational speed command value.
US08020526B2 Hydraulic unit for a cylinder head of an internal combustion engine with hydraulic, variable valve train
A hydraulic unit (5) for a cylinder head (2) of an internal combustion engine with a hydraulic, variable valve train (1) is provided. In the hydraulic unit, a high-pressure chamber (11), a medium-pressure chamber (12), and also a low-pressure chamber (16) used as a hydraulic medium reservoir are formed. The low-pressure chamber communicates merely via a choke opening (17) with the medium-pressure chamber, wherein the choke opening passes through a separating wall (18) extending between the low-pressure chamber and the medium-pressure chamber.
US08020525B2 Cylinder charge temperature control for an internal combustion engine
A method is disclosed for operating an engine with a first cylinder providing a net flow of gases from the intake to the exhaust while combusting; and a second cylinder providing a net flow of gases from the exhaust to the intake. Both the first and second cylinders may carry out combustion during such operation.
US08020523B2 Absorbent article for animal
An absorbent article for an animal has an interior surface for facing the animal and an exterior surface opposite the interior surface. The absorbent article includes an abdomen part for facing the abdomen of the animal and a back part for facing the back of the animal. Two engaging members, which are capable of being removably secured to the exterior surface of the back part, are provided on each side of the abdomen part and spaced apart from each other in a longitudinal direction along which the abdomen and back parts are arranged.
US08020522B2 Circuit and method for checking the impedance of electrodes and for controlling the intensity of an electric stimulus
A remote receiving circuit for providing and controlling an electric stimulus applied to an animal including an electronic switch powered by a battery in series on a primary of a high-voltage transformer and whose on/off state can be modified by control pulses to create a source of alternating current to supply the primary of the transformer. The circuit further includes a first electrode and a second electrode configured to be in contact with the animal and connected to the respective ends of a secondary of the transformer, and a device for measuring the peak intensity of a stimulus current applied by the electrodes to the animal's body.
US08020514B2 Batch-type remote plasma processing apparatus
A plasma processing apparatus comprises a processing chamber in which a plurality of substrates are stacked and accommodated; a pair of electrodes extending in the stacking direction of the plurality of substrates, which are disposed at one side of the plurality of substrates in said processing chamber, and to which high frequency electricity is applied; and a gas supply member which supplies processing gas into a space between the pair of electrodes.
US08020513B2 Cooled device for plasma depositing a barrier layer onto a container
A device for plasma depositing a thin film of a barrier material on an internal wall of a container includes: an electromagnetic wave generator; a cavity connected to the generator and made of a conductive material; a chamber positioned in the cavity and made of a material that is transparent to electromagnetic waves coming from the generator; and openings made in the cavity and oriented parallel to one another.
US08020504B2 Structural component for producing ship hulls, ship hulls containing the same, and method of manufacturing the same
A structural component for a ship hull has a metal element, an electro-conductive element, and a non-conductive element which disconnects the metal element from the electro-conductive element so as to prevent an electrical contact of the electro-conductive element with the metal element.
US08020496B1 Retractable platform device for use with subway trains and associated method
The device would be a metal or heavy-duty plastic retractable loading platform, designed to bridge the gap between the train car and the station platform. When not in use, the retractable platform would be retracted into a position of concealment beneath the door(s) of the train car. At the station, the device would move outward, either abutting or overlapping the station platform, just prior to the train door(s) opening.
US08020495B2 Vehicle comprising a pitch joint
A vehicle includes a longitudinal axis, a first superstructure, a second superstructure that adjoins the first superstructure in the direction of the longitudinal axis of the vehicle, and a pitch joint that connects the first superstructure and the second superstructure. The pitch joint is embodied as a rod assembly, which extends substantially on one pitch joint plane and comprises two pitch joint arms, two pitch joint rods, and a coupling device. The pitch joint arms are pivotally hinged to the first superstructure in the region of their first end, each of the pitch joint rods is pivotally hinged to the free second end of one of the pitch joint arms in the region of its first end, the pitch joint rods are pivotally hinged to the second superstructure in the region of their second end, and the coupling device couples the two pitch joint such that they perform swiveling movements in opposite directions about their hinged points.
US08020492B1 Low lethality impact payload delivery sub-munitions and methods of manufacture
A low lethality impact sub-munition and method of making same, the sub-munition including a closed compartment containing a concentration of payload material in close proximity to the forward end of the compartment and a charge of ballast material rearward of the payload material. At least the forward end of the compartment is sufficiently porous that at least some of the payload material will disperse through the forward end but not the ballast material upon impact of the sub-munition with a target.
US08020491B2 Method and apparatus for defending against airborne ammunition
A method and apparatus for defending against airborne assault ammunition. The assault ammunition is located with at least one position-locating device. The flight path of the assault ammunition is iteratively calculated using the determined ballistic coefficient of the assault ammunition. A firing control solution is determined for firing a fragmentation-type defense ammunition, which is fired with a large-caliber weapon, especially one having a caliber of at least 76 mm. A fuse of the defense ammunition is set after the firing and/or the defense ammunition is remotely detonated, and after the firing the defense ammunition is ignited or remotely ignited at an ignition time point TZ. Alternatively, the ignition of the defense ammunition is initiated by a proximity igniter disposed in the defense ammunition.
US08020489B2 Squib and gas generator
A squib includes an SCB chip connected to electrode pins so as to ignite by externally applied electric current, and a capacitor electrically connected to the electrode pins in parallel with a thin film resistor on the SCB chip. The capacitor is arranged above the header, and the SCB chip is directly mounted on the capacitor so that the thin film resistor on the SCB chip and the capacitor are electrically connected through electrodes provided on a substrate of the SCB chip, while the size of the SCB chip is smaller than that of the capacitor. In this way, the invention provides the squib which effectively eliminates surface unevenness when the capacitor is located, and enables the connection of the SCB chip and the capacitor to the electrode pins only by one reflow process, and further makes it possible to use an even smaller chip than those used in the prior art.
US08020487B2 Food processing apparatus and method
A food processing system includes a food processing chamber for processing a food product, such as meat products such as hot dogs, sausages, poultry, fish, and other food items. A high speed serial to parallel loading station delivery system is provided. The food product is loaded onto a conveyor in the processing chamber having a plurality of two-piece food product cradles having openings receiving the food product at the loading station and discharging the food product at the unloading station and having gaps between food product carriers distally oppositely spaced from the openings and defining food product cavities therebetween, the width of the openings varying during traversal of the conveyor through the chamber from the loading to the unloading stations.
US08020485B2 Lifting gear valve arrangement
Disclosed is a lifting gear valve arrangement for controlling a double-action lifting gear or an add-on unit with a continuously adjustable directional control valve and with an individual pressure compensator via which a pressure medium volume flow to and from a lifting cylinder of the lifting gear can be controlled. A proportionally adjustable pressure limiting valve is provided in the pressure medium flow path between an outlet connection of the directional control valve and a working connection of the lifting gear valve arrangement, via which the pressure inside this area can be limited to a maximum value. The adjustment of the pressure limiting valve is preferably performed as a function of the operating states of the lifting gear or of the type of add-on unit.
US08020484B2 Field gun tow
A towed howitzer interchangeable between a travelling mode and a firing mode, the towed howitzer being suitable for deployment on a ground plane, the towed howitzer includes: a barrel for firing a projectile, the barrel defining a barrel axis, a cradle for holding the barrel at an azimuth and an elevation, a mechanism for varying the elevation and the azimuth of the barrel and a front leg for supporting an aiming mechanism. The front leg includes a wheel intended for contacting the ground at a foremost ground contact point; a suspension mechanism for resiliently mounting the wheel and a restraining mechanism for selectively enabling the suspension. The howitzer includes a back leg for contacting the ground at a backmost ground contact point. The back leg includes a hinging for swinging the back leg out of contact with the round.
US08020483B2 Armor module
A reactive armor module for protecting a target from an incoming projectile, and comprising at least one armor cassette formed of a front base plate and a rear base plate sandwiching between them at least one layer of energetic material, the front base plate and the rear base plate being adapted, upon impact of the projectile with the energetic material, to be propelled in opposite directions; the armor module further comprising at least one non-energetic auxiliary plate spaced from the armor cassette and positioned essentially along the expected trajectory of either the front or the rear base plate, such that when propelled, the velocity of a base plate facing the auxiliary plate is reduced upon collision with the auxiliary plate.
US08020482B1 Air-based vertical launch ballistic missile defense
An air-based vertical launch system is described by means of which ballistic missile defense can be achieved effectively from a large aircraft. A method for ensuring safe missile egress is proposed. A method for ensuring that the missile strikes the ballistic missile payload section is also proposed. Together, the air basing method employing vertical (or near-vertical) launch and semi-active laser guidance yield an affordable and operationally effective missile defense against both tactical and long-range ballistic missiles. The affordability of missile defense is enhanced by the ability of an aircraft equipped with a vertical launcher to simultaneously carry out several defensive and offensive missions and to provide other capabilities such as satellite launch at other times. Methods for employing an aircraft equipped with a vertical (or near-vertical launcher) and one or more of the proposed egress assurance mechanisms in offensive ground attack missions, mine laying, and satellite launch missions are also proposed.
US08020480B2 Self-lubricating ropes useful in the isolation sections of ocean-bottom cables
A rope having an outer jacket made of braided fibers surrounding a PTFE core and a method for making the rope. The rope is useful in high-tension, high-friction applications, such as serving as a stress member in an isolation section of an instrumented ocean-bottom cable. The PTFE core, which may consist of one or more strings of PTFE valve packing material, squeezes through the braided jacket as the rope is deformed in high-stress regions such as around a bollard. The PTFE material squeezed through the outer jacket lubricates the outside of the rope in the high-stress region to lower the friction.
US08020478B2 Window shade cutting apparatus
A window shade cutting apparatus includes a hollow frame which has a base, a front wall, a rear wall and a plurality of side walls to hold a shade guiding assembly, a cutter assembly located above the shade guiding assembly and coupled therewith, a clipping assembly located at one side of the base and a shade measuring assembly located at another side of the base. The cutter assembly has a transmission screw bar to drive a first toggle lever set and a second toggle lever set at two opposite sides to move a cutter vertically up and down to cut off a window shade easily with less effort.
US08020472B2 Nut capturing socket assembly
A nut capturing socket assembly includes a socket, an inner sleeve and an outer sleeve. The socket has a non-circular shaped interior opening to receive a nut and a circular cylindrical outer surface area. The inner sleeve has a circular cylindrical opening at one end sized to slidingly receive the socket. The inner sleeve has a non-circular shaped opening at an opposite end of the same size and configuration as the non-circular shaped interior opening of the socket. A first abutment element is fixed relative to the socket and a second abutment element is fixed relative to the inner sleeve. An elastic element is positioned between the first and second abutment elements to bias the inner sleeve rotationally relative to the socket. The outer sleeve surrounds the inner sleeve is sized to engage the inner sleeve to co-rotate therewith. The outer sleeve captures the elastic element internally of the socket assembly. All of the components of the socket assembly are permanently assembled together such that no components can become detached from one another.
US08020469B2 Base frame and parking brake device
A base frame 1 is such as to be fixedly provided on a body so that a parking brake device 2 is provided thereon which causes a brake applying portion of a parking brake to apply the brake and release the brake so applied, a base frame main body 1a is molded into an integral unit, a parking device mounting portion 10A where the parking brake device 2 is mounted is formed on one side A of the base frame man body 1a, and a gearshift device mounting portion 10B where a gearshift device of a transmission is provided is formed on the other side B thereof.