Document Document Title
US07679408B2 Glitchless clock multiplexer optimized for synchronous and asynchronous clocks
A circuit for switching clock signals with logic devices using a glitchless clock multiplexer optimized for synchronous and asynchronous clocks. The circuit comprises an asynchronous clock group and one or more synchronous clock group(s). The asynchronous group comprises a plurality of high frequency glitchless control (HFGC) blocks for asynchronous clock sources. Each synchronous group comprises a plurality of HFGC blocks for synchronous clock sources. The circuit comprises a multiplexer for receiving delayed input clock signals from HFGC blocks for asynchronous clock sources and from HFGC blocks for synchronous clock sources. A switching latency from a first input clock signal belonging to a synchronous group to a second input clock signal belonging to the same synchronous group is one clock cycle or less of the second input clock signal. Switching latency is the period in which no clock pulse appears at the final output of the circuit.
US07679405B2 Latch-based sense amplifier
Various embodiments of the present invention provide systems and circuits for processing information through comparison of input signals. For example, various embodiments of the present invention provide differential latch circuits. Such differential latch circuits include an input stage and a latch stage. The input stage provides an interim output that is available during a defined period, and the latch stage is operable to latch the temporary interim output during the defined period using a common clock.
US07679402B2 Methods and apparatus for monitoring power gating circuitry and for controlling circuit operations in dependence on monitored power gating conditions
A circuit incorporating a current starved ring oscillator is coupled to a power gate switch in an integrated circuit. The circuit incorporating the current starved ring oscillator amplifies a voltage difference between a virtual ground associated with the power gate switch and ground, and converts the difference to a frequency. Digital logic monitors the output of the ring oscillator using a counter and a reference clock. Control circuitry controls operation of the integrated circuit in dependence on the monitored conditions associated with the power gate switch. A method monitors a virtual ground voltage across a power gate switch in an integrated circuit; and controls operation of the integrated circuit in dependence on the monitored virtual ground voltage.
US07679399B2 Programmable interconnect structures
A programmable interconnect structure for an integrated circuit comprises: a pass-gate fabricated on a substrate layer to electrically connect a first node to a second node; and a configuration circuit including at least one memory element to control said pass-gate fabricated substantially above said substrate layer; and a programmable method to select between isolating said first and second nodes and connecting said first and second nodes.A programmable buffer structure for an integrated circuit comprises: a first and a second terminal; and a programmable pull-up and a programmable pull-down circuit coupled between said first and second terminals; and a configuration circuit including at least one memory element coupled to said pull-up and pull-down circuits; and a programmable method to select between isolating said first terminal from second terminal by deactivating said pull-up and pull-down circuits, and coupling said first terminal to second terminal by activating said pull-up and pull-down circuits.A method of forming a programmable interconnect structure for an integrated circuit comprises: fabricating one or more pass-gates on a substrate layer to electrically connect two points; and selectively fabricating either a memory circuit or a conductive pattern substantially above said pass-gates to control a portion of said pass-gates; and fabricating an interconnect and routing layer substantially above said memory circuits to connect said pass-gates and one of said memory circuits and conductive pattern.
US07679398B2 Reprogrammable instruction DSP
A software programmable DSP with a field programmable instruction set is described where customized instructions can be created, or certain existing instructions can be modified, at the user's location after taking delivery of the processor. The FPGA fabric used to implement the reprogrammable instructions is restricted to supporting the software-programmable DSP—never functioning as an independent coprocessor—and therefore enabling the reprogrammable instructions to exist in the normal stream of DSP software execution. DSP-type functions implemented in the FPGA fabric are also restricted to being automatically generated such that they are synchronous with the processor clocks—enabling easy conversion to an ASIC. Designs implemented on a die containing a DSP with an FPGA-style reprogrammable instruction fabric may be migrated to a smaller die within a family of DSP die containing hard-wired ASIC instruction fabrics, all members of this ASIC family having common I/O functionality to enable operation in the same system socket.
US07679397B1 Techniques for precision biasing output driver for a calibrated on-chip termination circuit
Techniques are provided for controlling an on-chip termination (OCT) in an output driver. The OCT control circuit calibrates the effective resistance of transistors in the output driver to match an external resistor using a feedback loop. The feedback loop monitors the output voltage and generates an analog calibration signal that varies the output impedance of a selected group of the output transistors that are enabled to drive the output terminal. Digital signals under the control of the user select the number of output transistors to be enabled based on the output driver requirements of the circuit. The analog calibration signal varies the signal level driving the selected output transistors to modify the effective output impedance of the circuit for better termination matching.
US07679393B2 Testing apparatus for fixing and testing a LCD panel
A testing apparatus for fixing and testing a LCD panel includes a location frame and an adjustable base for fixing a camera set. The location frame and the adjustable base are connected together via a holder set. The adjustable base is able to be adjusted a distance between the location frame on the holder set. The location frame includes a framework set with adjustable plates on the edges of the backside of the framework. The adjustable plates are adjusted according to different sizes of the LCD panels for placing LCD panels with different sizes. The LCD panel is supported by top-supporting devices on the backside of the framework and located at the backside of the framework. Each edge of the framework is set with a movable shade which is adjusted according to different sizes of the LCD panels for shading metal rims of the LCD panel. When the camera set takes pictures of the LCD panel, the boundaries of the screen area and the rims are clearly defined to make the testing module accurately test the defects of the LCD panel and to improve testing quality.
US07679392B2 Methods and systems for semiconductor testing using reference dice
Methods and systems of semiconductor testing where reference dice and non-reference dice in a wafer and/or lot are tested differently. In one embodiment of the invention, geography, lithography exposure, other characteristics, performance and/or behavior are taken into account when selecting reference dice, thereby improving the likelihood that the response of reference dice to testing is well representative of the wafer and/or lot. In one embodiment, based on data from the testing of reference dice, the test flow for non-reference dice and/or other testing may or may not be adjusted.
US07679391B2 Test equipment and semiconductor device
An interface circuit is connected to an ATE via a test control bus BUS3 that differs from main buses, receives a control signal output from the ATE, and controls multiple BIST circuits according to the control signal. Furthermore, a DUT is configured such that a test result signal specified by the control signal can be read by the ATE via the test control bus. A BISI synchronous control unit generates a first control signal for individually controlling the multiple BIST circuits included in the DUT, and a second control signal for reading the test result signal generated by the BIST circuit, and supplies these signals to the DUT via the test control bus.
US07679384B2 Parametric testline with increased test pattern areas
An integrated circuit parametric testline providing increased test pattern areas is disclosed. The testline comprises a dielectric layer over a substrate, a plurality of probe pads over the dielectric layer, and a first device under test (DUT) formed in the testline in a space underlying the probe pads. The testline may also include a second DUT, which is formed in a space underlying the probe pads overlying the first DUT in an overlaying configuration. The testline may further include a polygon shaped probe pad structure providing an increased test pattern area between adjacent probe pads.
US07679379B2 Detecting apparatus and detecting board thereof
A detecting apparatus for checking shape, size and/or position of a hole of a workpiece, includes a platform for supporting the workpiece, a detecting board, which includes a detecting unit, movably set above the platform, an electrical source, a processor, and an indicator. The source, the unit, and the workpiece make up a circuit connected to the processor. When the board is moved to the workpiece, and the unit enters the hole without touching the workpiece the circuit will remain open, and the processor will control the indicator to indicate that the hole is eligible. If the detecting unit touches the workpiece, the circuit closes, and the processor will control the indicator to indicate that the hole is ineligible. An end of the unit that enters the hole is radially deformable. The apparatus improves detecting efficiency and accuracy, and is suitable for use in mass production.
US07679374B2 Microwave sensing for determination of loading of filters
Method for determining loading of a filter. The filter has a first dielectric constant. The filter becomes loaded with contaminant material that has a second dielectric constant. The filter, such as a diesel particulate filter, is contained within a metallic enclosure forming a microwave cavity. The method includes establishing microwave energy in the cavity and monitoring changes in the cavity microwave response, the changes being related to filter loading.
US07679372B2 Test apparatus
A driver for supplying a test signal to a device under test is shared by a plurality of terminals. In this way, the cost and time required for the test of the device under test can be reduced.A testing apparatus 10 relating to the present invention includes a test signal generating section 130 that generates a test signal to be supplied to a device under test 20, a driver 140 that outputs the test signal, a switch 150 that is disposed on a wire between the driver 140 and a first terminal of the device under test 20, a switch 160 that is disposed on a wire between the driver 140 and a second terminal of the device under test 20, and a connection control section 100 that (i) turns on the switch 150 and turns off the switch 160 when the test signal is supplied to the first terminal of the device under test 20, and (ii) turns off the switch 150 and turns on the switch 160 when the test signal is supplied to the second terminal of the device under test 20.
US07679371B1 Advanced time domain reflection cable testing
A cable testing system that tests cable includes a pulse generation module that transmits a first pulse on a first communications channel of the cable. A sampling module waits a predetermined time period after the pulse generation module transmits the first pulse and then detects a first amplitude of a reflected signal on a second communications channel of the cable. A time domain reflection (TDR) module receives the first amplitude and verifies proper operation of the cable based on the first amplitude. The predetermined time period corresponds with an estimated roundtrip propagation delay of the first pulse when the first pulse is reflected back to the cable testing system after traveling a first predetermined distance along the cable. The sampling module incrementally increases the predetermined time period during subsequent iterations of a cable test in order to verify proper operation of a predetermined segment of the cable.
US07679370B2 Controller having discrete driver circuitry connected to a single processor port
A controller having discrete driver circuitry for driving an electrical load and a method are provided. The controller includes a microprocessor having ports configurable to operate as inputs or outputs. The controller also includes discrete driver circuitry connected to ports of the microprocessor. The discrete driver circuitry is configured to apply electrical power to drive an electrical load. The controller further has logic for configuring the ports of the microprocessor as an output to enable on/off of the output signal that drives the electrical loads, and further for configuring the ports of the microprocessor as an input to receive feedback signals from the discrete driver circuitry. The feedback signals are processed to detect fault conditions.
US07679368B2 Reflection coefficient measurement for water-based mud resistivity imaging
A galvanic resistivity tool with one or more measurement electrodes and guard electrodes, and one or more shielding electrodes. The impedance seen by the measurement electrode (indicative of the formation resistivity) is determined by using a directional coupler that measures a reflection coefficient of an electrical signal.
US07679367B2 Electromagnetic surveying for hydrocarbon reservoirs
A method of electromagnetic surveying of an area of seafloor that is thought or known to contain a subterranean hydrocarbon reservoir is described. The method includes broadcasting an EM signal from a horizontal electric dipole (HED) transmitter and obtaining vertical electric dipole (VED) response data at a remote receiver in response thereto. Survey data are analyzed by comparing the VED response data with background data which are not sensitive to the postulated hydrocarbon reservoir. Accordingly, differences between the VED response data and the background data allow for the identification of buried hydrocarbon reservoirs. The background data may be provided by magneto-telluric surveying, controlled source electromagnetic surveying or from direct geophysical measurement. By employing VED response data in this way, surveys may be performed in shallower water than has previously been possible since the VED detector is not sensitive to air-wave components of the EM field induced by the HED transmitter at the VED detector.
US07679365B2 Current lead of superconducting magnet of magnetic resonance system
A current lead for the superconducting magnet of a magnetic resonance system, the superconducting magnet being refrigerated by a cold head, has a positive current lead and a negative current lead electrically connected to the superconducting magnet for magnetization thereof. The cold head is electrically connected to the superconducting magnet and is used as one of the positive and negative current leads. The cold head is used as the positive current lead or the negative current lead so as to reduce the number of current leads as well as the heat conducted by the current lead, therefore it maintains a stable superconducting environment more efficiently. Furthermore, the cold head and the current lead can be provided in the same conduit without the need to design a separated turret tube and side tube, and the structure of the current leads of the superconducting magnet of the magnetic resonance system is simplified.
US07679364B2 Method and apparatus for high-gain magnetic resonance imaging
An apparatus is disclosed for Magnetic Resonance Imaging with specialized imaging coils possessing high Signal-to-Noise-Ratios (SNR). Radio Frequency transmitting and/or Radio Frequency receiving elements include carbon nanotube material, a ballistic electrical conductor having a resistance that does not increase significantly with length. The shapes of the receiving and transmitting elements can be linear, curvilinear, or coiled. The carbon nanotube material can be layered. Due to their enhanced SNR properties, preferred embodiments have smaller static magnetic field strengths with imaging quality comparable to known field systems, leading to substantial reductions in system size and cost. Other preferred embodiments provide enhanced imaging with known MRI static magnetic field strengths.
US07679361B2 Position sensor having tubular detection coil
A compact position sensor with high operational reliability is provided. This sensor has a tubular detection coil, a magnetic core movable in the detection coil, a drive circuit for the detection coil, a signal processing circuit for converting a change in impedance of the detection coil into an electric signal, and a guide means for guiding a movement of the magnetic core in the detection coil. The guide means has a guide portion connected to the magnetic core and a support portion for slidably supporting the guide portion. The magnetic core can be smoothly displaced in the detection coil without contacting an inner surface of the detection coil by a sliding movement of the guide portion relative to the support portion.
US07679358B2 System and method for voltage noise and jitter measurement using time-resolved emission
Time-resolved emission can be used to measure loop-synchronous, small-signal voltage perturbation in integrated circuits. In this technique the measurements are completely non-invasive and so reflect the true device behavior. The time-dependant propagation delay caused by Vdd modulation also shows the expected qualitative signature. This technique should find applications in circuits with relatively fast clock-like circuits where loop-synchronous voltage pickup is limiting circuit behavior.
US07679357B2 Current sensor
A current sensor for measuring an electric current flowing through a conductor includes a ring shaped magnetic core, a bare semiconductor chip, and a case. The magnetic core has a gap and surrounds the conductor. The bare semiconductor chip has a front surface and a vertical Hall effect element formed on the front surface. The bare semiconductor chip is arranged in the gap of the magnetic core to detect a magnetic field generated by the electric current. The magnetic core and the bare semiconductor chip are accommodated in the case. A back surface of the bare semiconductor chip is fixed in the case in such a manner that the front surface of the bare semiconductor chip is parallel to a direction of the magnetic field.
US07679350B2 DC/DC voltage regulator with automatic current sensing selectability for linear and switch mode operation utilizing a single voltage reference
A switch-mode DC/DC converter (101) and linear low drop out (LIDO) DC/DC regulator (105) are connected in parallel to drive a single load Both regulators share a common voltage reference, feedback network (103), input supply and output such that the regulated voltage is identical during each mode of operation. During heavy loads the switch-mode regulator (107) is in operation and the linear regulator is disabled for the highest efficiency possible. Conversely at light loads the linear regulator is in operation with the switch-mode regulator (107) disabled, also maximizing the efficiency. Each regulator senses load current (131) to automatically transition between the appropriate voltage regulators at fixed load current levels. The presented invention also includes a make before break transition scheme of the voltage regulators (103) to minimize the voltage transients.
US07679345B1 Digital linear voltage regulator
A digital linear voltage regulator includes a comparator, a finite state machine, and a current digital-to-analog converter (DAC). The comparator is preferably coupled to receive a reference voltage and an operating voltage supplied to a dynamic load. The comparator generates, during a clock cycle, a binary output based on a comparison between reference and operating voltages. The finite state machine (FSM) is coupled to receive at least one control signal that indicates a target operating state for the digital linear voltage regulator. The FSM receives the binary output from the comparator and generates a digital word, during a clock cycle, based on the target operating state of the digital linear voltage regulator and on the binary output. The current DAC is coupled to the FSM, receives the digital word and delivers current at the desired voltage to the dynamic load.
US07679339B2 Switching power supply with voltage limiting device and control method thereof
A switching power supply includes input terminals, which receive a first voltage, and a switching converter stage, provided with a first switching device. The power supply further includes a second switching device, connected between the input terminals and the switching converter stage, and an activation device, associated with the second switching device for controlling the second switching device so as to limit a second voltage applied to the switching converter stage.
US07679334B2 Power supply unit and electric apparatus
Provided is a power supply unit for supplying power to an electric apparatus, comprising an indicator unit, an introduction-detecting unit for detecting introduction of the electric apparatus into a vehicle for transportation of the electric apparatus, a voltage-detecting unit for detecting the voltage of the power source, a memory unit for storing a first voltage and a second voltage lower than the first voltage, a judgment unit for determining whether the voltage of the power source detected by the voltage-detecting unit is higher than the first voltage and a forced discharge unit. The forced discharge unit is configured to cause the indicator unit to notify if the electric apparatus is in a state prohibiting introduction into the vehicle and forcibly discharge the power source.
US07679329B2 Method for compensating state of charge of battery, battery management system using the method, and hybrid vehicle having the battery management system
In an SOC compensation method, a first SOC having at least two sections is detected, and a first OCV corresponding to the first SOC is calculated; a second OCV is calculated by using the measured pack current and voltage, and an internal resistance, and a second SOC corresponding to the second OCV is calculated; when a difference between the first and second OCVs is greater than a first reference, a first compensation value corresponding to the first SOC among at least two first compensation values corresponding to the two sections is used to compensate the first SOC; and when a difference between the first and second OCVs is less than a second reference, a second compensation value corresponding to the first SOC among at least two second compensation values corresponding to the two sections is used to compensate the first SOC value.
US07679328B2 Apparatus for detecting charged state of secondary battery
A charged state detecting apparatus for a secondary battery is provided, which can suppress deterioration in the accuracy of detecting a charged state, such as an SOC, the deterioration being caused by variation of a polarization state of a battery. The charged state detecting apparatus stabilizes an amount of polarization of the battery, stops power generation upon confirmation of the stabilization of the polarization amount, and sufficiently alters the current of the battery to sample a required number of data pairs of voltage and current of the battery. Using these data pairs, the charged state detecting apparatus detects a charged state, such as the SOC, of the battery.
US07679322B1 Auxiliary power adapter having device controls
An accessory for a portable electronic device, such as a digital music player, includes a set of device controls for controlling the portable electronic device. The accessory includes a microprocessor and a memory that contains function codes corresponding to the functions selected by the controls. In response to user manipulation of the controls, the accessory sends the function codes to the portable electronic device that cause the device to perform the requested function. Preferably, the accessory is a charger is adapted to be inserted into for a 12-volt car auxiliary power outlet and has the controls on the protruding face of the charger body. The accessory may also include an FM transmitter for transmitting an audio signal received from the portable electronic device to the car stereo.
US07679321B2 Power circuit
A power circuit is disclosed which is connected to a power source supplying different currents. The power circuit supplying driving power from the power source to a load includes a switching unit configured to switch the driving power supplied to the load in response to an external switching signal.
US07679318B2 Battery device
Battery side terminals disposed on the first and second battery devices are arranged at the same places at front surfaces with respect to lower surfaces and side surfaces. On the front surface of a case of the second battery device, there are formed engaging recessed parts. Positions close to the lower surface of the engaging recessed parts are formed as flat surfaces parallel to a upper surface and the lower surface. The dimensions from the lower surface of the case to flat surfaces and the dimension from the lower surface of the case of the first battery device to an upper surface are configured to be the same. Accordingly, it is possible to provide an advantageous battery device that allows the use of battery devices having various capacities and improves the ease of use.
US07679317B2 Systems and methods for charging a chargeable USB device
Systems and methods are provided for charging a USB device. A USB connector may be used to couple the system to a USB port on the USB device, the USB connector including a bus voltage (Vbus) connector, a positive data (D+) connector, and a negative data (D−) connector. Charger circuitry may be used to receive a source voltage and convert the source voltage into the bus voltage (Vbus), wherein the bus voltage (Vbus) is used to charge the USB device. Presence detect circuitry may be used to compare a first voltage present on the D+ connector with a second voltage present on the D− connector in order to detect when the USB connector is coupled to the USB port of the USB device.
US07679313B2 Power delivery system including interchangeable cells
A power cell system includes a structure that provides multiple power cell locations. The system also includes at least one regenerative power cell, and at least one non-regenerative power cell. The cell locations and power cells are sized and positioned so that each cell location may interchangeably accept either a regenerative power cell or a non-regenerative power cell.
US07679312B2 Solar charger
The solar charger is provided with a case 1 that can hold a rechargeable battery 5 in a removable fashion, and a solar cell 4 to supply charging power to the rechargeable battery 5 loaded in the case 1. The case 1 is formed in a shape having a bottom surface 2 and at least two tapered surfaces 3 with different slope angles α with respect to the bottom surface 2, and the solar cell is disposed on the bottom surface 2. The angle of inclination θ of the solar cell 4 provided on the bottom surface 2 of the solar charger is changed by disposing a tapered surface 3 having a different slope angle α on a flat surface.
US07679310B2 Method and system for controlling pulse width modulation in a power inverter in electric drives
Methods and systems for controlling a power inverter in an electric drive system of an automobile are provided. The various embodiments control the power inverter by, responsive to either a commanded torque of the electric motor being above a first torque level, or a commanded speed of the electric motor being above a first speed level, controlling the power inverter with a discontinuous pulse width modulated (DPWM) signal to generate a modulated voltage waveform for driving the electric motor. Additionally, the embodiments control the power inverter by, responsive to both a commanded torque of the electric motor being below the first torque level, and a commanded speed of the electric motor being below the first speed level, controlling the power inverter with a continuous pulse width modulated (CPWM) signal to generate the modulated voltage waveform for driving the electric motor.
US07679304B2 Intermittent wiper control device
An intermittent windshield wiper control device for use with antique or historical cars. The control device includes a user actuator mechanism, microprocessor circuitry, a potentiometer system, and a relay system. User movement of the actuator mechanism is translated to the potentiometer system to vary an output therefrom. The microprocessor circuitry acts in response to the potentiometer system output in controlling operation of a vehicle's wiper motor via the relay system.
US07679297B1 Petawatt pulsed-power accelerator
A petawatt pulsed-power accelerator can be driven by various types of electrical-pulse generators, including conventional Marx generators and linear-transformer drivers. The pulsed-power accelerator can be configured to drive an electrical load from one- or two-sides. Various types of loads can be driven; for example, the accelerator can be used to drive a high-current z-pinch load. When driven by slow-pulse generators (e.g., conventional Marx generators), the accelerator comprises an oil section comprising at least one pulse-generator level having a plurality of pulse generators; a water section comprising a pulse-forming circuit for each pulse generator and a level of monolithic triplate radial-transmission-line impedance transformers, that have variable impedance profiles, for each pulse-generator level; and a vacuum section comprising triplate magnetically insulated transmission lines that feed an electrical load. When driven by LTD generators or other fast-pulse generators, the need for the pulse-forming circuits in the water section can be eliminated.
US07679296B2 Light emitting diode drive circuit
A drive circuit includes a constant voltage circuit to supply a constant voltage to one terminal of a load, a constant current circuit to supply a predetermined constant current to another terminal of the load in accordance with a pulse signal input externally, and a first reference voltage generator to generate and output a first reference voltage in accordance with an output voltage at an output terminal of the constant current circuit. The constant voltage circuit controls so that the first reference voltage is proportional to the output voltage output to the load.
US07679294B1 Method and system to eliminate fluorescent lamp striations by using capacitive energy compensation
A method and system for reducing and/or eliminating striations from gas discharge lamps powered by an electronic ballast charges a capacitive energy device, which is coupled in parallel with the lamp, when the capacitive energy device detects that a predetermined lamp voltage condition has been satisfied. The system/method supplements the current supplied to the lamp by the electronic ballast with current supplied from the capacitive energy device when the predetermined lamp voltage condition is not satisfied. The supplemental current supplied to the lamp creates a harmonic-rich lamp current waveform that reduces and/or eliminates striations.
US07679289B2 Flat fluorescent lamp having grooves
A flat fluorescent lamp is disclosed, wherein rows of U-shaped grooves are formed in a front glass substrate, rows of wavy parallel grooves are formed in a rear glass substrate, and the U-shaped grooves and the wavy parallel groves are made to be perpendicular to each other. Also, fluorescent substance coating films are interposed so as to be in contact with each other on the inner surfaces, and this realizes a structure resistant to an external air pressure. Furthermore, fluorescent discharge is produced inside a tunnel-shaped cavity between the rows of U-shaped grooves, and reduction in luminance at the lower side of the U-shaped grooves is compensated by illumination of the wall of the U-shaped grooves, where the reduction in brightness is due to non-illuminating sections being caused because the U-shaped grooves and the wavy parallel grooves are in contact with each other. Thus, a flat fluorescent lamp with uniform surface luminance is realized. Further, a heater wire is formed on the lower side in the lamp to heat and vaporize mercury received on the lower side in the lamp, realizing a flat fluorescent lamp capable of illuminating brightly even at a low temperature.
US07679288B2 Plasma display panel
A plasma display panel that includes a first substrate affixed to a second substrate, a plurality of discharge cells arranged between the first and the second substrates to define a display area, an exhaust aperture included in at least one of the first and the second substrates, frit arranged around the exhaust aperture, an application area of the frit being on a side of the exhaust aperture closest to the display area being less than an application area of the frit on a side of the exhaust aperture furthest from the display area and an exhaust tube attached, via said frit, to the at least one of the first and the second substrates to communicate with said exhaust aperture. The application area of the frit is skewed so as to minimize the occurrence of a stain in a portion of the display area near the exhaust aperture.
US07679287B2 Plasma display apparatus with electrode structure
Provided is a plasma display apparatus. The plasma display apparatus includes an upper substrate, a plurality of first electrodes and second electrodes formed over the upper substrate, a lower substrate disposed facing the upper substrate, and a plurality of third electrodes formed over the lower substrate. At least one of the plurality of first electrodes and second electrodes is formed as one layer, and the first electrodes or the second electrodes are sequentially formed in at least one portion.
US07679281B2 Light emitting device having various color temperature
A light emitting device capable of emitting light having various color temperatures is disclosed. The light emitting device includes a first light emitting part emitting a daylight color having a color temperature of 6000 K or more, a second light emitting part emitting white light having a color temperature less than 6000 K, and a third light emitting part emitting light in a visible range of 580 nm or more. The second and third light emitting parts are operable independently of the first light emitting part, and realize a warm white color having a color temperature of 3000 K or less with the white light emitted from the second light emitting part and the light emitted from the third light emitting part. The light emitting device realizes white light of various spectra and color temperatures corresponding to desired mood and utility. The light emitting device is controlled to emit light having a suitable wavelength or a suitable color temperature depending on the circadian rhythm of human, thereby enabling improvement of the user's health.
US07679280B2 Substrate having a light emitter and image display device
An image display device which prevents damage to an electron-emitting device from discharge between a faceplate and a rear plate is provided. A conductive plate 12 including a transparent conductive film is formed over a surface of a substrate 1, a distance specifying member 13 having a plurality of openings is formed on the conductive area 12, a fluorescent material 14 is arranged in the opening, and a conductive film 15 is arranged on the fluorescent material 14 to for a face plate. A resistance Rx between the adjacent conductive films 15 is set larger than a resistance Rz between the conductive film 15 and the conductive area 12. Discharge current generated between each conductive film 15 and a rear plate 21 is caused to flow into the conductive area 12 by applying anode voltage to the conductive area 12, which suppresses influence on an electron-emitting device 23.
US07679279B2 Image display device having a sealed container with an exhaust pipe
An image display device includes a sealed container having an interior which is maintained at a lower pressure than an atmospheric pressure, a phosphor disposed in the interior of the sealed container, an electron-emitting device disposed in the interior of the sealed container, to emit an electron to the phosphor, and a getter disposed in the interior of the sealed container. In addition, an exhaust pipe is in communication with the interior of the sealed container, and bellows connects the exhaust pipe to the sealed container. The exhaust pipe has, inside thereof, a breakable vacuum isolating member formed from glass film.
US07679278B2 Electron-emitting device, electron source and display apparatus using the same device, and manufacturing methods of them
An electron-emitting device having little dispersion of its electron emission characteristic and a suppressed “fluctuation” of its electron emission quantity is provided. The electron-emitting device includes a substrate equipped with a first portion containing silicon oxide and a second portion arranged abreast of the first portion and having a higher heat conductance, and an electroconductive film including a gap therein, the electroconductive film arranged on the substrate, wherein the first and the second portions having a resistance higher than that of the electroconductive film, and the gap is arranged on the first portion.
US07679274B2 Monolithic piezo actuator with a change in the electrode structure in the junction region, and use of the piezo actuator
A piezo actuator in a monolithic multilayer design, has at least one piezoelectrically active stack element, wherein the stack element has stacked piezoceramic layers made of piezoceramic material and electrode layers arranged between the piezoceramic layers, at least one piezoelectrically inactive terminating region arranged above the stack element, and at least one junction region arranged between the stack element and the terminating region, wherein the stack element, the terminating region and the junction region are connected to one another to form a monolithic total stack. The junction region has stacked piezoceramic layers and electrode layers arranged between the piezoceramic layers, and the piezoceramic layers and the electrode layers are in a form and are arranged on one another such that in the stack direction of the junction region one piezoelectrically active area per junction piezoceramic layer is successively changed from piezoceramic layer to piezoceramic layer.
US07679271B2 Piezoelectric powered vehicles and motors
One or more piezoelectric elements are employed to generate electrical energy from one or both of the mechanical energy of a moving part of a conventional engine or vehicle or the combustion energy of an internal combustion engine. The generated electrical energy can be stored and used to power an electric motor in order to supplement the power generated by the conventional engine.
US07679270B2 Ultrasonic probe
A wideband and high sensitive ultrasonic probe adaptable to harmonic imaging by improving the sensitivity of vibrators in a wider frequency band without hindering the operation of piezoelectric materials. The ultrasonic probe includes: a vibrator array including plural vibrators for transmitting and/or receiving ultrasonic waves, each of the plural vibrators including plural piezoelectric materials arranged in parallel between a first electrode and a second electrode and having different frequency constants from one another; at least one acoustic matching layer provided on a first surface of the vibrator array; and a backing material provided on a second surface opposite to the first surface of the vibrator array.
US07679266B2 Longitudinally driven slotted cylinder transducer
A transducer comprises a longitudinal tubular member symmetrically disposed about a central longitudinal axis, the tubular member having a slot extending from the front end of said member to the rear end of the member, the slot extending parallel to the central longitudinal axis; a stack comprising a single element or plurality of vibratory elements arranged from a first to a second end and; a mounting arrangement for mounting the said stack across the inner wall of said tubular member on a line relatively transverse to said longitudinal central axis. The mounting arrangement includes a layer of solid lubricant engaging opposite ends of the stack, enabling the stack to move in a direction of the central axis when the stack exhibits vibratory motion.
US07679265B2 Drive unit
A drive unit includes a guide, a stage which is movable relative to the guide, an ultrasonic actuator for moving the movable body and a control unit for controlling the ultrasonic actuator. The ultrasonic actuator includes a driver element in contact with the stage and is fixed to the guide. A surface of the stage in contact with the driver element is an undulating surface. The control unit detects the position of the stage based on a change in contact pressure on the driver element due to the undulating surface.
US07679260B2 Axial gap motor
An axial gap motor including a rotor and stators, wherein: the rotor is provided with a plurality of primary magnet portions, a plurality of auxiliary magnet portions, and a rotor frame; the rotor frame is provided with a plurality of ribs that extend in the radial direction of the rotor frame, and a shaft portion and a rim portion that are integrally connected with each other via the ribs, and the rotor frame houses the primary magnet portions and the auxiliary magnet portions; the primary magnet portions are provided with primary permanent magnet pieces; the auxiliary magnet portions are provided with auxiliary permanent magnet pieces; and each of cross-sectional areas of the ribs which is perpendicular to the radial direction increases from the rim portion side towards the shaft portion side in the radial direction.
US07679258B2 Eccentric rotor and vibration motor incorporating the eccentric rotor
A vibration motor includes a housing, a stator (10) received in the housing (30) and a rotor (20) rotatably disposed in the stator. The stator includes two claw-pole assemblies (11) arranged back-to-back, and a shaft (23) being fixedly connected with the two claw-pole assemblies. The rotor includes a bearing (22) rotatably mounted around the shaft, a permanent magnet (26) mounted around the bearing, and an eccentric weight (24) fixedly attached to the permanent magnet. The eccentric weight includes a main body (240) and at least one inserting portion (244) having a density higher than that of the main body.
US07679256B2 Rotary electric machine
A rotary electric machine capable of effectively utilizing both end faces of a rotor in the rotating axis direction by suppressing magnetic saturation comprises a stator having axial parts (31) and (32) and a radial part. The axial part (31) comprises cores (311) to (314) and coils (321) to (324), and the axial part (32) comprises cores (312) to (315) and coils (322) to (325). The radial part comprises cores (332) to (337) and coils (352) to (357). The width of each of the cores (311) to (315) in the circumferential direction is twice the width of each of the cores (332) to (337) in the circumferential direction. The number of windings of each of the coils (321) to (325) is equal to the number of windings of each of the coils (352) to (357).
US07679254B2 Method and apparatus for producing a coil winding for stators or rotors of electrical machines, and stator or rotor produced thereby
A method for producing a coil winding for stators is described, in which each coil turn rests, with one fillet (14) each, in two stator slots, and the two fillets (14) are joined by a head portion (16). A plurality of coil turns are made simultaneously by winding up n parallel wires (10) with intermediate spacing onto a rotatable former (20). To obtain small winding heads, alternatingly in a work step A, one fillet (14) and the wire length of one head portion (16) are made from each of the parallel wires (10) on the former (20). Then in a work step B, the resultant fillets (14) together with the adjoining first end of the respective associated head portions (16), and the wire guide together with the second end of these head portions (16), are displaced relative to one another along the former (20) by n times the intermediate spacing of the wires (10). After work steps A and B have been repeated multiple times, the fillets (14) for the last n stator slots are then also made on the former (20). Next, the winding is removed from the former, pressed flat, and placed in a striplike coil holder. From there it is transferred into slots of a rotorlike transfer tool that are open radially outward, and from there it is finally positively displaced radially outward into the stator slots.
US07679252B2 Magnet embedded motor, rotor unit, and method for manufacturing rotor unit
A magnet embedded motor includes a rotor, a plurality of magnets embedded in the rotor, and a yoke provided with the plurality of magnets for forming a magnetic path. The rotor is formed with the plurality of magnets and the yoke in a single member by means of a resin molding by making the plurality of magnet and the yoke as an insertion body.
US07679251B2 Stepping motor
A stepping motor is disclosed which is capable of obtaining desired driving torque without sacrificing magnetic properties of a magnet with respect to a stator, reducing inertia mass of a rotor by decreasing a use amount of a magnet material, and thereby, can enhance driving performance including control responsiveness. In a stepping motor in which a plurality of stators (20A, 20B) around which coils (21) are wound are placed in an axial direction of a motor shaft (31), a rotor (30) is rotatably provided with a space at inner periphery sides of these stators (20A, 20B), the motor shaft (31) is placed in a center of the rotor (30), and the rotor (30) includes a rotor body (33) placed at an outer periphery of the motor shaft and a cylindrical magnet (32) integrally provided on an outer periphery of the rotor body and magnetized to form multipoles in a circumferential direction, the stepping motor is characterized in that the cylindrical magnet (32) has at least its inner peripheral surface bulged inward in a diameter direction so that a portion corresponding to a central portion in the above described axial direction of each of the coils (21) becomes thicker than the other portions.
US07679244B2 Motor
A motor including a rotor having a rotor shaft and a permanent magnet fixed to an end part of the rotor shaft, a stator disposed around the rotor, a bearing for supporting the end part of the rotor shaft, a bearing holder which holds the bearing movably in an axial direction, and a pressurization member which is disposed on an outer side in the axial direction of the bearing holder for urging the bearing to the rotor shaft side. The permanent magnet is provided with a recessed part which is capable of accommodating the bearing and the bearing holder.
US07679243B2 Motor assembly with multifunctional components
Multifunctional components enable the construction of economical motor assemblies. A first embodiment of the present invention provides a hub, cup, spindle, and base assembly for a motor assembly having at least one journal bearing, at least one thrust bearing, and at least one fluid seal. A second embodiment of the present invention provides a hub, spindle, and base with integrated cup assembly for a disc drive bearing having at least one journal bearing, at least one thrust bearing, and at least one fluid seal. In a third embodiment, a hub is rotatably assembled with a spindle coupled to a thrust bearing, prior to assembly with a base. In further embodiments, motor assemblies comprise the above embodiments combined with stator and rotor assemblies.
US07679241B2 Vibration motor
Disclosed is a vibration motor. The vibration motor includes a bracket including a support tube protruding from the bracket, a case coupled with the bracket, a support shaft supported by the bracket and the case, a bearing rotatably fitted around the support shaft, a rotor fixed to the bearing to rotate together with the bearing, thereby generating vibration, a stator mounted on the bracket to rotate the rotor through interaction with the rotor, a support member installed around the support tube, and a first washer interposed between the support tube and the bearing and supported by the support member.
US07679235B2 Engine starter with protective function against over current
In a starter for starting an engine having a motor to which starting current is supplied from a battery, the motor has a field coil for forming a magnetic field with the supply of current, and the starter has wiring for supplying current from the battery to the field coil. The wiring has a cross section perpendicular to the longitudinal direction, which is smaller than a cross section perpendicular to the longitudinal direction of the winding of the field coil, so that a cross section reduction portion is integrated into the wiring. The cross section reduction portion functions as a fuse for shutting down an internal circuit of the motor at an earlier occasion when an overcurrent passes through the internal circuit.
US07679234B1 Spray cool means for cooling a modular inverter electric motor system
In accordance with one embodiment of the present disclosure an apparatus includes a means for converting a first type of electrical power to a second type of electrical power. The apparatus also includes a means for spraying a coolant on the means for converting.
US07679232B2 Rotary electric machine
In a power generator provided with a stator frame having a stator iron core incorporating a stator coil therein in an inner portion thereof, and a rotor in which a rotor coil rotating so as to oppose to the stator frame and the stator iron core is incorporated, the power generator is provided with a noise insulation plate fixed to a noise insulation plate attaching seat welded to an outer surface of the stator frame by a bolt and a nut, an elastic body (a gasket) is provided in a contact portion between the noise insulation plate attaching seat and the noise insulation plate, and a vibration-proofing rubber washer is provided in a contact portion between the noise insulation plate and the nut.
US07679231B2 Driving device and light amount controller
A driving device which makes it possible to reduce the moment of inertia of a rotor and increase torque. A magnet is formed to have a hollow cylindrical shape and has magnetized sections which are magnetized to have alternately different poles in a circumferential direction thereof. A coil is wound coaxially with the magnet and is disposed in axially side-by-side relation to the magnet. A stator yoke is formed of a soft magnetic material and fixes the magnet and the coil. A rotor yoke is formed of a soft magnetic material, and has magnetic pole portions formed in opposed relation to the magnetized sections, for being magnetized by the coil. The rotor yoke is supported in a manner rotatable with respect to the stator yoke. An rotation restricting part limits a range of rotation of the rotor yoke within a predetermined angle.
US07679230B2 Brushless motor and fan unit
A stator core 35 includes a core back 352 of a substantially annular shape. At a radially outer end portion of the core back 352 four teeth 351 are arranged radially. At the teeth 351, a coil 371 is formed by winding a magnet wire 37 via an insulator 36. A first insulator 361 configuring a lower half of the insulator 36 includes a first core back insulating portion 3611, 1 first teeth insulating portion 3612, and a first cylindrical portion 3613. A hook portion 4 is formed at the first core back insulating portion 3611 at radially outer side, and between the teeth 351. The magnet wire 37 extracted from the coil 371 is hooked on the hook portion 4. The magnet wire 37 hooked on the hook portion 4 is lead the magnet wire 37 around the hook portion 4 as a base, and is soldered to a land 381 formed above a circuit board 38.
US07679223B2 Optically triggered wide bandgap bipolar power switching devices and circuits
An electronic circuit includes a primary wide bandgap bipolar power switching device configured to supply a load current in response to a control signal applied to a control terminal thereof, and a driver device configured to generate the control signal. At least one of the primary switching device or the driver device may include an optically triggered switching device. A discrete wide bandgap semiconductor device includes a primary bipolar device stage configured to switch between a conducting state and a nonconducting state upon application of a control current, and a bipolar driver stage configured to generate the control current and to supply the control current to the primary bipolar device stage. At least one of the primary bipolar device stage and the bipolar driver stage may include an optically triggered wide bandgap switching device.
US07679222B2 Power and signal distribution system for use in interior building spaces
A power and signal distribution system for the building interior and a method for operating a power and signal distribution system for the building interior are provided. The system includes a plurality of conductors adjacent to at least one surface of a plurality of support members forming a grid. The system further includes at least one shunt switch in communication with at least two conductors, the shunt switch being configurable to selectively conduct power or signals between the at least two conductors.
US07679218B1 Load compensated switching regulator
A load compensation circuit for a switching regulator including a comparator circuit and an adjustable voltage source. The switching regulator includes a switch circuit for converting an input voltage to a regulated output voltage and for driving a load current, and a controlled switch driver circuit having a supply voltage input and an output driving the switch circuit. The comparator circuit senses the load current and adjusts a voltage control signal to adjust switching efficiency based on the load current. The voltage source has an input receiving the voltage control signal and an output for providing a switch supply voltage to the supply voltage input of the switch driver circuit, where the voltage source adjusts the switch supply voltage based on the voltage control signal. A method of compensating a switching regulator based on load including sensing load current and adjusting the switch supply voltage to adjust switching efficiency.
US07679217B2 Apparatus, system, and method for a high efficiency redundant power system
An apparatus, system, and method are disclosed for a high efficiency redundant power system. First and second power supplies are connected in parallel to power a load. Each power supply includes a primary stage and a regulator stage. Each primary stage regulates voltage on an internal bus that is input for each regulator stage. Each regulator stage regulates a regulated bus connected to the load. A power meter detects power provided to the load and determines if the provided power is below a predefined power threshold. A regulator control module shuts down the regulator stage of the first power supply after the provided power falls below the predefined power threshold so the second power supply powers the load and the primary stage of the first power supply remains operational. A recovery module starts up the regulator stage of the first power supply after failure of the second power supply.
US07679213B2 AC to DC converter circuit
An AC to DC converter circuit includes a main circuit including a first circuit and a second circuit connected to an AC power supply in parallel to each other, the first circuit including diodes and a switching device, the second circuit including diodes and a switching device. The switching devices are controlled to be ON and OFF corresponding to the input voltage polarity discriminated with an input voltage polarity discriminator such that two DC outputs are obtained from one AC power supply. The AC to DC converter circuit reduces the semiconductor devices, through which a current flows, facilitates reducing the losses caused therein, improving the conversion efficiency thereof, and reducing the size, weight and manufacturing costs of the cooling means thereof.
US07679211B2 Electrical disconnect system
The disclosed embodiments include an electrical disconnect system and method for a vehicle having a high voltage electrical system. In one embodiment, the system includes a service disconnect base being electrically coupled to the electrical system. A service disconnect plug is attachable to the service disconnect base and has a resistive device integrally formed therein. Current within the electrical system flows through the service disconnect base and the service disconnect plug when the service disconnect plug is connected to the service disconnect base. The current is limited to a current level no greater than a predetermined current level.
US07679209B2 Wind powered electricity generating system
An electrical energy generating system converts wind power to electrical power with a wind deflecting structure that divides wind impinging on the structure into two separate accelerated flow paths. One or more turbines are positioned in proximity to the wind deflecting structure such that a portion of the vanes of the turbine is placed within one of the accelerated flow paths. An energy converter is coupled to the turbine that converts rotary motion of the turbine into electricity.
US07679203B2 Methods of forming thermoelectric devices using islands of thermoelectric material and related structures
A method of forming a thermoelectric device may include forming a plurality of islands of thermoelectric material on a deposition substrate. The plurality of islands of thermoelectric material may be bonded to a header substrate so that the plurality of islands are between the deposition substrate and the header substrate. More particularly, the islands of thermoelectric material may be epitaxial islands of thermoelectric material having crystal structures aligned with a crystal structure of the deposition substrate. Related structures are also discussed.
US07679201B2 Device package
A package includes a device and a package substrate. The device includes a plurality of electrical pads, and has a periphery that defines a footprint. The package substrate, further includes a first substrate surface to which the device is attached, a second substrate surface, and a set of electrical contacts attached to the second substrate surface.
US07679200B2 Semiconductor chip with crack stop
Various semiconductor chip crack stops and methods of making the same are disclosed. In one aspect, a method of manufacturing is provided that includes providing a semiconductor substrate that has a first corner defined by a first edge and a second edge. A crack stop is formed in the semiconductor substrate. The crack stop includes a first projection extending to the first edge and a second projection extending to the second edge to fence off a portion of the semiconductor substrate that includes the first corner.
US07679190B2 Raised solder-mask-defined (SMD) solder ball pads for a laminate electronic circuit board
A raised solder-mask-defined (SMD) pad configured for receiving a solder ball on a laminate electronic circuit board and a method of creating the raised SMD pad on a laminate electronic circuit board. The method may comprise forming a base bump, covering the base bump with a conductive bump layer and layering a surrounding material over an extended edge of the conductive bump layer. The surrounding material is patterned to expose a pad face and of a portion of the sides of the conductive bump layer, such that the pad face is disposed above the surface of the surrounding material. The surrounding material may be patterned by a photolithography operation or alternatively, a laser-drill operation.
US07679189B2 Display device and manufacturing method of the same
A display device includes a drive circuit chip, and a substrate on which the drive circuit chip is mounted. The drive circuit chip includes a semiconductor substrate, an insulation layer, a first conductive layer and a second conductive layer formed of metal between the semiconductor substrate and the insulation layer, and a first bump and a second bump formed over the insulation layer. The first bump is superposed with the first conductive layer, and a profile of the first bump in plan view is within a profile of the first conductive layer in plan view. The second bump is superposed with the second conductive layer, and a profile of the second pump in plan view is beyond a profile of the second conductive layer in plan view.
US07679185B2 Microcircuit package having ductile layer
A microcircuit package having a ductile layer between a copper flange and die attach. The ductile layer absorbs the stress between the flange and semiconductor device mounted on the flange, and can substantially reduce the stress applied to the semiconductor device. In addition, the package provides the combination of copper flange and polymeric dielectric with a TCE close to copper, which results in a low stress structure of improved reliability and conductivity.
US07679182B2 Power module and motor integrated control unit
A power module includes a heat radiation layer having the first main surface and the second main surface of reverse side opposed to the first main surface, an insulation layer disposed on the first main surface of a radiation layer, a wiring portion of current circuit disposed on the insulation layer and a plurality of switching elements disposed on the insulation layer and electrically connected to the wiring portion of current circuit. A plurality of external terminals are electrically connected to the wiring portions of current circuit. Furthermore, the module has a resin sealing all of the insulation layer, a wiring portion for current circuit, switching elements and the first main surface of the radiation layer, and a resin sealing a portion of the second main surface of the radiation layer with the resin.
US07679177B2 Integrated circuit packaging system with passive components
An integrated circuit packaging system comprising: fabricating a system-in-package substrate; mounting a first integrated circuit die on the system-in-package substrate; mounting a second integrated circuit die on the system-in-package substrate; and coupling a passive component over and between the first integrated circuit die and the second integrated circuit die.
US07679167B2 Electronic assembly for image sensor device and fabrication method thereof
A package module for an image sensor device is disclosed. The package module comprises a device chip disposed between lower and upper substrates. A first conductive layer is over a first sidewall of the lower substrate and insulated from the device chip. A first protective layer is on the first conductive layer and exposes a portion of the first conductive layer over the first sidewall of the lower substrate. A first pad is on the bottom surface of the lower substrate and is electrically connected to the first conductive layer. The invention also discloses an electronic assembly for an image sensor device and a fabrication method thereof.
US07679166B2 Localized temperature control during rapid thermal anneal
Disclosed herein are embodiments of a semiconductor structure and an associated method of forming the semiconductor structure with shallow trench isolation structures having selectively adjusted reflectance and absorption characteristics in order to ensure uniform temperature changes across a wafer during a rapid thermal anneal and, thereby, limit variations in device performance. Also disclosed are embodiments of another semiconductor structure and an associated method of forming the semiconductor structure with devices having selectively adjusted reflectance and absorption characteristics in order to either selectively vary the performance of individual devices (e.g., to form devices with different threshold voltages (Vt) on the same wafer) and/or to selectively optimize the anneal temperature of individual devices (e.g., to ensure optimal activation temperatures for n-type and p-type dopants during anneals).
US07679163B2 Phase-change memory element
A phase-change memory element for reducing heat loss is disclosed. The phase-change memory element comprises a composite layer, wherein the composite layer comprises a dielectric material and a low thermal conductivity material. A via hole is formed within the composite layer. A phase-change material occupies at least one portion of the via hole. The composite layer comprises alternating layers or a mixture of the dielectric material and the low thermal conductivity material.
US07679155B2 Multiple magneto-resistance devices based on doped magnesium oxide
The present invention provides a low resistance high magnetoresistance (MR) device comprised of a junction of two magnetic elements separated by a magnesium oxide (MgO) layer doped with such metals as Al and Li. Such device can be used as a sensor of magnetic field in magnetic recording or as a storage element in magnetic random access memory (MRAM). The invention provides a high-MR device possessing a diode function, comprised of a double junction of two outer magnetic elements separated by two MgO insulating layer and a center MgO layer doped with such metals as Al and Li. Such device provides design advantages when used as a storage element in MRAM. The invention with MR wherein a gate electrode is placed in electrical or physical contact to the center layer of the double tunnel junction.
US07679154B2 Method for manufacturing a semiconductor component and a semiconductor component, in particular a diaphragm sensor
In a method for manufacturing a semiconductor component having a semiconductor substrate, a flat, porous diaphragm layer and a cavity underneath the porous diaphragm layer are produced to form unsupported structures for a component. In a first approach, the semiconductor substrate may receive a doping in the diaphragm region that is different from that of the cavity. This permits different pore sizes and/or porosities to be produced, which is used in producing the cavity for improved etching gas transport. Also, mesopores may be produced in the diaphragm region and nanopores may be produced as an auxiliary structure in what is to become the cavity region.
US07679148B2 Semiconductor device, production method and production device thereof
The task of the present invention is to enable formation of a gate insulating film structure having a good-quality interface between a silicon oxide film and silicon in an interface between a high dielectric constant thin film and a silicon substrate to provide a semiconductor device and a semiconductor manufacturing method which are capable of improving interface electrical characteristics, which has been a longstanding task in practical use of a high dielectric constant insulating film. A metal layer deposition process and a heat treatment process which supply metal elements constituting a high dielectric constant film on a surface of a base silicon oxide film 103 allow the metal elements to be diffused into the base silicon oxide film 103 to thereby form an insulating film structure 105 as a gate insulating film, after forming the base silicon oxide film 103 on a surface of a silicon substrate 101. The insulating film structure 105 including a silicate region comprises a silicon oxide film region, a silicate region, and a metal rich region, forming a silicate structure having composition modulation in which composition of metal increases as closer to an upper portion, and the composition of silicon increases as closer to a lower portion.
US07679147B2 Semiconductor device fabricated by selective epitaxial growth method
A semiconductor device in which selectivity in epitaxial growth is improved. There is provided a semiconductor device comprising a gate electrode formed over an Si substrate, which is a semiconductor substrate, with a gate insulating film therebetween and an insulating layer formed over sides of the gate electrode and containing a halogen element. With this semiconductor device, a silicon nitride film which contains the halogen element is formed over the sides of the gate electrode when an SiGe layer is formed over the Si substrate. Therefore, the SiGe layer epitaxial-grows over the Si substrate with high selectivity. As a result, an OFF-state leakage current which flows between, for example, the gate electrode and source/drain regions is suppressed and a manufacturing process suitable for actual mass production is established.
US07679146B2 Semiconductor device having sub-surface trench charge compensation regions
In one embodiment, a semiconductor device is formed having sub-surface charge compensation regions in proximity to channel regions of the device. The charge compensation trenches comprise at least two opposite conductivity type semiconductor layers. A channel connecting region electrically couples the channel region to one of the at least two opposite conductivity type semiconductor layers.
US07679141B2 High-quality SGOI by annealing near the alloy melting point
A method of forming a low-defect, substantially relaxed SiGe-on-insulator substrate material is provided. The method includes first forming a Ge-containing layer on a surface of a first single crystal Si layer which is present atop a barrier layer that is resistant to Ge diffusion. A heating step is then performed at a temperature that approaches the melting point of the final SiGe alloy and retards the formation of stacking fault defects while retaining Ge. The heating step permits interdiffusion of Ge throughout the first single crystal Si layer and the Ge-containing layer thereby forming a substantially relaxed, single crystal SiGe layer atop the barrier layer. Moreover, because the heating step is carried out at a temperature that approaches the melting point of the final SiGe alloy, defects that persist in the single crystal SiGe layer as a result of relaxation are efficiently annihilated therefrom. In one embodiment, the heating step includes an oxidation process that is performed at a temperature from about 1230° to about 1320° C. for a time period of less than about 2 hours. This embodiment provides SGOI substrate that have minimal surface pitting and reduced crosshatching.
US07679140B2 Structure of strained silicon on insulator and method of manufacturing the same
Provided is a strained SOI structure and a method of manufacturing the strained SOI structure. The strained SOI structure includes an insulating substrate, a SiO2 layer formed on the insulating substrate, and a strained silicon layer formed on the SiO2 layer.
US07679139B2 Non-planar silicon-on-insulator device that includes an “area-efficient” body tie
Non-planar SOI devices that include an “area-efficient” body tie are disclosed. The device includes a bulk substrate, an insulator layer formed on a surface of the bulk substrate, and a silicon body formed on a surface of the insulator layer. The silicon body preferably includes (i) a non-planar channel connecting a source region and a drain region, and (ii) a body tie that is adjacent to the channel and couples the channel to a voltage potential. The device further includes a gate dielectric formed on the channel and a gate material formed on the gate dielectric.
US07679138B2 Semiconductor device
A MOS transistor including a source region, a drain region, and a gate electrode has first and second partial isolation regions in one-end gate region and the other-end gate region, respectively, with a first tap region provided adjacent to the first partial isolation region, and a second tap region provided adjacent to the second partial isolation region. A full isolation region is provided in the whole area around the first and second partial isolation regions, first and second tap regions, and source and drain regions.
US07679135B2 FinFET device with gate electrode and spacers
A semiconductor device includes a source region, a drain region, and a fin that connects the source region to the drain region. A gate electrode having a substantially planar surface overlies the fin and is positioned between the drain region and the source region. A first set of spacers is positioned between a first sidewall of the gate electrode and the source region and between a second sidewall of the gate electrode and the drain region. A second set of spacers is positioned on at least a portion of a top surface of the source region and the drain region and alongside at least a portion of the first set of spacers. At least a portion of sidewalls of the second set of spacers contacts a portion of the first or second sidewall of the gate electrode.
US07679134B1 FinFET device with multiple fin structures
A semiconductor device includes a group of fin structures. The group of fin structures includes a conductive material and is formed by growing the conductive material in an opening of an oxide layer. The semiconductor device further includes a source region formed at one end of the group of fin structures, a drain region formed at an opposite end of the group of fin structures, and at least one gate.
US07679131B1 Semiconductor device, manufacturing method thereof, and electronic device
A laser annealing method for obtaining a crystalline semiconductor film having a large grain size, and a method of manufacturing a semiconductor device using the crystalline semiconductor film, are provided. Using a shape change (convex portion or concave portion) of an amorphous semiconductor film when crystallizing the amorphous semiconductor film using irradiation of laser light, it is possible to intentionally regulate the origin of crystal growth, and to make the grain size large. By then designing the arrangement of an active layer (island shape semiconductor film) so as to contain at least a channel forming region within one grain, it becomes possible to improve the electrical characteristics of a TFT.
US07679130B2 Deep trench isolation structures and methods of formation thereof
Deep trench isolation structures and methods of formation thereof are disclosed. Several methods of and structures for increasing the threshold voltage of a parasitic transistor formed proximate deep trench isolation structures are described, including implanting a channel stop region into the bottom surface of the deep trench isolation structures, partially filling a bottom portion of the deep trench isolation structures with an insulating material, and/or filling at least a portion of the deep trench isolation structures with a doped polysilicon material.
US07679127B2 Semiconductor device and method of manufacturing the same
A semiconductor device including a semiconductor substrate; a first gate insulating film formed on the semiconductor substrate; a first gate electrode layer formed on the first gate insulating film; an element isolation insulating film formed so as to isolate a plurality of the first gate electrode layers; a second gate insulating film layer formed so as to cover upper surfaces of the plurality of first gate electrode layers and the element isolation insulating films; and a second gate electrode layer formed on the second gate insulating film layer; and the second gate insulating film layer includes a NONON stacked film structure and a nitride film layer contacting the first gate electrode layer and constituting a lowermost layer of the NONON stack film structure is separated at a portion interposing the plurality of neighboring first gate electrode layers.
US07679122B2 Semiconductor device including source strapping line
A semiconductor device includes a plurality of source regions and drain regions disposed on a semiconductor substrate. The semiconductor device also includes a plurality of word lines disposed on the semiconductor substrate between the source regions and the drain regions. The semiconductor device also includes a conductive line disposed on the semiconductor substrate parallel to the word lines. The semiconductor device also includes a plurality of bit lines connected to the drain regions and crossing over the word lines. The semiconductor device also includes a plurality of source strapping lines crossing over the plurality of word lines, the plurality of source strapping lines being connected to at least one of the plurality of source regions and the conductive line. The semiconductor device also includes a ground line connected to the conductive line.
US07679121B2 Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
A method for forming and the structure of a strained vertical channel of a field effect transistor, a field effect transistor and CMOS circuitry is described incorporating a drain, body and source region on a sidewall of a vertical single crystal semiconductor structure wherein a hetero-junction is formed between the source and body of the transistor, wherein the source region and channel are independently lattice strained with respect to the body region and wherein the drain region contains a carbon doped region to prevent the diffusion of dopants (boron) into the body. The invention reduces the problem of leakage current from the source region via the hetero-junction and lattice strain while independently permitting lattice strain in the channel region for increased mobility via choice of the semiconductor materials.
US07679119B2 CMOS inverter based logic memory
A single-poly electrically erasable/programmable CMOS logic memory cell for mobile applications includes a CMOS inverter that share a single polysilicon floating gate, and an enhanced control capacitor including a control gate capacitor and an optional isolated P-well (IPW) capacitor formed below the control gate capacitor. The control gate capacitor includes a polysilicon control gate that is interdigitated with the floating gate and serves as a capacitor plate to induce Fowler-Nordheim (F-N) injection or Band-to-Band Tunneling (BBT) to both program and erase the floating gate. The IPW capacitor is provided in the otherwise unused space below the control gate capacitor by a IPW that is separated from the control/floating gates by a dielectric layer and is electrically connected to the control gate. Both F-N injection and BBT program/erase are performed at 5V or less.
US07679112B2 Color image sensors having pixels with cyan-type and yellow-type color characteristics therein
Color image sensors include pixels having varying color characteristics. One of the pixels is a cyan-type pixel, which includes primary and secondary photodetectors therein. The primary photodetector extends adjacent a portion of a surface of a semiconductor substrate that is configured to receive visible light incident thereon. The secondary photodetector is buried in the semiconductor substrate. The secondary photodetector is configured to receive visible light that has passed through the primary photodetector.
US07679107B2 Memory device that utilizes organic layer with a compound that can photoisomerize between conductive layers; at least one of which is light transmitting
The present invention provides an involatile memory device that is capable of data writing and erasing at a time other than during manufacturing, and a semiconductor device having the memory device. Also, the present invention provides a compact-sized and inexpensive involatile memory device and a semiconductor device having the memory device. A memory device of the present invention includes a first conductive layer and a second conductive layer of which at least one has a light transmitting property, and an organic compound layer that is in contact with the first conductive layer or the second conductive layer. The organic compound layer includes conductive particles that are dispersed within the layer, and the organic compound included in the organic compound layer has a site that can photoisomerize.
US07679106B2 Semiconductor integrated circuit
A semiconductor integrated circuit having a substantially rectangular standard cell divided by first borderlines opposed to other standard cells longitudinally adjacent to the standard cell and second borderlines opposed to other standard cells laterally adjacent to the standard cell, the standard cell has: a p-type MOS transistor having first diffused regions and a first gate electrode; an n-type MOS transistor having second diffused regions and a second gate electrode with STI disposed for device isolation between the n-type MOS transistor and the p-type MOS transistor substantially in parallel with the first borderlines; dummy p-type MOS transistors having third gate electrodes disposed on the second borderlines so as to be adjacent to the first diffused regions of the p-type MOS transistor, the third gate electrodes being connected to power supply wiring so as to turn off the dummy p-type MOS transistors; and dummy n-type MOS transistors having fourth gate electrodes disposed on the second borderlines so as to be adjacent to the second diffused regions of the n-type MOS transistor, the fourth gate electrodes being connected to ground wiring so as to turn off the dummy n-type MOS transistors.
US07679105B2 Hetero junction bipolar transistor and method of manufacturing the same
Provided are a hetero-junction bipolar transistor (HBT) that can increase data processing speed and a method of manufacturing the hetero-junction bipolar transistor. The HBT includes a semi-insulating compound substrate, a sub-collector layer formed on the semi-insulating compound substrate, a pair of collector electrodes disposed at a predetermined distance apart from each other on a predetermined portion of the sub-collector layer, a collector layer and a base layer disposed between the collector electrodes, a pair of base electrodes disposed at a predetermined distance apart from each other on a predetermined portion of the base layer, an emitter layer stack disposed between the base electrodes, and an emitter electrode that is formed on the emitter layer stack, and includes a portion having a line width wider than the line width of the emitter layer stack, wherein both sidewalls of the emitter electrode are respectively aligned with inner walls of the pair of base electrodes, and sidewalls of the collector layer and the base layer are located between outer sidewalls of the pair of base electrodes of the pair of base electrodes.
US07679103B2 Integrated circuit arrangement with shockley diode or thyristor and method for production and use of a thyristor
An integrated circuit arrangement includes a Shockley diode or a thyristor. An inner region of the diode or of the thyristor is completely or partially shielded during the implantation of a p-type well. This gives rise to a Shockley diode or a thyristor having improved electrical properties, in particular with regard to the use as an ESD protection element.
US07679101B2 Light emitting device
The light emitting device has a light emitting diode which is made of a nitride semiconductor and a phosphor which absorbs a part of lights emitted from the light emitting diode and emits different lights with wavelengths other than those of the absorbed lights. The phosphor is made of alkaline earth metal silicate fluorescent material activated with europium.
US07679100B2 Housing for an electromagnetic radiation emitting optoelectronic component, component and method of making a housing or a component
A housing for an electromagnetic radiation emitting optoelectronic component is specified. The housing comprises a housing base body provided with a recess in which at least one chip mounting surface is disposed. At least one outer surface of the housing base body, disposed on an emission side of the housing and adjoining the recess, is provided with a baffle layer suitable for screening an electromagnetic radiation. An electromagnetic radiation emitting component provided with such a housing and a method of making a corresponding housing or component are also specified.
US07679092B2 Light-emitting device having an electroluminescence element
A light shield film is provided adjacent to an anode of an EL element that consists of the anode, an EL layer, and a cathode. The anode and the cathode are transparent or semitransparent to visible light and hence transmit EL light. With this structure, ambient light is absorbed by the light shield film and does not reach an observer. This prevents an external view from appearing on the observation surface.
US07679088B2 Thin-film transistor and fabrication method thereof
A fabrication method of a TFT includes successively forming four thin films containing a first conductive layer, an insulation layer, a semiconductor layer, and a second conductive layer on a substrate, performing a first PEP process to pattern the four thin films for forming a semiconductor island and a gate electrode with the semiconductor layer and the first conductive layer respectively. Then, a laser ablation process is performed to define a channel pattern in the four thin films and remove a portion of the second conductive layer so that unconnected source electrode and drain electrode are formed with the second conductive layer.
US07679087B2 Semiconductor active region of TFTs having radial crystal grains through the whole area of the region
There is disclosed a method of fabricating a thin-film transistor having excellent characteristics. Nickel element is held in contact with selected regions of an amorphous silicon film. Then, thermal processing is performed to crystallize the amorphous film. Subsequently, thermal processing is carried out in an oxidizing ambient containing a halogen element to form a thermal oxide film. At this time, the crystallinity is improved. Also, gettering of the nickel element proceeds. This crystalline silicon film consists of crystals grown radially from a number of points. Consequently, the thin-film transistor having excellent characteristics can be obtained.
US07679086B2 Method for manufacturing electro-optic device
A method for manufacturing an electro-optic device includes an electroconductive film forming step that forms an electroconductive film over surfaces of a substrate. A front electroconductive film removing step is also performed which removes the electroconductive film from the front surface of the substrate. A thin layer forming step is performed to form thin layers on the front surface of the substrate. Then, a rear electroconductive film removing step is performed which removes the electroconductive film from the rear surface of the substrate. At least one of the front electroconductive film removing step and the rear electroconductive film removing step is performed by applying a chemical agent capable of etching with the substrate rotated, to the rotation center of the surface of the substrate that is to be subjected to the removal of the electroconductive film, while a dry gas is jetted to the rotation center of the other surface that is not subjected to the removal of the electroconductive film.
US07679083B2 Semiconductor integrated test structures for electron beam inspection of semiconductor wafers
Semiconductor integrated test structures are designed for electron beam inspection of semiconductor wafers. The test structures include pattern features that are formed in designated test regions of the wafer concurrently with pattern features of integrated circuits formed on the wafer. The test structures include conductive structures that are designed to enable differential charging between defective and non-defective features (or defective and non-defection portions of a given feature) to facilitate voltage contrast defect detection of CMOS devices, for example, using a single, low energy electron beam scan, notwithstanding the existence of p/n junctions in the wafer substrate or other elements/features.
US07679082B2 Multi-functional copolymers comprising rare earth metal complexes and devices thereof
The invention relates to copolymer complexes of the formula (I): wherein [Ax-[B(C)]y-Dz] denotes a single unit of the copolymer complex that is repeated n times, wherein n is an integer greater than one, and wherein the single unit comprises a conjugated backbone coordinated to a complex (C) comprising rare earth metal(s); x, y and z are numbers greater than zero such that x=y+z; A is independently selected from a group consisting of: fluorene, carbazole, oxadiazole, triphenylamine or derivatives thereof; B is a functional ligand selected from the group consisting of: benzoic acid, 1,3-diphenylpropane-1,3-dione, 1,10-phenanthroline, 2,2-bipyridine, or derivatives thereof; and D is independently selected from a group consisting of: fluorene, carbazole, oxadiazole, triphenylamine or derivatives thereof.
US07679074B2 Integrated circuit having multilayer electrode
An integrated circuit includes a contact and a first electrode coupled to the contact. The first electrode includes at least two electrode material layers. The at least two electrode material layers include different materials. The integrated circuit includes a second electrode and a resistivity changing material between the first electrode and the second electrode.
US07679073B2 Medical device
A medical device can perform treatment and diagnosis without causing a sense of unease to the patient. The medical device includes a substantially ring-shaped support frame provided in such a manner that a central axis through which an isocenter passes is disposed substantially horizontally; a substantially ring-shaped moving gantry which slides relative to the support frame and which has an opening at the isocenter side thereof a radiation emitter configured to emit a beam towards the isocenter; and a protective cover which covers the radiation emitter and an inner circumferential side of the moving gantry and which moves together with the moving gantry.
US07679072B2 Device for treating surface of a polymolecular formed product
The present invention provides a surface treatment apparatus for molded polymer products. In the operation, a molded polymer product is held by a jig (11) on a product loading and discharging unit (20) and is fed by a product feeding unit (10). A first impurity removing unit (30) cleans the surface of the product. The product passes through an inlet vacuum unit (40) and reaches a product surface ion treatment unit. The ion treatment unit controls an ion beam electric current and produces plasma cations using gas supplied from an atmospheric gas supply unit (60), and the plasma ions are evenly scanned onto the product surfaces. The surface-treated molded product passes through a second impurity removing unit and is fed to the product loading and discharging unit, at which the jig exchanges the existing product for a new product.
US07679071B2 Electron beam drawing apparatus
An example electron beam drawing apparatus includes an electron beam emitting unit which emits an electron beam, a rotary stage which rotatably supports a turntable for retaining a drawing object, and a sample stage which is supported by the turntable in a range including a rotating center of the turntable to retain an adjustment sample. A rotationally symmetrical pattern such as a concentric pattern and a radial pattern can be drawn in the drawing object by irradiating the drawing object with the electron beam during rotation of the turntable. Before the pattern is actually drawn in the drawing object, beam adjustment and rotating center adjustment are performed using an adjustment sample. The adjustment sample is retained by the sample stage, and the sample stage is supported by the turntable in the range including the rotating center of the turntable. Therefore, the beam adjustment and the rotating center adjustment can be performed using the adjustment sample supported by the turntable, and the different stage for placing the adjustment sample is not required, which allows the apparatus to be miniaturized.
US07679070B2 Arc chamber for an ion implantation system
An arc chamber for an ion implantation system includes an exit aperture positioned at a wall of the arc chamber, filaments respectively positioned at two opposing sides within the arc chamber, and repeller structures respectively positioned at two opposing walls within the arc chamber between the filaments and the arc chamber. The repeller structure includes a repeller substrate with a screw axis for fitting the repeller structure to the arc chamber, an insulator positioned underneath the repeller substrate providing an electrical isolation between the repeller substrate and the arc chamber, and a conductive spacer covering a portion of the insulator positioned in between the insulator and the arc chamber.
US07679066B2 Multi-stage waveform detector
A waveform detector may include multiple stages.
US07679062B2 Power supply for portable radiographic detector
A digital radiography detector has a two-dimensional array of photosensors disposed in rows and columns. Multiple signal traces connect to the photosensors and extend in a first direction along the two-dimensional array. A switching power supply is connected to a power source and has first and second storage inductors that are substantially matched, are electrically connected in series, include flux fields that are opposite in phase, and are aligned along the first direction of the signal traces.
US07679061B2 Radiographic image sensing system
An x-ray imaging source comprises a radiation source (12) providing x-ray radiation. A substrate comprised of a scintillating material (16) responsive to a level of incident radiation provides output light according to the level of incident radiation. A Fresnel lens (40) is disposed proximate to the substrate for directing the output light toward a second lens. The second lens directs the output light to an image sensor for converting light levels to the digital data, forming an image thereby.
US07679055B2 Pattern displacement measuring method and pattern measuring device
An evaluation method and apparatus is provided for evaluating a displacement between patterns of a pattern image by using design data representative of a plurality of patterns superimposed ideally. A first distance is measured for an upper layer pattern between a line segment of the design data and an edge of the charged particle radiation image, a second distance is measured for a lower layer pattern between a line segment of the design data and an edge of the charged particle radiation image; and an superimposition displacement is detected between the upper layer pattern and lower layer pattern in accordance with the first distance and second distance.
US07679051B2 Ion composition analyzer with increased dynamic range
A system and method for separating ions in an ion mixture, such as a plasma in space. The ion mixture enters an electrostatic analyzer, whose ion path has at least two sections. A first section applies a DC voltage to the ions, and a next section applies an RF frequency voltage to the ions. Appropriate DC and RF voltages are applied, such that at least a portion of the lower mass ions are absorbed into the RF section of the analyzer. The heaver ions are transmitted out of the ion path and are readily available for further analysis.
US07679047B2 Infrared gas sensing apparatus and method
An infrared gas sensing apparatus for sensing gas includes an infrared source for emitting infrared light, a wavelength tunable filter for selectively allows passage of the infrared light at a specific wavelength, an infrared detector for detecting the amount of the filtered infrared light, and a control circuit for controlling the wavelength tunable filter. The control circuit has a check circuit that checks whether the wavelength tunable filter selects a collect wavelength. The check circuit controls the wavelength tunable filter so that the wavelength tunable filter allows passage of the infrared light at a wavelength within a wavelength range where atmospheric gases do not absorb the infrared light. The check circuit checks whether the wavelength tunable filter operates normally by comparing the amount of the filtered infrared light with a reference value.
US07679044B2 Optical sensor having current amplifier including at least one thin-film transistor, and display apparatus provided with the optical sensor
An optical sensor includes a photoelectric converter to receive external light and to output a photocurrent signal according to the illuminance of the external light. A current-to-voltage converter converts the photocurrent signal output from the photoelectric converter to a voltage signal. A voltage amplifier amplifies the voltage signal. A current amplifier outputs a current signal corresponding to the voltage signal amplified by the voltage amplifier. Each of the photoelectric converter, current-to-voltage converter, voltage amplifier and current amplifier includes at least one thin-film transistor.
US07679041B2 Electronic imaging device with photosensor arrays
An electronic imaging device is provided that includes a plurality of sensor elements, such as multiple photosensor arrays, wherein some of the sensor elements have a different numerical aperture value than the others, and wherein the sensor elements can be arranged such that their electrical signals can be combined to produce a composite electrical signal.
US07679036B2 Method and device for inductively heating conductive elements in order to shape objects
The invention relates to a method and a device which are used to heat materials in order to produce objects industrially, especially by mass production, and which allow a large variety of transformation methods.
US07679035B2 Method and apparatus for water-cooling power modules in an induction calendering control actuator system used on web manufacturing processes
An induction heating system used on web manufacturing processes has one or more workcoils each with an associated power module. The power modules are cooled using water. In one embodiment the power modules and the workcoils are in physical contact with a full width water cooled support beam. In another embodiment the key heat generating elements of the power modules are mounted against a thermally conductive power module frame which is then mounted against the thermally conductive wall of an un-perforated water header.
US07679034B2 Power-supplying member and heating apparatus using the same
The power-supplying member comprises: a first rod-shaped member connected to at least one of a heating resistor and an electrode; a second rod-shaped member connected to a power supply; and a thermal-function member, which is disposed between the first rod-shaped member and the second rod-shaped member, and which has a smaller axial cross section area and a larger surface area as compared to the first and second rod-shaped members.
US07679031B2 Method for checking a nozzle for a laser beam machine
The invention provides a device which optically checks a nozzle tip of a laser machining tool. A camera unit 450 which is raised and lowered in opposing relation to a nozzle 65 of a laser beam machine has a camera chamber 454 and is sealed by protective glass 456, where the camera chamber 454 contains a CCD camera body 460 and lens 462. While a ring light 470 illuminates a surface of the nozzle 65, the CCD camera body 460 checks the nozzle 65 optically.
US07679027B2 Soft x-ray laser based on z-pinch compression of rotating plasma
A method and apparatus for producing soft x-ray laser radiation. A low pressure plasma column is created by electric discharge or by laser excitation inside a rotating containment tube. Rotation of the plasma is induced by viscous drag caused by rotation of the tube, or by magnetically driven rotation of the plasma as it is created in a plasma gun in the presence of an axial magnetic field, or both. A high power electrical discharge is then passed axially through the rotating plasma column to produce a rapidly rising axial current, resulting in z-pinch compression of the rotating plasma column, with resultant stimulated emission of soft x-ray radiation in the axial direction. A rotating containment tube used in combination with magnetically driven rotation of the plasma column results in a concave electron density profile that results in reduced wall ablation and also reduced refraction losses of the soft x-rays.
US07679025B1 Dense plasma focus apparatus
An apparatus for the formation of a dense plasma focus (DPF) has a center electrode formed about an axis, where the center electrode includes a cylindrical part and a tapered part. An outer electrode is formed about the center electrode, and may be either cylindrical, tapered, or formed from a plurality of individual conductors including a helical conductor arrangement surrounding the tapered region of the center conductor. The taper of the center electrode results in an enhanced azimuthal B field in the final region of the device, resulting in increased plasma velocity prior to the dense plasma focus. Using the outer electrode helical structure an auxiliary axial B field is generated during the final acceleration region of the plasma, which reduces axial modal tearing of the plasma in the final acceleration region.
US07679021B2 Switching device
An electrical switching device, especially a high-voltage circuit breaker, contains arcing contacts and nominal current contacts. At least one of the nominal current contacts has a surface formed of an arc-resistant material provided with a galvanic coating. In this configuration, the contact points can withstand high mechanical and thermal loads and at the same time maintain a high current carrying capacity.
US07679018B2 Switching device
A switching device is disclosed which includes a working shaft and a control shaft installed in a frame part, the control shaft being rotatable and adapted to turn the working shaft, and the working shaft being adapted to change the position of poles of the switching device. One of the two shafts passes through the other.
US07679017B1 Switch structure
A switch structure includes a switch body, a holding member, a torsion member, and a swinging lever. The switch body includes a base included a front surface and a rear surface, a pushing portion, two fixing portion, each fixing portion defining a guiding slot. The holding member defines two cavities. The switch body is rotatably installed onto the holding member by inserting the fixing portion into the cavity. The torsion member includes two elastic arms and a connecting pole, one of the elastic arm is hooked to the holding member. The swinging lever includes a first end movably connected to the switch body, a second end movably connected to the other elastic arm, and a connecting portion connecting the first and second end, the swinging lever rotatably installed to the holding member via the connecting portion.
US07679014B2 Pressure switch
In a pressure switch with a housing in which a plunger element is located that is movable against a spring force of a first elastic element—contacts being located directly or indirectly on the plunger element, and the first elastic element being located on the side of the plunger element facing toward or away from the media connection—a second elastic element is provided, against the spring force of which the plunger element can be moved. As a result, the pressure switch can be used for pressures>200 bar in situations where overall dimensions are small.
US07679013B2 Electronic device
There is provided an electronic device that enables and ensures the detection of the open/close condition and attached condition of a plurality of cover bodies by only one detection switch. Therefore, an electronic device comprises an upper cover, a front cover, an actuator, and a mechanical switch. The upper cover is provided openable and closable with respect to a rolled sheet holder storing case, and the front cover is removably attached on the rolled sheet holder storing case for covering a component, which is housed in the rolled sheet holder storing case. The actuator is provided on the front cover, and it makes an action when the upper cover is closed to the rolled sheet holder storing case, and the mechanical switch detects the action of the actuator. This arrangement forms a system that detects sequentially the action taken for closing the upper cover to the rolled sheet holder storing case, by relaying the action via the actuator, which is provided on the front cover, to the mechanical switch, which detects the action.
US07679011B2 Input device for electronic device
An input device (100) includes a housing (80), a base (40), a shaft (20), a first elastic member (60), and at least one second elastic member (70). The housing has a cavity (800), a first end and an opposite second open end. The first end has a hole (802) communicated with the cavity. The base is pivotably received in the cavity of the housing with part of the base protruding out of the cavity from the hole. The base defines a through hole (406) and includes at least one protrusion (408). The shaft is slidably received in the through hole of the base. The first elastic member provides a force to reset the shaft. The second elastic member connected to the base and the housing for providing a force to reset the base. The present invention also provides an electronic device employing the input device.
US07679005B2 Circuitized substrate with shielded signal lines and plated-thru-holes and method of making same, and electrical assembly and information handling system utilizing same
A circuitized substrate in which selected ones of the signal conductors are substantially surrounded by shielding members which shield the conductors during passage of high frequency signals, e.g., to reduce noise. The shielding members may form solid members which lie parallel and/or perpendicular to the signal conductors, and may also be substantially cylindrical in shape to surround a conductive thru-hole which also forms part of the substrate. An electrical assembly and an information handling system are also defined.
US07678996B2 High heat-resistance resin composition and high heat-resistance insulated cable which uses the same
A high heat-resistance resin composition, the high heat-resistance resin composition being thermally treated at 150° C. for 100 hours, wherein a heat absorption amount of the high heat-resistance resin composition which is measured at a melting point thereof by Differential Scanning Calorimetry (DSC) is equal to or less than 45 J/g before thermal treatment, an increasing rate of the heat absorption amount of the high heat-resistance resin composition which is measured at a melting point thereof after the thermal treatment by Differential Scanning Calorimetry (DSC) is equal to or less than 20% as compared to that before the thermal treatment, and a degree of extensibility thereof is equal to or more than 200% after the thermal treatment.
US07678993B2 Outlet box assembly with anchor screw guide
One aspect of the invention is an outlet box frame for installation in a hole in a floor structure. The hole having a perimeter and defining an internal wall surface in said floor structure. The frame including a walled member, at least one flange portion and a unitary retaining screw guide. The walled member being sized for insertion within the hole. The at least one flange portion extending outward from the walled member, wherein once installed at least a portion of the flange extends from the walled member past the internal wall surface. The unitary retaining screw guide supports a retaining screw. The screw guide being supported from the walled member and configured to receive the retaining screw therein. Upon installation, the retaining screw inserted in the retaining screw guide engages the hole wall without significant penetration thereof, providing at least pullout resistance of the frame from the hole. Alternatively, the outlet box frame may include the retaining screw.
US07678987B2 Apparatus and method for vibrating stringed musical instruments
This invention provides apparatus and methods for seasoning stringed musical instruments. For stringed instruments having a bridge, the apparatus comprises an electric vibration generator attached to a bridge cradle, the bridge cradle being sized and configured for detachable attachment to a bridge of the instrument, the bridge cradle comprising a main body portion sized and configured to be placed upon the bridge in sufficient contact therewith to permit the transfer of vibration generated by the vibration generator into the bridge of the instrument. For stringed instruments having a sound hole, the apparatus comprises an electric vibration generator attached to a vibration cradle, the vibration cradle being sized and configured for detachable attachment between the body and strings of the instrument, the vibration cradle comprising a base sized and configured to be placed in sufficient contact with the sound hole to permit the transfer of vibration generated by the vibration generator into the body of the instrument.
US07678981B2 Keyboard structure of electronic keyboard instrument
A keyboard structure capable of suppressing a depth dimension of a keyboard instrument and rearward displacements of key main bodies to thereby prevent plastic deformation of hinges. White and black key main bodies are each disposed for pivotal motion around a common base end, serving as a key fulcrum, in a key depression/release direction via a hinge extended therefrom vertically downward. A rear stopper is provided in an intermediate portion of a key frame, and a contact portion of a stopper contact member is in light contact with a front surface of the rear stopper when a key main body is in a key depression initial position. In a key-nondepressed state, when a rearwardly force is applied to a key main body, the rear stopper applies the contact portion with a forwardly force against the rearwardly force, thus suppressing a rearward displacement of the key main body.
US07678979B1 Resonance modulator
A magnetic resonance modulator system for acoustic stringed musical instruments having a plurality of magnets. The resonance modulation is a function of the plurality of weights and locations of placement of magnets on the instrument. The attachment of the resonance modulator to the instrument and its infinite adjustability is achieved by using the attractive magnetic forces of the magnets to hold them in place through a vibrating component of the instrument, in any position on the instrument, making any location adjustment and consequent resonance or tonal adjustment quick and easy.
US07678978B2 Guitar body reinforcement
A support for a body of a stringed instrument includes a brace structure having a plurality of legs radially disposed about a central body. The brace structure has a substantially flat first surface. A portion of the plurality of legs conforms to a soundhole opening which is integrated into the body of the stringed instrument. A brace for a body of a guitar includes a unitary structure adapted to mount to a soundboard of the guitar. The unitary structure has a plurality of arms radially disposed about a central body. A method of assembling a guitar includes mounting a brace structure to a soundboard of the guitar. Again, the brace structure has a plurality of legs radially disposed about a central body.
US07678977B2 Repetition lever of grand piano
There is provided a repetition lever for a grand piano, which is excellent in shape retainability and dimensional stability and is light in weight and high in rigidity, thereby enabling required operations to be stably performed and improving the capability of repeated key striking. A repetition lever 4 for a grand piano, which performs the operation of pushing up a hammer 30 after the hammer has struck a string, is formed by a molded article of a thermoplastic resin containing long fibers for reinforcement, the molded article being molded by a long fiber process. The repetition lever 4 has reduced cross-sectional area portions 49, 50, and 54 for reducing weight thereof. The long fibers for reinforcement are carbon fibers, and the thermoplastic resin is an ABS resin.
US07678974B2 Plants and seeds of corn variety CV479454
According to the invention, there is provided seed and plants of the corn variety designated CV479454. The invention thus relates to the plants, seeds and tissue cultures of the variety CV479454, and to methods for producing a corn plant produced by crossing a corn plant of variety CV479454 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV479454 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV479454.
US07678973B2 Plants and seeds of corn variety CV932121
According to the invention, there is provided seed and plants of the corn variety designated CV932121. The invention thus relates to the plants, seeds and tissue cultures of the variety CV932121, and to methods for producing a corn plant produced by crossing a corn plant of variety CV932121 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV932121 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV932121.
US07678969B2 Plants and seeds of hybrid corn variety CH313215
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH313215. The invention thus relates to the plants, seeds and tissue cultures of the variety CH313215, and to methods for producing a corn plant produced by crossing a corn plant of variety CH313215 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH313215.
US07678957B2 Alkylation process with catalyst transfer
A system and/or process for alkylating hydrocarbons which includes an improved method of safely handling alkylation catalyst is disclosed. The process includes passing the alkylation catalyst from a settler vessel to a catalyst receiving vessel, via a catalyst cooler, for containment therein in the presence of a condensible gas. Also disclosed is a method for controlling the pressure in the catalyst receiving vessel by controlling the rate of removal of vapors.
US07678955B2 Porous composite materials having micro and meso/macroporosity
A composite material comprises: (a) a porous crystalline inorganic oxide material comprising a first framework structure defining a first set of uniformly distributed pores having an average cross-sectional dimension of from 0.3 to less than 2 nanometers and comprising a second framework structure defining a second set of uniformly distributed pores having an average cross-sectional dimension of from 2 to 200 nanometers and (b) a co-catalyst within the second set of pores of the porous crystalline inorganic oxide material (a).
US07678951B2 Method for adjusting the high heating value of gas in the LNG chain
The subject of the invention is a method for treating a natural gas containing ethane, comprising the following stages: (a) extraction of at least one part of the ethane from the natural gas; (b) reforming of at least one part of the extracted ethane into a synthesis gas; (c) methanation of the synthesis gas into a methane-rich gas; and (d) mixing of the methane-rich gas with the natural gas. Installation for implementing this method.
US07678947B2 Method for producing halogen-substituted benzenedimethanol
A method for producing a halogen-substituted benzenedimethanol represented by the formula (2): wherein X1 to X4 are the same or different and independently represent a halogen atom or the like, provided that at least one of X1 to X4 is a halogen atom, by reacting a halogen-substituted terephthalic acid diester represented by the formula (1): wherein R1 and R2 are the same or different and independently represent a C1-C20 alkyl group which may have a substitutent or substituents, and X1 to X4 is the same as defined above, with a borohydride compound in the presence of an alcohol which comprises adding the alcohol into a mixture of the halogen-substituted terephthalic acid diester represented by the formula (1), the borohydride compound and a solvent.
US07678946B2 2,4,6,-trisubstituted having anesthetic properties
Trisubstituted phenol compounds and methods of using the compounds, e.g., for anesthetizing a subject, are disclosed.
US07678945B2 Derivatized polyhydroxystyrenes with a novolak type structure and processes for preparing the same
A process for preparing derivatized poly(4-hydroxystyrene) having a novolak type structure which comprises the steps of (i) supplying a solution of methanol containing 4-hydroxyphenylmethylcarbinol, (ii) subjecting said solution to an acid catalyzed displacement reaction for a sufficient period of time and under suitable conditions of temperature and pressure to convert substantially all of said carbinol to 4-hydroxyphenylmethylcarbinol methyl ether in solution, (iii) polymerizing said ether containing solution in the presence of a suitable acid catalyst for a sufficient period of time and under suitable conditions of temperature and pressure to form a novolak type polymer. New compositions of matter which comprise the derivatized poly(4-hydroxystyrene) prepared in the above manner and which have application in the electronic chemicals market such as in a photoresist composition, and in other areas such as in varnishes, printing inks, epoxy resins, copying paper, tackifiers for rubber, crude oil separators, and the like.
US07678941B2 Polyoxyalkylene ammonium salts and their use as antistatic agents
The present invention provides polyoxyalkylene ammonium imide or methide salts and their use as antistatic agents. Another embodiment provides articles comprising these salts, and processes for making and using these salts.
US07678940B2 Process for producing carboxylic acid
A process for producing a purified carboxylic acid having “n+1” carbon atoms comprises feeding a carboxylic acid stream containing a carboxylic acid having “n+1” carbon atoms, a hydrogen halide, a lower boiling point (bp) component, a higher bp component, and others to a first distillation column; separating a lower bp fraction containing part of the lower bp component and a higher bp fraction containing part of the higher bp component in the first column; withdrawing a side stream containing at least the carboxylic acid by side cut from the first column; feeding the side stream to a second distillation column; separating a lower bp fraction containing part of the lower bp component and a higher bp fraction containing part of the higher bp component in the second column; and withdrawing a side stream containing the carboxylic acid by side cut from the second column to recover a purified carboxylic acid; and the process further comprises feeding at least one first component (A) selected from the group consisting of an alcohol, corresponding to the carboxylic acid, having “n” carbon atom(s), and an ester of the alcohol with the carboxylic acid to the first column, and if necessary water. Such a process ensures reduction of the concentration of the hydrogen halide in the purified carboxylic acid.
US07678937B2 Polyalkylated arylalkyl sulfonic acids and their salts
The present invention discloses a process for producing light colored polyalkylated arylalkyl sulfonic acids. The polyalkylated arylalkyl sulfonic acids may be further neutralized with alkalis or amines to form the corresponding light colored sulfonated salts. The present invention also makes manufacture of polyalkylated arylalkyl sulfonic acids possible as first intent products using an inexpensive and simple reaction. The structure of the polyalkylated arylalkyl sulfonic acids produced using the process described in the present invention is shown below: Where R1, R2 and R3 are each separately and independently H, alkyl (branched or linear C1 to C30), R4═CH3(CH2)oCH(CH2)pCH3 m+n=4 to 28 o+p=3 to 27.
US07678935B2 Esters of pentahydroxyhexylcarbamoyl alkanoic acids
Provided are compounds of formula A and formula I:A compound of formula A: wherein n is an integer from 6 to 17 and a compound of formula I:
US07678934B2 Propylene copolymer, polypropylene composition and uses thereof, transition metal compound and olefin polymerization catalyst
A transition metal compound represented by the following formula (2a):
US07678932B2 Process for co-producing olefins and esters by ethenolysis of unsaturated fats in non-aqueous ionic liquids
A process is described in which an unsaturated fat is reacted with ethylene in a metathesis reaction in the presence of at least one non-aqueous ionic liquid to produce both an olefinic fraction and a composition of monoalcohol or polyol esters.Particular application to an oleic sunflower seed oil, an oleic rapeseed oil or to a mixture of monoalcohol esters of said oils, the process producing both an olefinic fraction and a monoalcohol or glycerol esters composition generally having more than half of its chains constituted by unsaturated C10 chains.
US07678929B2 Furochroman derivatives
Disclosed are furochroman compounds of formula I liquid-crystal media which contains the compounds of formula I, and the use of the media in electro-optical displays, in particular in VAN LCDs.
US07678928B2 Process for manufacture of simvastatin
An improved method for manufacture of simvastatin of formula (I) in high purity. The process for preparation of compound (I) comprises the steps of agitating a compound of formula (II), wherein R is hydrogen, NH4+ or an alkali metal in an organic solvent and in an inert atmosphere at a temperature of between 27° C. to 40° C. in the presence of a weak acid followed by neutralization with an organic base and obtaining compound of formula (I) in high purity and substantially free of impurities through a step of isolation and crystallization.
US07678927B2 Process for lactonization in the preparation of statins
The present invention relates to an improved and industrial friendly process for lactonization to produce compound of the Formula [I], from compound of the Formula [II] in presence of an inorganic compound as a suitable lactonizing agent, preferably alkali metal hydrogen sulfate, and crystallizing the obtained lactone product in a solvent; or treating compound of the Formula [II] in the presence of an inorganic compound preferably alkali metal hydrogen sulfate using phase transfer catalyst in heterogeneous phase followed by crystallizing the obtained lactone product in a solvent.
US07678925B2 Processes of producing glutamic acid compounds and production intermediates therefore and novel intermediate for the processes
The present invention relates to processes of producing glutamic acid compounds, for example, monatin, which are useful as, for example, production intermediates for sweetener or pharmaceutical products.
US07678922B2 Processes for the preparation of 1,2,4-oxadiazole benzoic acids
Provided herein are processes for the preparation of compounds useful for the treatment, prevention or management of diseases associated with a nonsense mutation. More specifically, provided herein are processes for the synthesis of 1,2,4-oxadiazoles. In particular, provided herein are processes useful for the preparation of 3-[5-(2-fluorophenyl)-[1,2,4]oxadiazol-3-yl]-benzoic acid.
US07678920B2 Insecticidal N-substituted sulfoximines
N-Substituted sulfoximines are effective at controlling insects.
US07678919B2 Method for producing pentacyclic taxans
Taxan derivatives are produced efficiently and inexpensively, which are useful as oral-administrable antitumor compounds.A compound of formula (1) (wherein R1 is an alkyl group, an alkenyl group, an alkynyl group, a cycloalkyl group, an aryl group or a heterocyclic group; R2 is a hydroxyl group optionally having a protective group) is processed with an alkali metal permanganate to produce a compound of formula (2), which may be a starting material for oral-administrable antitumor compounds.
US07678916B2 Process
The present invention relates to processes for the preparation of rosiglitazone, rosiglitazone prepared thereby and pharmaceutical compositions and therapeutic uses thereof, and methods of treatment employing the same.
US07678914B2 Deuterated benzo[D][1,3]-dioxol derivatives
The present invention relates to an isotopologue of Compound 1 substituted with deuterium at the methylene carbon of the benzodioxol ring. The isotopologues of this invention selective serotonin reuptake inhibitors (SSRIs) and possess unique biopharmaceutical and metabolic properties compared to Compound 1. They may also be used to accurately determine the concentration of Compound 1 in biological fluids and to determine metabolic patterns of Compound 1 and its isotopologues. The invention further provides compositions comprising these deuterated isotopologues and methods of treating diseases and conditions that are responsive to increased neuronal serotonin transmission, alone and in combination with additional agents.
US07678910B2 Process for producing 5-methyl-4,5,6,7-tetrahydrothiazolo[5,4-c]Pyridine-2-carboxylic acid
5-Methyl-4,5,6,7-tetrahydrothiazolo[5,4-c]pyridine-2-carboxylic acid of formula (5) or a salt thereof, [F14] is prepared by reacting a compound of formula (6) or a salt thereof, with a trihalogenoacetyl halide in the presence of a base, followed by hydrolysis.
US07678907B2 Halogenation of rylen-carboximides with elementary halogen in a two-phase mixture comprising an organic solvent and water, wherein formed halogen hydroxide is continuously removable from the organic solvent
A process for preparing halogenated rylenecarboximides of the general formula I in which the variables are each defined as follows: A, B together are an imide radical or, in the case that n is 1, A and B are also each Hal or one radical is Hal and the other radical is halogen; R, R′ are each independently hydrogen; optionally substituted alkyl, cycloalkyl, aryl or hetaryl; Hal is chlorine, bromine or iodine; n is 1, 2 or 3; x is from 2 to 8, by reacting a rylenecarboximide of the general formula II in which the A′ and B′ radicals together are an imide radical or, in the case that n is 1, are also each hydrogen with elemental halogen in the presence of an inert organic solvent S1, which comprises continuously withdrawing the hydrogen halide formed in the course of the reaction from the solvent S1.
US07678906B2 Process for making triphenylboron-pyridine compound
A process for improving the recovery of solid triphenylboron-pyridine compound (TPBP), while retaining desirable particle characteristics comprises the addition of an acid to neutralize at least a portion of the alkali contained in the aqueous mother liquor. By adjusting the pH of the product slurry to between about 8.5 and about 12, it is possible to increase product recovery by more than 10%, while maintaining desirable particle characteristics.
US07678903B2 Process for the preparation of levofloxacin hemihydrate
The present invention relates to an improved process for the preparation of Levofloxacin hemihydrate by adjusting the moisture content of the solvent to about 12% to about 20% during crystallization.
US07678902B2 Process for the preparation of 11-(4-[2-(2-hydroxyethoxy)ethyl]-1-piperazinyl)dibenzo[b,f][1,4]thiazepine
Disclosed is a process for the preparation of 11-(4-[2-(2-hydroxyethoxy)ethyl]-1-piperazinyl)-dibenzo[b,f][1,4]thiazepine. In the process, low-priced 2,2′-dithiosalicylic acid as starting material is subjected to bond formation reaction with 1-chloro-2-nitrobenzene in a basic aqueous solution, a nitro group reduction reaction is conducted, cyclization and chlorination reactions are simultaneously carried out in the presence of a equivalent amount of halogenating agent, a reaction with piperazine is continuously conducted without separation, and a reaction with 2-haloethoxyethanol is conducted, thereby it is possible to economically producing Quetiapine, that is, 11-(4-[2-(2-hydroxyethoxy)ethyl]-1-piperazinyl)-dibenzo[b,f][1,4]thiazepine, in an environmentally friendly manner. Particularly, the process is advantageous in that economic efficiency is assured because of use of the low-priced starting material, use of an organic solvent is minimized because a reaction is conducted in an aqueous solution, and it is possible to achieve the environmentally friendly and economical process having high commercial usefulness because the number of reaction steps of the process is reduced and because generation of acidic waste is minimized.
US07678898B2 Method of grain production for heterozygous waxy sugary-2 maize
This patent pertains to a method of producing a waxy maize starch derived from a plant which is heterozygous for the recessive sugary-2 allele by interplanting waxy maize seed which is homozygous for the dominant sugary-2 allele and waxy maize which is homozygous for the recessive sugary-2 allele, one hybrid being male sterile. The maize is harvested and processed together to obtain starch with excellent low temperature and freeze-thaw stability, high pasting temperature, and intact granules.
US07678895B2 Antisense modulation of superoxide dismutase 1, soluble expression
Antisense compounds, compositions and methods are provided for modulating the expression of superoxide dismutase 1, soluble. The compositions comprise antisense compounds, particularly antisense oligonucleotides, targeted to nucleic acids encoding superoxide dismutase 1, soluble. Methods of using these compounds for modulation of superoxide dismutase 1, soluble expression and for treatment of diseases associated with expression of superoxide dismutase 1, soluble are provided.
US07678886B2 Pharmaceutical compositions of adiponectin variants and methods of storage
A composition comprising an adiponectin variant at a concentration of at least 2.0 mg/mL, and a pharmaceutically acceptable carrier, wherein less than 20% of said adiponectin variant would aggregate after storage at 4° C. for one week in 10 mM PO4, 150 mM NaCl buffer.
US07678885B2 Recombinant bone morphogenetic protein heterodimers, compositions and methods of use
The present invention relates to a methods for producing recombinant heterodimeric BMP proteins useful in the field of treating bone defects, healing bone injury and in wound healing in general. The invention also relates to the recombinant heterodimers and compositions containing them.
US07678884B2 Biologically active peptide and agent containing the same
A peptide having any one of the amino acid sequences of SEQ ID NO: 1 or 13, preferably a peptide having any one of the amino acid sequences of SEQ ID NOS: 2 to 9 or a peptide having any one of the amino acid sequences of SEQ ID NOS: 10 and 15 to 17, is used as an active ingredient of an agent for promoting growth or differentiation of cells such as osteoblasts, chondroblasts, cementoblasts, bone marrow-derived mesenchymal stem cells and periodontal ligament-derived cells.
US07678880B2 Molecular oriented polymer gel and cast film with self-organizable amphiphilic compound as template, and their production methods
A molecular-oriented polymer gel and its cast film obtained by self-assembly of a self-organizable amphiphilic compound and a monomer interacting with the amphiphilic compound, and then polymerizing the monomer, and their production methods.
US07678876B2 Hydroxy-aromatic compound, process for the preparation thereof, and use of the compound
Hydroxy-aromatic compounds of formula (I) are provided: wherein at least one of the set consisting of R1, R3, and R5 is a group of formula (II); any remaining one or two of the set consisting of R1, R3, and R5 being H, OH, a C1-C12 alkyl group or an oligomeric or polymeric system; R2 and R4 are H, OH, a C1-C12 alkyl group or an oligomeric or polymeric system; wherein formula (II) is the following group: wherein EWG is an electron-withdrawing group.
US07678875B2 Method for producing polycarbonate copolymer and polycarbonate copolymer
A method for producing a PC copolymer with improved productivity is provided. The PC copolymer has a specific structure and the method uses a diester diol-containing monomer as a raw material. The polycarbonate copolymer is produced by reacting a diester diol-containing monomer, a divalent phenol, and a carbonate precursor by interfacial polymerization, wherein the diester diol-containing monomer is a product of reaction between a hydroxybenzoic acid or an esterified product thereof and a polyalkylene glycol, wherein the esterification degree of hydroxyl groups of the polyalkylene glycol is 50 to 90 mol %.
US07678873B1 Acetylene-terminated hyperbranched poly(arylene-ether-ketone-imides)
Novel ether-ketone-imide hyperbranched polymers with thermally reactive ethynyl endgroups were prepared from the corresponding AB2 monomer and the respective benzophenone-based endcapping agents in one-pot fashion. The resulting polymer has repeating units of the formula: where the chain-end groups, Ar is a benzophenone with an ortho, a meta- or a para-ethynyl substituent.
US07678872B2 Process for preparing water-soluble polyhydroxyaminoether
A water soluble polymer comprising a copolyhydroxyaminoether having side-chains of polyalkylene oxides, an aqueous solution of said polymer and process for preparing the copolyhydroxyaminoether.
US07678871B2 Preparation of cyclic oligosiloxane
Cyclic oligosiloxane is prepared through disproportionation reaction of organopolysiloxane in the presence of a catalyst. Cyclic oligosiloxane of high purity can be produced in high yields by using a catalyst having formula (4): wherein M is Al, Ti, Zr, Sn or Zn, p is the valence of M, and R4 is a monovalent hydrocarbon group or the like.
US07678867B2 Process for the (co)polymerization of ethylene
A process for preparing a broad molecular weight polyethylene carried out in the presence of a catalyst system comprising (i) a solid catalyst component comprising Mg, Ti, halogen, and optionally an internal electron donor compound, and (ii) an Al-alkyl cocatalyst said process comprising at least two step of polymerization (a) and (b), in which: in a first step (a) ethylene is polymerized in the presence of a molecular weight regulator in order to produce a ethylene (co)polymer, and in a further step (b), which is carried out in the presence of an external electron donor compound added to this polymerization step as a fresh reactant, ethylene is copolymerized with an alpha olefin of formula CH2═CHR, in which R is a C1-C20 hydrocarbon group, to produce an ethylene copolymer having a molecular weight higher than that of the copolymer produced in step (b).
US07678865B2 Mixtures of substances
The present invention relates to mixtures of substances based on organopolysiloxane polyethers and at least one further substance or component, preferably a polymer obtained from aqueous suspension, particularly preferably crosslinked functionalized polystyrene polymer beads, and also to the use of such mixtures of substances based on organopolysiloxane polyether together with crosslinked functionalized polystyrene polymer beads as free-flowing ion exchangers or free-flowing adsorbers, particularly preferably free-flowing monodisperse or heterodisperse anion exchangers or cation exchangers, but also free-flowing mixtures of anion and cation exchangers.
US07678863B2 Proton exchange membrane materials based on sulfonated poly (phthalazinones)
A novel class of proton exchange membrane materials, sulfonated poly(phthalazinones), were prepared by modification of poly(phthalazinone). Sulfonation reactions were conducted at room temperature using mixtures of 95-98% concentrated sulfuric acid and 27-33% fuming sulfuric acid with different acid ratios in order to get SPPEK with degree of sulfonation (DS) in the range of 0.6 to 1.0. The presence of sulfonic acid groups was confirmed by FT-IR analysis, and the DS and structures were characterized by NMR. The introduction of sulfonic groups into the polymer chains led to an increase in the glass transition temperature and a decrease in the decomposition temperature. Membrane films were cast from solution in N,N-dimethylformamide or N,N-dimethylacetamide. Water uptakes and swelling ratios of the membrane films increased with DS and sulfonated polymers with DS>1.2 were water soluble at 80° C. Proton conductivity increased with DS and temperature up to 95° C., reaching 10−2 S/Cm.
US07678860B2 Composition for polymer solid electrolyte, polymer solid electrolyte, polymer, polymer solid electrolyte battery, ionconductive membrane, copolymer and process for producing the copolymer
The present invention provides a polymer solid electrolyte excellent in thermal properties, physical properties and ion conductivity and being close to practical level; especially, a totally solid electrolyte and a composition for producing the same. A composition for polymer solid electrolyte includes a copolymer having repeating units represented by Formula (I): (wherein each of R1 to R3 independently represents a hydrogen atom or a C1-C10 hydrocarbon group; R4a and R4b independently represents a hydrogen atom or a methyl group; R5 represents a hydrogen atom, a hydrocarbon group, an acyl group or a silyl group; and m is an integer of 1 to 100), and repeating units represented by Formula (II): (wherein each of R6 and R8 independently represents a hydrogen atom or a C1-C10 hydrocarbon group; R9 represents an organic group having at lease one functional group selected from the group consisting of hydroxyl group, carboxyl group, epoxy group, acid anhydride group and amino group); and an electrolyte salt.
US07678859B2 Preparation and stabilization of fluoropolymer dispersions
Provided is a method comprising polymerizing at least one fluorinated monomer in an aqueous emulsion polymerization in the presence of a carbosilane surfactant having at least one hydrophobic part, wherein the hydrophobic part has at least one silicon atom, and having at least one hydrophilic part, with the proviso that the carbosilane contains no Si—O bonds.
US07678858B2 Fluorine-containing elastomer composition excellent in plasma-aging prevention effect and shaped article made thereof
The present invention provides a fluorine containing elastomer composition which does not affect heat resistance and processability, is little in weight change for both of fluorine plasma and oxygen plasma exposed at the production step of a semiconductor and can inhibit generation of foreign objects (particles) significantly in those steps, and a molded article comprising the composition. The present invention relates to a fluorine containing elastomer composition for the seal material of a semiconductor production device comprising a fluorine containing elastomer and a compound having plasma antiaging effects.
US07678857B2 Polymer mixtures for printed polymer electronic circuits
In order to increase the viscosity of semiconductive polymers in solution, they are mixed with non-semiconductive polymers.
US07678855B2 Rubber composition
A rubber composition having a high hysteresis loss is provided without impairment of other physical properties, such as fracture characteristics. The rubber composition includes 100 parts by weight of a rubber component, 0.1 to 100 parts by weight of graphitized carbon material, and a lipid the amount of which is 0.02 to 0.2 times the amount of the graphitized carbon material. Preferably, the graphitized carbon material is carbon fiber grown from a vapor phase or graphitized carbon black, and the lipid is a fatty acid. Preferably, the rubber composition according to the present invention has a loss tangent tan δ in the range of 0.05 to 0.5 at a temperature of 60 degree C. after vulcanization.
US07678853B2 Highly dielectric elastomer composition and dielectric antenna
The present invention provides a highly dielectric elastomer composition which shows a high dielectric constant in a wide temperature range from low to high temperature and has a low dielectric loss tangent, and a dielectric antenna composed of the highly dielectric elastomer composition. A dielectric antenna including a molding of a highly dielectric elastomer composition composed of an elastomer and a highly dielectric ceramic powder mixed with the elastomer and an electrode formed on the molding. The highly dielectric ceramic powder of barium titanate.neodymium ceramic has a temperature coefficient α(unit: 1/° C.) of a dielectric constant of the ceramic powder on 25° C. standard ranging from −200×10−6 to 100×10−6 over a temperature range from −40° C. to 100° C. The dielectric constant of the highly dielectric elastomer composition is ≧7 and a dielectric loss tangent thereof is ≦0.01.
US07678851B2 Nanocomposite thermoplastic resin composition with flame resistance
The present invention relates to a nanocomposite thermoplastic resin composition with flame resistance comprising a basic resin mixture, which comprises a rubber-modified styrene-containing graft copolymer, a thermoplastic polyamide resin and a styrene-containing copolymer, a compatibilizer, a melamine based flame retardant and an organic layered silicate. The nanocomposite thermoplastic resin composition of the present invention offers superior flame resistance without comprising a halogen based flame retardant.
US07678848B2 Process for preparing fluoropolymer dispersions
A process to substantially remove fluorinated anionic surfactants from fluoropolymer dispersions comprising the following steps: a) addition to the fluoropolymer dispersion of one or more non fluorinated surfactants; b) contact of the dispersion with an anionic exchanger; c) separation of the dispersion from the anionic exchanger and recovery of the dispersion substantially fluorinated anionic surfactant free.
US07678847B2 Encapsulated structural adhesive
The invention teaches an encapsulated curable adhesive composition especially adapted for use as a structural adhesive. The adhesive composition enables formation of a thin layer reactive composition of microcapsules and a two-part curative. The adhesive composition comprises a first population of microcapsules encasing a monomer and a first-part curative comprising an initiator. The adhesive composition further comprises a second-part curative. The second-part curative comprises an activator and a catalyst. At least the activator of the second-part curative is preferably encapsulated in a second population of microcapsules. The catalyst and the second population of microcapsules can be dispersed in a binder material, along with the first population of microcapsules. The first population of microcapsules contain encapsulated within the core a monomer having a Tg 35° C. or less and the first-part curative. The first population microcapsules contain encapsulated within the core a monomer having a Tg 35° C. or less along with the first-part curative. The encapsulated monomer and first-part curative constitute a blend which is a free flowing liquid having a viscosity of less than 500 Cp (milliPascal-second), and more preferably less than 10 Cp (milliPascal-second). The first population of microcapsules with first-part curative, and a second-part curative and second population of microcapsules are dispersed in a binder material. Reactive contact of the monomer and first-part curative with the second-part curative is affected by exuding the respective capsule contents into reactive contact through breakage of the microcapsules such as an interference fit of the substrate or substrates to which the composition is applied.
US07678835B2 Low-foaming gas processing compositions and uses thereof
The invention relates to low-foaming gas processing compositions comprising (a) a silicone antifoam component comprising a base silicone fluid and a particulate metal oxide; (b) an emulsifying component in an amount suitable for the at least substantial emulsification of the silicone antifoam component in water; (c) a polypropylene glycol component at least partially soluble, emulsifiable, and/or dispersible in water; (d) a water-soluble or water-dispersible gas processing agent; and (e) water. The invention also relates to methods for processing a gas by treating the gas with these foam suppressing compositions.
US07678833B2 Method to increase the bioavailability of cysteine
A method for increasing the bioavailability of cysteine within an animal by reducing extracellular cysteine disulfide molecules to produce extracellular cysteine molecules. A membrane permeable thiol molecule that forms a membrane permeable disulfide molecule after becoming oxidized participates in thiol-disulfide exchange reactions on both sides of the cellular membrane, crossing the membrane randomly via simple diffusion. The membrane permeable disulfide, within or upon entering the highly reductive environment of a cell, becomes reduced to form a membrane permeable thiol molecule. the membrane permeable thiol can then diffuse to the exterior of the cell, where it is available to reduce another cystine molecule. This process can continue cycling, producing net cumulative increase in the concentration of extracellular cysteine. This is beneficial for providing cysteine as a nutrient to cells that require cysteine for nourishment.
US07678829B2 Oculoselective drugs and prodrugs
Compounds of the following formula are disclosed: Methods of preparing the compounds, pharmaceutical compositions comprising the compounds, and methods of treating patients by administration of the pharmaceutical compositions, are also disclosed.
US07678826B2 Organic compounds for the treatment of inflammatory or allergic conditions
Compounds of formula (I) in free or pharmaceutically acceptable salt form, where R1, R2, R3, R4, R5, R6, R7, m, n, w, X, and Y have the meanings as indicated in the specification, are useful for treating conditions mediated by the CRTh2 receptor, especially inflammatory or obstructive airways diseases.
US07678818B2 Anthranilamide and 2-amino-heteroarene-carboxamide compounds
Compounds of formula I processes for their preparation, their use as pharmaceuticals and to pharmaceutical compositions comprising them.
US07678814B2 Aroyl-piperidine derivatives
The invention relates to novel N-(3,5-bis-trifluoromethyl-benzoyl)-2-benzyl-4-(quinoloy-lamino)-piperidines of the formula . . . wherein Y and R each are as defined above and the ring A is unsubstituted or mono- or polysubstituted by substituents selected from the group consisting of lower alkyl, lower alkoxy, halogen, nitro and trifluoromethyl; and the salts thereof, to the use thereof, to processes for the preparation thereof and to pharmaceutical compositions comprising a compound according to the invention.
US07678809B2 Benzimidazole compounds having nociceptin receptor affinity
Disclosed are compounds of the formula (I) wherein A, R1, R2, R3, R4 and X1 are as disclosed herein. The compounds have affinity for the ORL1 receptor and are useful in the treatment of chronic and acute pain.
US07678802B2 Quinazolines useful as modulators of ion channels
The present invention relates to compounds useful as inhibitors of voltage-gated sodium channels and calcium channels. The invention also provides pharmaceutically acceptable compositions comprising the compounds of the invention and methods of using the compositions in the treatment of various disorders.
US07678801B2 Compounds
Novel substituted 2,4,8-trisubstituted 8H-pyrido[2,3-d]pyrimidin-7-one containing compounds and compositions, and their use use in therapy as CSBP/RK/p38 kinase inhibitors.
US07678800B2 2-(1H-indolylsulfanyl)-aryl amine derivatives for use in the treatment of affective disorders, pain, ADHD and stress urinary incontinence
The present invention relates to 2-(1H-indolylsulfanyl)-aryl amine derivatives of the general formula I as the free base or salts thereof and their use for the treatment of affective disorders, pain, attention deficit hyperactivity disorder (ADHD) and stress urinary incontinence.
US07678796B2 MGluR5 modulators I
The present invention is directed to novel compounds, to a process for their preparation, their use in therapy and pharmaceutical compositions comprising the novel compounds.
US07678795B2 Pyridazines as 11b-HSD1 inhibitors
Compounds of formula as well as pharmaceutically acceptable salts and esters thereof, wherein R1 to R4 have the significance given in claim 1 can be used in the form of pharmaceutical compositions.
US07678794B2 Potentiators of glutamate receptors
The present invention provides a compound of formula (I): pharmaceutical compositions thereof, and methods of using the same, processes for preparing the same, and intermediates thereof.
US07678791B2 Nitroheteroaryl-containing rifamycin derivatives
Substituted rifamycin derivatives in which a nitroimidazole, nitrothiazole or nitrofuran pharmacophore is covalently bonded to a rifamycin, methods of using the rifamycin derivatives, and pharmaceutical compositions containing the rifamycin derivatives are disclosed. Methods of synthesizing these substituted rifamycin derivatives are also disclosed. The rifamycin derivatives possess antibacterial activity, and are effective against a number of human and veterinary pathogens in the treatment of bacterial diseases.
US07678790B2 Platelet ADP receptor inhibitors
Novel compounds of formula (I) to (VI), which more particularly include sulfonylurea derivatives, sulfonylthiourea derivatives, sulfonylguanidine derivatives, sulfonylcyanoguanidine derivatives, thioacylsulfonamide derivatives, and acylsulfonamide derivatives which are effective platelet ADP receptor inhibitors. These derivatives may be used in various pharmaceutical compositions, and are particularly effective for the prevention and/or treatment of cardiovascular diseases, particularly those diseases related to thrombosis. The invention also relates to a method for preventing or treating thrombosis in a mammal comprising the step of administering a therapeutically effective amount of a compound of formulae (I)-(VI), or a pharmaceutically acceptable salt thereof.
US07678789B2 [1,2,4]-dithiazoli(di)ne derivatives, inducers of gluthathione-S-transferase and NADPH quinone oxido-reductase, for prophylaxis and treatment of adverse conditions associated with cytotoxicity in general and apoptosis in particular
The present invention relates to 5-imino-5H-[1,2,4]-dithiazol-3-yl-amine and [1,2,4]-dithiazolidine-3,5-diylidene-diamine derivatives as inducers of gluthathione-S-transferase (GST) and NADPH quinone oxidoreductase (NQO), to methods for the preparation of these compounds and to novel intermediates useful for the synthesis of said [1,2,4]-dithiazoli(di)ne derivatives. The invention also relates to the use of a compound disclosed herein for the treatment of adverse conditions associated with cytotoxicity in general and apoptosis in particular. The invention relates to compounds of the general formula (I): wherein wherein the symbols have the meanings given in the specification.
US07678788B2 Diazabicyclic aryl derivatives
This invention relates to novel diazabicyclic aryl derivatives which are found to be cholinergic ligands at the nicotinic acetylcholine receptors. Due to their pharmacological profile the compounds of the invention may be useful for the treatment of diseases or disorders as diverse as those related to the cholinergic system of the central nervous system (CNS), the peripheral nervous system (PNS), diseases or disorders related to smooth muscle contraction, endocrine diseases or disorders, diseases or disorders related to neuro-degeneration, diseases or disorders related to inflammation, pain, and withdrawal symptoms caused by the termination of abuse of chemical substances.
US07678787B2 Pyrrolobenzodiazepine pyridine carboxamides and derivatives as follicle-stimulating hormone receptor antagonists
This invention provides pyrrolobenzodiazepine pyridine carboxamides selected from those of Formula (1), which act as follicle stimulating hormone receptor antagonists. The invention also provides pharmaceutical compositions and methods of treatment utilizing the compounds of Formulae (1) and (2).
US07678781B2 11-phosphorous steroid derivatives useful as progesterone receptor modulators
The present invention is directed to novel 11-phosphorous steroid derivatives, pharmaceutical compositions containing them and their use in the treatment of disorders and conditions modulated by a progesterone or glucocorticoid receptor.
US07678778B2 Salts of isophosphoramide mustard and analogs thereof as anti-tumor agents
The present disclosure relates to salts and compositions of isophosphoramide mustard and isophosphoramide mustard analogs. In one embodiment the salts can be represented by the formula wherein A+ represents an ammonium species selected from the protonated (conjugate acid) or quaternary forms of aliphatic amines and aromatic amines, including basic amino acids, heterocyclic amines, substituted and unsubstituted pyridines, guanidines and amidines; and X and Y independently represent leaving groups. Also disclosed herein are methods for making such compounds and formulating pharmaceutical compositions thereof. Methods for administering the disclosed compounds to subjects, particularly to treat hyperproliferative disorders, also are disclosed.
US07678775B2 ILK inhibitors for the treatment of renal disease
The invention relates to the treatment of renal diseases using modulators of integrin linked kinase. Methods of treatment as well as therapeutic agents including antisense, small molecules, catalytic peptides and antibodies are disclosed. The agents of the invention may also be used in combination with traditional therapies for renal disease including ACE inhibitors. An advantage of the invention is that it treats one of the causes of renal disease, rather than just ameliorating symptoms, and can help prevent the progression of renal disease to the point of acute renal failure.
US07678772B2 7-hydroxy chromones as potent antioxidants
The present invention describes the identification and purification of 7-hydroxychromes that exhibit potent antioxidant activity. In one embodiment the present invention includes a method for providing an antioxidant to a host in need thereof, comprising administering an effective amount of a 7-hydroxychrome or a mixture of 7-hydroxychromones. The present invention includes methods that are effective in inhibiting free radical and oxidation caused damage through the simultaneous suppression of free radical generation and the suppression of the production of reactive oxygen species (ROS). The present invention also includes methods for preventing and treating ROS mediated diseases and conditions and diseases and conditions associated with other oxidative processes. The method for preventing and treating ROS mediated diseases and conditions and diseases and conditions associated with other oxidative processes is comprised of administering to a host in need thereof an effective amount of a composition comprised of a 7-hydroxychrome or a mixture of 7-hydroxychromones and a pharmaceutically acceptable carrier. Included in this invention is an improved method to isolate and purify 7-hydroxychromones from plant sources.
US07678770B2 Abuse-resistant amphetamine prodrugs
The invention describes compounds, compositions, and methods of using the same comprising a chemical moiety covalently attached to amphetamine. These compounds and compositions are useful for reducing or preventing abuse and overdose of amphetamine. These compounds and compositions find particular use in providing an abuse-resistant alternative treatment for certain disorders, such as attention deficit hyperactivity disorder (ADHD), ADD, narcolepsy, and obesity. Oral bioavailability of amphetamine is maintained at therapeutically useful doses. At higher doses bioavailability is substantially reduced, thereby providing a method of reducing oral abuse liability. Further, compounds and compositions of the invention decrease the bioavailability of amphetamine by parenteral routes, such as intravenous or intranasal administration, further limiting their abuse liability.
US07678769B2 Hepatocyte growth factor receptor splice variants and methods of using same
Novel polypeptides that are splice variants of c-Met, the receptor for hepatocyte growth factor and polynucleotides encoding same are provided. Methods and pharmaceutical compositions which can be used to treat various disorders such as cancer, immunological-related, blood-related and skin-related disorders using the polypeptides and polynucleotides of the present invention, are also provided.
US07678766B2 Process for the preparation of cyclosporin derivatives
The invention concerns a novel method for preparing an intermediate polyanion for preparing cyclosporin derivatives by treating a cyclosporin with a hexamethyldisilazane metal salt, optionally in the presence of a metal halide. The treated cyclosporin has one or several free hydroxy groups and/or non-methylated nitrogen atoms in position α and/or any other acid group capable of deprotonation which are optionally deprotonated or in protected form.
US07678765B2 Synthetic methods for aplidine and new antitumoral derivatives, methods of making and using them
The invention relates to aplidine derivatives of the general formula: which are useful for the treatment of tumors.
US07678764B2 Protein formulations for use at elevated temperatures
Liquid formulations of bone morphogenetic proteins are provided for prolonged use at elevated temperatures. More specifically, the invention relates to liquid formulations comprising rhGDF-5, trehalose, and one or more biocompatible excipients that provide stability to the protein for at least 30 days at temperatures up to body temperature.
US07678763B2 Compositions and methods for the prevention and control of insulin-induced hypoglycemia
Pharmaceutical compositions comprising glucagon can be administered to control and treat diabetes while reducing or eliminating the risk of insulin-induced hypoglycemia. Also provided are methods of administering glucagon so as to reduce the risk of inducing hypoglycemia.
US07678760B2 Inhibitors of Memapsin 2 and use thereof
Methods for the production of purified, catalytically active, recombinant memapsin 2 have been developed. The substrate and subsite specificity of the catalytically active enzyme have been determined. The substrate and subsite specificity information was used to design substrate analogs of the natural memapsin 2 substrate that can inhibit the function of memapsin 2. The substrate analogs are based on peptide sequences, shown to be related to the natural peptide substrates for memapsin 2. The substrate analogs contain at least one analog of an amide bond which is not capable of being cleaved by memapsin 2. Processes for the synthesis of two substrate analogues including isosteres at the sites of the critical amino acid residues were developed and the substrate analogues, OMR99-1 and OM99-2, were synthesized. OM99-2 is based on an octapeptide Glu-Val-Asn-Leu-Ala-Ala-Glu-Phe (SEQ ID NO:28) with the Leu-Ala peptide bond substituted by a transition-state isostere hydroxyethylene group (FIG. 1). The inhibition constant of OM99-2 is 1.6×10−9 M against recombinant pro-memapsin 2. Crystallography of memapsin 2 bound to this inhibitor was used to determine the three dimensional structure of the protein, as well as the importance of the various residues in binding. This information can be used by those skilled in the art to design new inhibitors, using commercially available software programs and techniques familiar to those in organic chemistry and enzymology, to design new inhibitors to memapsin 2, useful in diagnostics and for the treatment and/or prevention of Alzheimer's disease.
US07678756B2 Substituted hydrogenated naphthalene derivatives and their use in fragrance formulations
The present invention is directed to novel compounds of the general formula wherein R is hydrogen, a straight, branched or cyclic hydrocarbon moiety consisting of less than 10, most preferably less than 4 carbon atoms and containing single and/or double bonds, an acetyl group and substituted acetyl groups including cyclic groups, such as cyclopropyl acyl group, alkoxy ether groups and alkyl substituted alkoxy ether moieties such as, but not limited to, CH2—O—CH2—R5 wherein R5 is a C1-C4 alkyl group as well as double bond containing alkyl groups; R1 is selected from the group consisting of hydrogen or a C1-C4 alkyl group and R2 and R3 is independently equal to methyl; and wherein one of the dashed lines between the 5 and 10 position, the 4 and 5 position and the 5 and 6 position represents a second C—C bond in a C═C double bond.
US07678755B2 Modified alkoxylated polyol compounds
A modified polyol compound having alkoxylation and having at least one anionic capping unit, uses of the modified polyol compound having alkoxylation and having at least one anionic capping unit and cleaning compositions comprising the same.
US07678752B2 Fabric care composition comprising organosilicone microemulsion and anionic/nitrogen-containing surfactant system
Systems, compositions and methods for fabric laundering comprising selected organosilicones which are formulated into microemulsions for improved deposition onto fabrics to provide fabric care benefits.
US07678750B2 Cleansing composition comprising a coated synthetic fluorphologopite and a ternary surfactant mixture
The present invention is related to an aqueous cleansing composition especially for keratin fibers such as human hair with shine enhancing effect. More specifically subject matter of the present invention is an aqueous cleansing composition especially for keratin fibers such as human hair comprising one or more surfactant selected from anionic, non-ionic and amphoteric ones at a concentration of 5 to 50% by weight calculated to total composition, wherein the composition does not comprise any monoethanolamide surfactant, and at least one color effect pigment consisting of synthetic mica coated with metal oxide or oxides and having a volume particle size distribution in the range of 1 to 750 μm at a concentration of 0.01 to 10% by weight, calculated to total composition.
US07678748B2 Organoleptic compounds and their use in perfume compositions
The present invention is directed to novel compounds which possess unique organoleptic properties containing the following general formula: wherein R and R′ each independently represents hydrogen or a C1-C7 moiety, and the use of these compounds as fragrance materials to enhance fragrance formulations in perfumes, toilet waters, colognes, fabric care products, personal products, and the like.
US07678747B2 Engine oil compositions
A lubricating oil composition comprising (a) a major amount of a base oil of lubricating viscosity and (b) a minor deposit-inhibiting effective amount of a reaction product prepared by transesterifying at least one glycerol ester and at least one non-glycerol polyol ester is provided. Methods for its use are also provided.
US07678746B2 Lubricating compositions containing sulphonates and phenates
A lubricating composition containing (a). at least 3 weight percent of an overbased sulphonate detergent with a metal ratio of 12.5:1 to 40:1; (b). at least 1.5 weight percent of a sulphur containing phenate detergent with a metal ratio of not more than 2.5; and (c). an oil of lubricating viscosity, wherein the sulphur containing phenate contains oligomers of hydrocarbyl phenol with at least 50 wt % of said oligomers in the form of the tetramer or higher oligomers. The composition is suitable for internal combustion engines, particularly marine diesel applications to provide improved cleanliness decreased cylinder wear and reduced deposits.
US07678741B2 Herbicidal composition
A dehydration step provides a good storage stability to clethodium formulation. A herbicidal composition comprising clethodim as an active ingredient, wherein the content of water in the herbicidal composition is less than approximately 0.20% by weight provides a good storage stability of the clethodim in the composition.
US07678736B1 Modified reactive sorbents exhibiting enhanced decontamination of chemical warfare agents
A composition for decontaminating a highly toxic material, wherein the composition includes a modified reactive sorbent comprising a reactive sorbent in combination with a sufficient amount of an organic solvent to saturate the pores of the reactive sorbent to yield a non-slurry, free flowing mixture. The present invention is further directed to a method for making such compositions and method for decontaminating surfaces using such compositions.
US07678734B2 Oxidation catalysts
The present invention relates to an oxidation catalyst comprising a substrate and an oxidation coating of platinum (Pt), palladium (Pd), cobalt (Co), iron (Fe) and cerium (Ce) applied to the substrate. Furthermore the invention relates to a method for producing such an oxidation catalyst and an internal combustion engine using such an oxidation catalyst.
US07678731B2 Hydroprocessing bulk catalyst and uses thereof
An improved hydroprocessing catalyst having improved morphology/dispersion characteristics is provided. The hydroprocessing catalyst has the formula (Mt)a(Lu)b(Sv)d(Cw)e(Hx)f(Oy)g(Nz)h, wherein M is at least one group VIB metal; L is optional and if present, L is at least one Group VIII non-noble metal; t, u, v, w, x, y, z representing the total charge for each of the components (M, X, S, C, H, O and N, respectively); ta+ub+vd+we+xf+yg+zh=0; 0=
US07678726B2 Supported polymerisation catalysts
A supported polymerization catalyst system is prepared by a method comprising the following steps: (i) addition of a cocatalyst to a porous support, (ii) mixing a polymerisation catalyst with a polymerisable monomer, and (iii) contacting together the components resulting from steps (i) and (ii). The porous support is preferably silica and the polymerisation catalyst is preferably a metallocene. The polymerisable monomer is typically 1-hexene and the supported catalyst system provides advantages a slowly decaying activity profile particularly when operating in the gas phase.
US07678723B2 Sintered spherical pellets
Sintered, spherical composite pellets or particles comprising alumina fines, at least one of clay and bauxite and optionally a sintering aid, are described, along with a process for their manufacture. The use of such pellets in hydraulic fracturing of subterranean formations and in grinding is also described.
US07678722B2 Green glass composition
A glass composition that includes a base glass composition including: SiO2 from 65 to 75 weight percent, Na2O from 10 to 20 weight percent, CaO from 5 to 15 weight percent, MgO from 0 to 5 weight percent, Al2O3 from 0 to 5 weight percent, K2O from 0 to 5 weight percent, and a colorant and property modifying portion including total iron ranging from of equal to or less than 0.6 weight percent; and TiO2 ranging from 0.1 to 1.0 weight percent, wherein the redox ratio ranges from 0.33 to 0.45 and the weight percents are based on the total weight of the composition.
US07678720B2 Glass ceramics comprising beta-quartz or beta-quartz solid solution
Glass-ceramics includes Li2O, Al2O3 and SiO2, have an average crystal grain diameter of a predominant crystal phase of 90 nm or below and have crystal grain diameter distribution of 20 nm or below. The glass-ceramics can be manufactured by heat treating glass comprising Li2O, Al2O3 and SiO2 under a temperature within a range from 650° C. to 750° C. and then further heat treating the glass under a temperature within a range from 700° C. to 800° C. for 100-200 hours.
US07678718B2 Base layer apparel
A base layer apparel is provided that is formed from a single or double-knit fabric. The fabric is formed of ring spun fire resistant yarns that are an intimate blend of at least 60 percent modacrylic fibers and up to 40 percent fire-resistant viscose fibers. Anti-microbial and wicking treatments may be applied to the knit fabric.
US07678715B2 Low wet etch rate silicon nitride film
The present invention pertains to methods of depositing low wet etch rate silicon nitride films on substrates using high-density plasma chemical vapor deposition techniques at substrate temperatures below 600° C. The method additionally involves the maintenance of a relatively high ratio of nitrogen to silicon in the plasma and a low process pressure.
US07678708B2 Systems and methods for forming metal oxide layers
A method of forming (and apparatus for forming) a metal oxide layer, preferably a dielectric layer, on a substrate, particularly a semiconductor substrate or substrate assembly, using a vapor deposition process and ozone with one or more metal organo-amine precursor compounds.
US07678701B2 Flexible substrate with electronic devices formed thereon
A method of manufacturing an electronic device (10) provides a substrate (20) that has a plastic material. A particulate material (16) is embedded in at least one surface of the substrate. A layer of thin-film semiconductor material is deposited onto the substrate (20).
US07678700B2 Silicon carbide polishing method utilizing water-soluble oxidizers
The inventive method comprises chemically-mechanically polishing a substrate comprising at least one layer of silicon carbide with a polishing composition comprising a liquid carrier, an abrasive, and an oxidizing agent.
US07678699B2 Method of forming an insulating capping layer for a copper metallization layer by using a silane reaction
A new technique is disclosed in which a barrier/capping layer for a copper-based metal line is formed by using a thermal-chemical treatment with a surface modification on the basis of a silicon-containing precursor followed by an in situ plasma-based deposition of silicon nitride and/or silicon carbon nitride. The thermal-chemical treatment is performed on the basis of an ammonium/nitrogen mixture in the absence of any plasma ambient.
US07678698B2 Method of forming a semiconductor device with multiple tensile stressor layers
A semiconductor device has at least two tensile stressor layers that are cured with UV radiation. A second tensile stressor layer is formed after a first stressor layer. In some examples, the tensile stressor layers include silicon nitride and hydrogen. In some examples, the second tensile stressor layer has a greater shrinkage percentage due to the curing than the first tensile stressor layer. In one form, the second tensile stressor layer after the curing exerts a greater tensile stress than the first tensile stressor layer. The tensile stressors layers are utilized to improve carrier mobility in an N-channel transistor and thus enhance transistor performance. In one form a single group of overlying tensile stressor layers is provided with each layer being increasingly thicker and having increasingly more hydrogen prior to being cured. In other embodiments multiple overlying groups are formed, each group having a similar repeating depth and hydrogen profile.
US07678694B2 Method for fabricating semiconductor device with silicided gate
A method for fabricating a semiconductor device having a silicided gate that is directed to forming the silicided structures while maintaining gate-dielectric integrity. Initially, a gate structure has, preferably, a poly gate electrode separated from a substrate by a gate dielectric and a metal layer is then deposited over at least the poly gate electrode. The fabrication environment is placed at an elevated temperature. The gate structure may be one of two gate structures included in a dual gate device such as a CMOS device, in which case the respective gates may be formed at different heights (thicknesses) to insure that the silicide forms to the proper phase. The source and drain regions are preferably silicided as well, but in a separate process performed while the gate electrodes are protected by, for example a cap of photoresist or a hardmask structure.
US07678693B2 Exposure method for upper layer of hole of semiconductor device
An exposure method executed after processing a hole in a substrate of a semiconductor device, has an exposure step of transferring a pattern on a mask onto an upper layer of the hole and forming a wiring groove by exposure, wherein a quantity of exposure with which a wiring groove 11 just above the hole or the wiring groove in the vicinity of the hole is exposed to light, is greater than a quantity of exposure with which a wiring groove 11A in a position spaced away from just above the hole is exposed to the light.
US07678687B2 Method for manufacturing semiconductor device and semiconductor device
In a method for manufacturing a semiconductor device, insulation resistance of the porous film is stabilized, and leakage current between adjacent interconnects provides an improved reliability in signal propagation therethrough. The method includes: sequentially forming over a semiconductor substrate a porous film and a patterned resist film; forming a concave exposed surface of the substrate; forming a non-porous film covering the interior wall of the concave portion and the porous film; selectively removing the non-porous film from the bottom of the concave portion and the non-porous film by anisotropic etch; forming a barrier metal film covering the porous film and the interior wall; and forming a metallic film on the barrier metal film to fill the concave portion. The anisotropic etch process uses an etching gas with mixing ratio MR, 45≦MR≦100, where MR=((gaseous “nitrogen” containing compound)+(inert gas))/(gaseous “fluorine” containing compound).
US07678686B2 Semiconductor device having copper metal line and method of forming the same
A method of forming a copper metal line in a semiconductor device includes depositing an interlayer insulating layer on a semiconductor substrate having a lower metal line, forming a via contact hole and a metal line pattern in the semiconductor substrate, sequentially depositing a barrier metal film and a copper seed layer, forming a copper film on a surface of the semiconductor substrate, removing the copper film and the barrier metal film, other than the portion of a copper metal line to be formed, removing a native oxide film existing on a surface of the copper metal line of the semiconductor substrate, depositing a silicon layer on the semiconductor substrate, making the deposited silicon layer and copper metal react to each other to form a copper silicide layer, removing a remaining silicon layer without being reacted, and depositing an insulating anti-diffusion film over the semiconductor substrate.
US07678679B2 Vertical device with sidewall spacer, methods of forming sidewall spacers and field effect transistors, and patterning method
A growth material that grows selectively on the vertical sidewalls of a vertical device forms sidewall spacers on substantially vertical sidewalls of the vertical device that is disposed on a horizontal substrate surface of a semiconductor substrate. A spacer-like seed liner may be provided on the vertical sidewalls of the vertical device to control selective growth. The vertical device may be a gate electrode of a field effect transistor (FET). With selectively grown sidewall spacers, heavily doped contact regions of the FET may be precisely spaced apart from the gate electrode. The distance of the heavily doped contact regions to the gate electrode does not depend from the height of the gate electrode. Distances of more than 150 nm between the heavily doped contact region and the gate electrode may be achieved so as to facilitate the formation of, for example, DMOS devices.
US07678678B2 Method to chemically remove metal impurities from polycide gate sidewalls
An embodiment includes a process of forming a gate stack that acts to resist the redeposition to the semiconductive substrate of mobilized metal such as from a metal gate electrode. An embodiment also relates to a system that achieves the process. An embodiment also relates to a gate stack structure that provides a composition that resists the redeposition of metal during processing and field use.
US07678677B2 Semiconductor device and manufacturing method thereof
A method for manufacturing a semiconductor device includes: forming a device isolation layer in a semiconductor substrate; forming a gate insulating layer and a gate electrode on the semiconductor substrate; depositing a triple layer over the resulting structure, the triple layer including a bottom oxide layer, a nitride oxide layer and a top oxide layer; and etching the triple layer to form spacers.
US07678670B2 TEG removing method in manufacturing method for semiconductor chips
A semiconductor chip manufacturing process includes sticking a protective sheet onto a first surface of a semiconductor wafer so that the sheet comes in contact with the TEG, placing a mask on a second surface that is a surface opposite from the first surface, performing plasma etching on the second surface to remove portions corresponding to dividing regions and separate device-formation-regions into individual semiconductor chips, and removing the TEG in a state where it remains unremoved in the dividing regions and stuck to the protective sheet together with the protective sheet by peeling off the protective sheet.
US07678667B2 Method of bonding MEMS integrated circuits
A method of bonding an integrated circuit to a substrate is provided. The integrated circuit is one of a plurality of integrated circuits, each having a respective frontside releasably attached to a film frame tape supported by a wafer film frame. The method comprises the steps of: (a) positioning a substrate at a backside of the integrated circuit; (c) positioning a bonding tool on a zone of the film frame tape, the zone being aligned with the integrated circuit; and (c) applying a bonding force from the bonding tool, through the film frame tape and the integrated circuit, onto the substrate.
US07678666B2 Crystallization method of amorphous silicon for forming large grain with single pulse laser
A layer structure comprising substrate, a metal layer, a first amorphous silicon layer, an insulating layer, and a second amorphous silicon layer, and a method of crystallizing the second amorphous silicon layer by irradiating single pulse laser to the layer structure are provided. The method provides an effect of forming large grain of amorphous silicon as good as using dual pulse laser or higher just by using single pulse laser without additional optical system. A semiconductor device employing the layer structure maximizes an electron mobility.
US07678663B2 Non-volatile semiconductor memory device and method of manufacturing the same
A method of manufacturing a non-volatile semiconductor memory device including previously forming a recess in a first peripheral region on a semiconductor substrate, forming a first gate insulator having a first thickness in the recess, forming a second gate insulator having a second thickness less than the first thickness in an array region and a second peripheral region on the semiconductor substrate, successively depositing first and second gate electrode films and first and second mask insulators on each of the first and second gate insulators, forming an isolation trench on a surface of the semiconductor substrate to correspond to each position between the array region and the first and second regions of the peripheral region, depositing a buried insulator on the entire surface, and polishing an upper surface of the buried insulator so that the upper surface can be planarized.
US07678662B2 Memory cell having stressed layers
A memory cell comprises a p-doped substrate with a pair of spaced apart n-doped regions on the substrate that form a source and drain about the channel. A stack of layers on the channel comprises, in sequence, (i) a tunnel oxide layer, (ii) a floating gate, (iii) an inter-gate dielectric, and (iv) a control gate. A polysilicon layer is on the source and drain. A cover layer covering the stack of layers comprises a spacer layer and a pre-metal-deposition layer. Optionally, contacts are used to contact each of the source, drain, and silicide layers, and each have exposed portions. A shallow isolation trench is provided about n-doped regions, the trench comprising a stressed silicon oxide layer having a tensile stress of at least about 200 MPa. The stressed layer reduces leakage of charge held in the floating gate during operation of the memory cell.
US07678660B2 Capacitor device and method of manufacturing the same
A method of manufacturing a capacitor device of the present invention, includes the steps of, forming an insulating layer on a substrate, forming a recess portion in the insulating layer by an imprinting process, forming a lower electrode by filling a metal layer in the recess portion in the insulating layer, forming a photosensitive dielectric layer on the lower electrode, forming an upper electrode on the dielectric layer, and forming a dielectric layer pattern under the upper electrode by exposing/developing the dielectric layer while using the upper electrode as a mask.
US07678657B1 System and method for manufacturing an emitter structure in a complementary bipolar CMOS transistor manufacturing process
A system and method are disclosed for manufacturing an emitter structure in a complementary bipolar complementary metal oxide semiconductor (CBiCMOS) transistor manufacturing process. A protective layer is formed over an emitter layer in a transistor structure and lateral portions of the protective layer and the emitter layer are etched to form an emitter structure. An oxide layer is then deposited over the transistor structure and an etchback process is performed to remove portions of the oxide layer from the top of the protective layer. A source/drain implant process is then performed to implant an extrinsic base region of the transistor. The protective layer protects the emitter structure from the implant process. Then the protective layer is removed from the emitter structure.
US07678652B2 MOSFET-type semiconductor device, and method of manufacturing the same
A MOSFET-type semiconductor device includes a monocrystalline semiconductor layer formed in a shape of a thin wall on a insulating film, a gate electrode straddling over the semiconductor layer around the middle portion of the wall-shaped semiconductor layer via a gate insulating film, source and drain regions formed at the both ends of the semiconductor layer, a first metal-semiconductor compound layer formed on one of the side walls of each of source and drain regions of the semiconductor layer, and a second metal-semiconductor compound layer having a different composition and Schottky barrier height from that of the first metal-semiconductor compound layer on the other side wall of each of source and drain regions of the semiconductor layer.
US07678651B2 Method for fabricating semiconductor device
A method for fabricating a semiconductor device includes: providing a substrate structure in which a plurality of gate lines are already formed; forming a capping layer over the substrate structure; oxidizing the capping layer; and forming an insulation layer over the oxidized capping layer. The capping layer may include a nitride-based material. The insulation layer may include substantially the same material as the capping layer. The oxidizing of the capping layer may comprise performing a radical oxidation process.
US07678646B2 Semiconductor device and manufacturing method of the same
To provide a semiconductor device capable of improving accuracy in finishing a hole in which a conductive plug right under a capacitor, and a manufacturing method of such a semiconductor device comprising the following steps: a step of forming first and second conductive plugs 32a, 32b in first and second holes 11a, 11b in a first insulating film 11; a step of forming a first opening 14a in an oxidation preventing insulating film 14; a step of forming an auxiliary conductive plug 36a in the first opening 14a; a step of forming a capacitor Q on the auxiliary conductive plug 36a; a step of forming third and fourth holes 41a, 41b in a second insulating film 41 covering the capacitor Q; a step of forming the second opening 14b in the oxidation preventing insulating film 14 under the fourth hole 41b; a step of forming a third conductive plug 47a in the third hole 41a; and a step of forming a fourth conductive plug 47b in the third hole 41a.
US07678644B2 Method and resulting structure for DRAM cell and peripheral transistor
A method for fabricating DRAM cells, e.g., dynamic random access memory cells. The method includes providing a semiconductor substrate, e.g., silicon wafer. The method includes forming a plurality of NMOS transistor gate structures. Each of the NMOS gate structures includes an NMOS source region and an NMOS drain region and a plurality of PMOS gate structures. Each of the PMOS gate structures includes a PMOS source region and a PMOS drain region. The NMOS gate structures are formed on P-type well regions and the PMOS gate structures are formed on N-type well regions. An interlayer dielectric layer is overlying each of the gate structures while filling a gap between two or more of the NMOS gate structures.
US07678641B2 Semiconductor device and fabrication process thereof
There is provided a semiconductor device having a device isolation region of STI structure formed on a silicon substrate so as to define a device region, wherein the device isolation region comprises a device isolation trench formed in the silicon substrate, and a device isolation insulation film filling the device isolation trench. At least a surface part of the device isolation insulation film is formed of an HF-resistant film.
US07678636B2 Selective formation of stress memorization layer
A method of forming a semiconductor structure includes providing a semiconductor substrate comprising a first region and a second region, forming a first PMOS device in the first region wherein a first gate electrode of the first PMOS device has a first p-type impurity concentration, forming a stress memorization layer over the first PMOS device, reducing the stress memorization layer in the first region, performing an annealing after the step of reducing the stress memorization layer in the first region, and removing the stress memorization layer. The same stress memorization layer is not reduced in a region having an NMOS device. The same stress memorization layer may not be reduced in a region including a second PMOS device.
US07678635B2 Method of producing a transistor
Method of producing a transistor, comprising in particular the steps of: producing a first etching mask on a gate layer, one edge of the first mask forming a pattern of the first edge of a gate of the transistor, etching the gate layer according to the first etching mask, first ion implantation in a part of the substrate not covered by the gate layer, trimming the first etching mask over a length equal to a gate length of the transistor, producing a second etching mask on the gate layer, removing the first etching mask etching the gate layer according to the second etching mask, second ion implantation in another part of the substrate.
US07678634B2 Local stress engineering for CMOS devices
A first dielectric layer is formed over a PFET gate and an NFET gate, and lithographically patterned to expose a PFET area, while covering an NFET area. Exposed PFET active area is etched and refilled with a SiGe alloy, which applies a uniaxial compressive stress to a PFET channel. A second dielectric layer is formed over the PFET gate and the NFET gate, and lithographically patterned to expose the NFET area, while covering the PFET area. Exposed NFET active area is etched and refilled with a silicon-carbon alloy, which applies a uniaxial tensile stress to an NFET channel. Dopants may be introduced into the SiGe and silicon-carbon regions by in-situ doping or by ion implantation.
US07678631B2 Formation of strain-inducing films
A method to form a strain-inducing three-component epitaxial film is described. In one embodiment, the strain-inducing epitaxial film is formed by a multiple deposition/etch step sequence, followed by an amorphizing dopant impurity-implant and, finally, a kinetically-driven crystallization process. In one embodiment, the charge-neutral lattice-substitution atoms are smaller and present in greater concentration than the charge-carrier dopant impurity atoms.
US07678628B2 Methods of fabricating nitride-based transistors with a cap layer and a recessed gate
An anneal of a gate recess prior to formation of a gate contact, such as a Schottky contact, may reduce gate leakage and/or provide a high quality gate contact in a semiconductor device, such as a transistor. The use of an encapsulation layer during the anneal may further reduce damage to the semiconductor in the gate recess of the transistor. The anneal may be provided, for example, by an anneal of ohmic contacts of the device. Thus, high quality gate and ohmic contacts may be provided with reduced degradation of the gate region that may result from providing a recessed gate structure as a result of etch damage in forming the recess.
US07678626B2 Method and system for forming a thin film device
A method of forming a thin film device on a flexible substrate is disclosed. The method includes depositing an imprintable material over the flexible substrate. The imprintable are stamped material forming a three-dimensional pattern in the imprintable material. A sacrificial layer is formed over the three-dimensional pattern. A conductive layer is deposited over the sacrificial layer. The sacrificial layer is removed, leaving portions of the conductive layer as defined by the three-dimensional pattern.
US07678622B2 Semiconductor method and device with mixed orientation substrate
In a method of forming a semiconductor device, a wafer includes a first semiconductor region of a first crystal orientation and a second semiconductor region of a second crystal orientation. Insulating material is formed over the wafer. A first portion of the insulating material is removed to expose the first semiconductor region and a second portion of the insulating material is removed to expose the second semiconductor region. Semiconductor material of the first crystal orientation is epitaxially grown over the exposed first semiconductor region and semiconductor material of the second crystal orientation is epitaxially grown over the exposed second semiconductor region.
US07678621B2 Crystallization pattern and method for crystallizing amorphous silicon using the same
Disclosed are a crystallization pattern, and a method for crystallizing amorphous silicon. The method includes the steps of forming an amorphous silicon film on a glass substrate, forming a crystallization pattern by patterning the amorphous silicon film, and crystallizing the crystallization pattern into polycrystalline silicon by irradiating a laser onto the crystallization pattern. The crystallization pattern includes a peripheral region located within a first distance from an edge of the crystallization pattern, and an internal region located away from the edge of the crystallization pattern by more than the first distance. The internal region is divided into at least one sub-region, each sub-region includes one crystallization inducement pattern, and an edge of each sub-region is located within a second distance from the crystallization inducement pattern.
US07678620B2 Antifuse one time programmable memory array and method of manufacture
A method for making a one time programmable (OTP) memory array includes providing a wafer comprising a buried insulator layer and a semiconductor layer over the buried insulator layer and forming a plurality of bit lines in the semiconductor layer. Each of the plurality of bit lines comprise a portion of the semiconductor layer and the plurality of bit lines are separated from each other by isolation regions formed in the semiconductor layer. The method further includes forming an anti-fuse dielectric layer over and in physical contact with the plurality of bit lines and the isolation regions, and forming a plurality of word lines over and in physical contact with the anti-fuse dielectric layer.
US07678619B2 Method of manufacturing a thin film transistor matrix substrate
A method of manufacturing a thin film transistor matrix substrate is provided. The first photo-mask process is used to define a gate electrode and a signal electrode. The second photo-mask process is used to obtain different thickness of a PR layer in different regions for forming a channel, gate electrode through holes, signal electrode through holes and conductive pads. The third photo-mask process is used to define a source, a drain, an upper signal electrode, a pixel electrode, gate electrode pads and signal electrode pads.
US07678617B1 Universal laminator
An improved arrangement and process for packaging integrated circuits are described. More particularly, a universal lamination tool is described that functions to secure an adhesive film to a lead frame. The lamination tool of the present invention uses compressed gas to press the lead frame against the adhesive film. In this manner, the lamination tool itself does not physically press on the lead frame thereby substantially reducing the likelihood of damage to the bonding wires or other delicate components during this stage of the encapsulation process. Moreover, such a lamination tool is not package specific making it applicable for a wide variety of package configurations and lead frame sizes.
US07678612B2 Method of manufacturing semiconductor device
A method for manufacturing a semiconductor device includes: a step of forming a via plug erected on an electrically conductive layer, and embedding the via plug in an insulation layer to form a wiring structure; and a step of bonding the wiring structure to a wiring substrate including electronic components mounted thereon.
US07678611B2 Spacer die structure and method for attaching
A semiconductor spacer structure comprises in order a backgrinding tape layer, a spacer adhesive layer, a semiconductor spacer layer, an optional second spacer adhesive layer, a dicing tape layer. In a first method a spacer wafer having first and second sides, a backgrinding tape layer and a spacer adhesive layer between the first side and the backgrinding tape layer, is obtained. The second side is background and secured to a dicing tape. The backgrinding tape is removed and the resulting structure is diced to create spacer/adhesive die structures. A second method backgrinds the second side with the backgrinding tape layer at the first side. A protective cover layer is secured to the second side with a spacer adhesive layer therebetween. The backgrinding tape layer is removed and the remaining structure is secured to a dicing tape with the protective cover layer exposed. The protective cover layer is removed and the resulting structure is diced thereby creating spacer/adhesive die structures. The thickness of the second spacer adhesive layer may be selected to accommodate an uneven support surface.
US07678609B2 Semiconductor package with redistributed pads
A method for fabricating a semiconductor package which includes coupling an electrode of a semiconductor device to a portion of a lead frame, overmolding at least a portion of the die, and then removing a portion of the die to obtain a desired thickness.
US07678606B2 Phase change memory device and fabrication method thereof
A phase change memory device is disclosed. A second conductive spacer is under a first conductive spacer. A phase change layer comprises a first portion substantially parallel to the first and second conductive spacers and a second portion on top of the second conductive spacer, wherein the second conductive spacer is electrically connected to the first conductive spacer through the second portion of the phase change layer.
US07678602B2 CMOS image sensor and method for manufacturing the same
A CMOS image sensor and a method for manufacturing the same are provided. The method includes: preparing a semiconductor substrate in which a device isolation region and an active region are defined; forming a gate pattern including a gate oxide layer and a gate electrode on the semiconductor substrate; implanting n-type impurity ions in a predetermined part of the active region of the semiconductor substrate to form a photodiode region; forming a spacer at a sidewall of the gate pattern; forming a p-type impurity region at a surface of the photodiode region; forming an epitaxial layer on the semiconductor substrate and the gate pattern except for on the device isolation region and the spacers by performing a selective epitaxial growth; and implanting n+ type ions in a transistor region of the semiconductor substrate below the epitaxial layer to form a source/drain region.
US07678599B2 Process for the fabrication of an inertial sensor with failure threshold
A process for the fabrication of an inertial sensor with failure threshold includes the step of forming, on top of a substrate of a semiconductor wafer, a sample element embedded in a sacrificial region, the sample element configured to break under a preselected strain. The process further includes forming, on top of the sacrificial region, a body connected to the sample element and etching the sacrificial region so as to free the body and the sample element. The process may also include forming, on the substrate, additional sample elements connected to the body.
US07678596B2 Method for manufacturing monolithic semiconductor laser
First and second semiconductor lasers interelement-separated from each other are formed. Total thickness of a fourth upper cladding layer and a second contact layer of the second semiconductor laser is smaller than total thickness of a second upper cladding layer and the first contact layer of the first semiconductor laser. First and second ridges are formed in the first and second semiconductor lasers by dry etching, using a resist as a mask, and the dry etching is stopped when a second etching stopper layer is exposed at the second ridge. The second upper cladding layer remaining on a first etching stopper layer at the first ridge is selectively removed by wet etching, using the resist as a mask.
US07678595B2 Method for forming a light emitting apparatus
A light emitting apparatus includes a patterned conductive layer, a light emitting component, and a first light diffusion layer, wherein the light emitting component is disposed on the patterned conductive layer and the light emitting component and the patterned conductive layer are embedded into the first light diffusion layer. The method for manufacturing the light emitting apparatus is also disclosed.
US07678590B2 Organic electroluminescence device and method for manufacturing same
An organic electroluminescence device includes a substrate; first electrodes arranged on the luminous portion of the substrate in a single direction; an insulating layer pattern formed on the first electrodes and the substrate in a lattice shape to define plural pixel openings on the first electrodes; partition layers formed on the insulating layer pattern, the partition layers intersecting the first electrodes perpendicularly; organic thin film layer formed on the pixel openings; second electrodes formed on the organic thin film layer to be perpendicular to the first electrodes; first bus electrode patterns formed on the pad portion of the substrate to be connected with the first electrodes; second bus electrode patterns formed on the pad portion of the substrate to be connected with the second electrodes and including a material for forming the second electrodes; and barrier films formed between the second bus electrode patterns.
US07678587B2 Cantilever-type probe and method of fabricating the same
Disclosed is a cantilever-type probe and methods of fabricating the same. The probe is comprised of a cantilever being longer lengthwise relative to the directions of width and height, and a tip extending from the bottom of the cantilever and formed at an end of the cantilever. A section of the tip parallel to the bottom of the cantilever is rectangular, having four sides slant to the lengthwise direction of the cantilever.
US07678586B2 Structure and method to prevent charge damage from e-beam curing process
An example embodiment is a method of curing a film over a semiconductor structure. We provide a semiconductor structure comprised of a substrate and an interconnect structure. We provide a film over the semiconductor structure. We provide an electron source, an anode grid between the electron source and the semiconductor structure. We cure the film by exposing the film to an electron beam from the electron source that passes through the anode grid. We control the electron beam by controlling the bias voltage between the anode grid and the semiconductor structure. Another embodiment is a tool for curing a film.
US07678582B2 Assays for preimplantation factor and preimplantation factor peptides
The present invention relates to assay methods used for detecting the presence of PIF, and to PIF peptides identified using this assay. In particular, the present invention relates to flow cytometry assays for detecting PIF. It is based, at least in part, on the observation that flow cytometry using fluorescently labeled anti-lymphocyte and anti-platelet antibodies demonstrated an increase in rosette formation in the presence of PIF. It is further based on the observation that flow cytometry demonstrated that monoclonal antibody binding to CD2 decreased in the presence of PIF. The present invention further relates to PIF peptides which, when added to Jurkat cell cultures, have been observed to either (i) decrease binding of anti-CD2 antibody to Jurkat cells; (ii) increase expression of CD2 in Jurkat cells; or (iii) decrease Jurkat cell viability. In additional embodiments, the present invention provides for ELISA assays which detect PIF by determining the effect of a test sample on the binding of anti-CD2 antibody to a CD2 substrate.
US07678580B2 Methods for using a diagnostic test strip for collecting and detecting an analyte in a fluid sample
A test strip for use of the determination of an analyte in a fluid sample according to one embodiment of the present invention is disclosed. The test strip comprises a base having a top and a bottom, a collection chamber that extends between the top and the bottom of the base, a containing ring that is disposed on the bottom of the base and surrounds the collection chamber, and a capillary channel formed in top of the base that has an inlet fluidly coupled to the collection chamber, a test element disposed within the capillary channel. A lid is attached to the top of the base and covers the collection chamber, the test membrane, and at least a portion of the capillary channel.
US07678576B2 Chemical analysis apparatus and chemical analysis cartridge
The invention provides a chemical analysis apparatus which easily agitates and warms up. A chemical analysis apparatus has a motor, a retaining disc which can be rotated by the motor, a plurality of inspection cartridges which are arranged on the retaining disc, a punching machine for punching the inspection cartridges, a warming apparatus and a detection apparatus. The inspection cartridge includes a container formed by a concave portion and a base plate having a flow path. A cover covering the container and the flow path is installed to the base plate. A liquid solution is moved to the container in an outer peripheral side with respect to a rotation axis from the container in an inner peripheral side with respect to the rotation axis via the flow path, by utilizing a centrifugal force generated on the basis of the rotation of the retaining disc. The inspection cartridge is provided with an agitating means for agitating the liquid solution within the container and a warming means for warming up the liquid solution within the container.
US07678573B2 Method of preparing a conditioned medium from a confluent stromal cell culture
A method of preparing a stromal cell conditioned medium useful in expanding undifferentiated hemopoietic stem cells to increase the number of the hemopoietic stem cells is provided. The method comprising: (a) establishing a stromal cell culture in a stationary phase plug-flow bioreactor under continuous flow on a substrate in the form of a sheet, the substrate including a non-woven fibrous matrix forming a physiologically acceptable three-dimensional network of fibers, thereby expanding undifferentiated hemopoietic stem cells; and (b) when a desired stromal cell density has been achieved, collecting medium from the stationary phase plug-flow bioreactor, thereby obtaining the stromal cell conditioned medium useful in expanding the undifferentiated hemopoietic stem cells.
US07678566B2 Device for chromatographic quantitative measurement
In a chromatography quantitative measuring apparatus according to the present invention, a beam applied from a light source to a chromatography test strip is formed into an elliptical shape by an optical means such as a cylindrical lens, a variation in absorbance that accompanies elution of a marker regent is detected while the elliptical beam is applied between a marker reagent hold part and a detection part, and a measurement is automatically started in a prescribed period of time since the detection of variation. According to the chromatography quantitative measuring apparatus so configured, non-uniform coloration is reduced by shaping the beam elliptically with the optical means, whereby the accuracy of quantitative analysis is enhanced, and the apparatus can be operated easily.
US07678565B2 Flow cell array and the utilization thereof for multianalyte determination
A one- or two-dimensional arrangement of flow cells is provided, as part of an array of sample compartments, with at least one inlet and outlet for each sample compartment, formed by a base plate and a body, with an arrangement of spatial recesses corresponding to the (geometrical) arrangement of the sample compartments, combined with the base plate. The arrangement allows for supplying to or removing from the sample compartments, which can be arranged at a high quantity on a small base area, even very small amounts of samples or reagents. An arrangement of one or more sample compartments includes a base plate and a body combined with the base plate in such a way that one or more recesses for generation of one or more flow cells fluidically sealed against one another, each with at least one inlet and one outlet, are formed between the base plate and the body, wherein at least one outlet of each flow cell is joined with a reservoir fluidically connected with the flow cell, the reservoir being operable to receive liquid exiting the flow cell, besides methods for its manufacturing and their use.
US07678564B2 Container with at least one electrode
The invention relates to a container 20, 30 for receiving an aqueous solution, which is formed at least partially by an outer limit 21 forming an inner chamber 22, 32 for receiving the solution, and which comprises at least one area which acts as an electrode 25, 26, 33, 34 when an electric voltage is applied and a subsequent discharge occurs, wherein at least one electrode 25, 26, 33, 34 is made of a conductive synthetic material at least based on a plastic material which is doped with at least one conductive substance. A container 20, 30 of the above-mentioned kind is created this way, which is simple and economical to produce and also, for example, enables an efficient transfection of living cells by means of electroporation or an effective electrofusion.
US07678560B2 Δ 5 desaturase and its use in making polyunsaturated fatty acids
The present invention relates to a Δ5 desaturase, which has the ability to convert dihomo-γ-linolenic acid (DGLA; 20:3 ω-6) to arachidonic acid (ARA; 20:4 ω-6) and/or eicosatetraenoic acid (ETA; 20:4 ω-3) to eicosapentaenoic acid (EPA; 20:5 ω-3). Isolated nucleic acid fragments and recombinant constructs comprising such fragments encoding Δ5 desaturase along with a method of making long chain polyunsaturated fatty acids (PUFAs) using this Δ5 desaturase in oleaginous yeast are disclosed.
US07678559B2 Microbial composition and a process useful for the neutralization of alkaline waste-waters
The invention relates to a microbial composition for the neutralization of alkaline waste waters by biological means and a method of neutralization of alkaline waste waters using a synergistic mixture of the bacterial strains of Bacillus alkalophilus and Bacillus sp.
US07678558B2 Method for overexpression of zwitterionic polysaccharides
The present invention is directed to methods for producing and selecting novel mutant strains of B. fragilis that constitutively express a particular capsular polysaccharide or only selected capsular polysaccharides; compositions directed to the novel mutant strains of B. fragilis that constitutively express a particular capsular polysaccharide or only selected capsular polysaccharides; improved methods for purification of individual capsular polysaccharides; and compositions directed to novel res02 and inv19 genes and their gene products. Significantly, the present invention provides methods and compositions for overexpressing and purifying immunomodulatory capsular polysaccharide A (PSA) in high yield.
US07678552B2 Method for selecting therapeutic agents for cancer treatment
An improved system for screening a multiple of candidate therapeutic or chemotherapeutic agents for efficacy as to a specific patient, in which a tissue sample from the patient is harvested, cultured and separately exposed to a plurality of treatments and/or therapeutic agents for the purpose of objectively identifying. One particularly important tissue sample preparation technique is the initial preparation of cohesive multicellular particulates of the tissue sample. For assays concerning cancer treatment, a two-stage evaluation is contemplated in which both acute cytotoxic and longer term inhibitory effect of a given anti-cancer agent are investigated. The tissue sample technique of the present invention is also useful in assaying expression and/or secretion of various markers, factors or antigens present on or produced by the cultured cells for diagnostic purposes and for using such expression to monitor the applicability of certain candidate therapeutic or chemotherapeutic agents and the progress of treatment with those agents.
US07678550B1 FRET protease assays for botulinum serotype A/E toxins
The present invention provides clostridial toxin substrates useful in assaying for the protease activity of any clostridial toxin, including botulinum toxins of all serotypes as well as tetanus toxins. A clostridial toxin substrate of the invention contains a donor fluorophore; an acceptor having an absorbance spectrum overlapping the emission spectrum of the donor fluorophore; and a clostridial toxin recognition sequence that includes a cleavage site, where the cleavage site intervenes between the donor fluorophore and the acceptor and where, under the appropriate conditions, resonance energy transfer is exhibited between the donor fluorophore and the acceptor.
US07678544B2 ADAM12, a novel marker for abnormal cell function
The present invention provides a method, an assay and a kit for providing an indication of abnormal cell function. It was surprisingly found that the change in the serum ADAM12 concentration in individuals was useful as a prognostic tool to predict the clinical outcome, complications and mortality following an abnormal cell function.The present inventors describes ADAM12 as a overall general marker for abnormal cell function, and the present inventor for the first time demonstrate that ADAM12 is an important indicator of fetal chromosomal disease and placenta function. Specifically ADAM12 is a good marker for e.g. Downs's syndrome, trisomy 18, preeclampsia, Turner syndrome in both first and second trimester.The present inventors developed an enzyme-linked immunosorbent assay (ELISA) and a time-resolved immunofluorometric assay for the quantification of ADAM12 in serum.The present application demonstrates in several examples the variation of the ADAM12 level in fetal abnormality and/or adverse pregnancy outcomes correlated gestational age when compared to normal controls. It is an object of the invention to provide an improvement of the existing marker tests that exhibits a decreased false positive rate.
US07678543B2 Method for amplifying genomic DNA
A method for amplifying genomic DNA is provided. The method comprises the steps of: (1) incubating a cell-containing agarose solution at a pH of 9 to 12 and a temperature of 45 to 80° C. to produce a genomic DNA-dispersed agarose solution wherein 0.002 to 1 copies/5 microliter of single-stranded genomic DNA is dispersed; (2) solidifying the genomic DNA-dispersed agarose solution to produce a genomic DNA-dispersed agarose gel and neutralizing a pH of the gel; and (3) adding a DNA polymerase with strand displacement activity, primer and dNTP to the genomic DNA-dispersed agarose gel and incubating the gel at a temperature of 0 to 60° C. to amplify the genomic DNA.
US07678537B2 Graded topcoat materials for immersion lithography
A topcoat material for immersion lithography and a method of performing immersion lithography using the topcoat material. The topcoat material includes a mixture of a first polymer and a second polymer. The first and second polymers of the topcoat material, when the topcoat material is formed into a topcoat layer between an immersion fluid and a photoresist layer, disperse non-homogenously throughout the topcoat layer.
US07678535B2 Method for fabricating semiconductor device with recess gate
A method for fabricating a semiconductor device includes forming a mask pattern over a substrate; etching a certain portion of the substrate using the mask pattern as an etch mask to form a first recess having sidewalls; forming a polymer-based layer over the sidewalls of the first recess and a top surface of the mask pattern; etching the substrate beneath the first recess using the mask pattern and the polymer-based layer as an etch mask to form a second recess wider and more rounded than the first recess, the second recess and the first recess constituting a bulb-shaped recess; and forming a gate pattern over the bulb-shaped recess.
US07678534B2 Mask for forming landing plug contact hole and plug forming method using the same
Disclosed herein are a mask for forming a landing plug contact hole to vertically expose an active region of a semiconductor substrate to a bit line or storage node contact, and a plug forming method using the same. Through the use of the crescent-shaped masks, it is possible to increase the critical dimension at a lower end of the resultant contact hole in contact with an active region of a semiconductor substrate, thereby enabling exposure of a wider area of the active region to be connected with the bit line or storage node contact.
US07678529B2 Silicon-containing film forming composition, silicon-containing film serving as etching mask, substrate processing intermediate, and substrate processing method
A multilayer resist process comprises forming in sequence an undercoat film, an intermediate film, and a photoresist film on a patternable substrate, and effecting etching in multiple stages. A silicon-containing film forming composition is useful in forming the intermediate film serving as an etching mask, comprising a silicon-containing polymer obtained through hydrolytic condensation of at least one Si—Si bond-containing silane compound having formula: R(6-m)Si2Xm wherein R is a monovalent hydrocarbon group, X is alkoxy, alkanoyloxy or halogen, and m is 3 to 6. The composition allows the overlying photoresist film to be patterned to a satisfactory profile and has a high etching selectivity relative to organic materials.
US07678528B2 Photoactive compounds
The present invention relates to novel photoacid generators.
US07678525B2 Imaging apparatus and improved toner therefor
The invention relates to a liquid toner and imaging apparatus provided with an imaging surface having a liquid toner image formed thereon on fibrous toner particles and carrier liquid. The fibrous toner particles can be composed of a polymer portion and pigment dispersed therein, where the polymer portion comprises a surface of the fibrous toner particles and is insoluble in the carrier liquid at temperatures below 40° C., so that the polymer portion will not dissolve or solvate in storage. The polymer portion also is solvatable by the carrier liquid only at temperatures above 50° C. The carrier liquid has, as a major component, first liquid hydrocarbon having a first rate of evaporation, and, as a minor component, second liquid hydrocarbon having a second rate of evaporation which, at room temperature, is at least an order of magnitude less than the first rate of evaporation.
US07678521B2 Electrophotographic toner and image forming apparatus
There are disclosed an electrophotographic toner including a compound represented by formula (1) and a compound represented by formula (2). In Formula (1), R1, R2, R3, and R4 each independently represent a hydrogen atom, an alkyl group or an aromatic group, and X− represents a molybdate anion or a tungstate anion. In Formula (2), R5+ and R6+ each independently represent a hydrogen ion, an ammonium ion, an iminium ion, or a phosphonium ion, and R7, R8, R9, R10, R11, R12, R13, and R14 each independently represent a hydrogen atom or an alkyl group.
US07678519B2 Electrophotographic photoreceptor, process cartridge, and image forming apparatus
The present invention provides an electrophotographic photoreceptor, a process cartridge and an image forming apparatus including the same, wherein the electrophotographic photoreceptor prevents the generation of excessive residual potential, which usually occurs on a photoreceptor having a protective layer composed of an inorganic material, and achieves both of high durability and favorable electrical characteristics.An electrophotographic photoreceptor composed of a conductive substrate having thereon a photosensitive layer and a surface layer formed in this order, wherein the total composition ratio of a group 13 element, oxygen, and hydrogen to the total element content in the surface layer is 0.95 or more, and the abundance ratio of the oxygen to the group 13 element is from 1.1 to 1.5.
US07678517B2 Single layered photoconductors
A photoconductor that includes a supporting substrate, and an active layer in contact with the substrate, and which active layer contains a photogenerating pigment of a titanyl phthalocyanine, at least one charge transport component, and a mixture of a metal oxide and a chelating agent, where the titanyl phthalocyanine is prepared by dissolving a Type I titanyl phthalocyanine in a solution comprising a trihaloacetic acid and an alkylene halide; adding the resulting mixture of the dissolved Type I titanyl phthalocyanine to a solution comprising an alcohol and an alkylene halide thereby precipitating a Type Y titanyl phthalocyanine; and treating the Type Y titanyl phthalocyanine with a monohalobenzene to form Type V titanyl phthalocyanine.
US07678514B2 Positive-type photosensitive resin composition, cured film, protecting film, insulating film and semiconductor device and display device using these films
A positive-type photosensitive resin composition that exhibits excellent adhesion to a substrate after a humidification treatment includes (A) an alkali-soluble resin, (B) a photosensitive diazoquinone compound, and (C-1) a silicon compound shown by the following formula (1), wherein R1 and R2 represent alkyl groups having 1 to 10 carbon atoms, R3 represents an organic group, and R4 represents an alkylene group having 1 to 10 carbon atoms, and i represents an integer from 0 to 2.
US07678504B2 Lithium secondary battery and a method for preparing the same
A lithium secondary battery of the present invention comprises a positive electrode; a negative electrode; a separator interposed between the positive and negative electrodes; and an electrolyte on the separator, wherein the electrolyte includes a non-aqueous organic solvent, a lithium salt, and a linear polymer having P═O bonds. The electrolyte improves the swelling characteristics of lithium secondary batteries. A lithium secondary battery with the electrolyte and a method for preparing the electrolyte and battery is described.
US07678503B2 Surface and bulk modified high capacity layered oxide cathodes with low irreversible capacity loss
The present invention includes compositions, surface and bulk modifications, and methods of making of (1−x)Li[Li1/3Mn2/3]O2.xLi[Mn0.5-yNi0.5-yCo2y]O2 cathode materials having an O3 crystal structure with a x value between 0 and 1 and y value between 0 and 0.5, reducing the irreversible capacity loss in the first cycle by surface modification with oxides and bulk modification with cationic and anionic substitutions, and increasing the reversible capacity to close to the theoretical value of insertion/extraction of one lithium per transition metal ion (250-300 mAh/g).
US07678500B2 Expandable battery compartment
In a battery-powered electrical device, an expandable battery compartment for accommodating batteries of varying size, and a method for altering the size of the battery compartment, is disclosed. The battery compartment includes a battery casing defining a substantially cylindrical recess for at least partially encapsulating a battery. The recess has an open end for receiving a battery and a closed end. A first electrical contact element is positioned on or adjacent the closed end of the recess for contacting a terminal of a battery. A cover is configured to be releasably mounted to the open end of the recess for selectively concealing the open end and defining the battery compartment. A second electrical contact element is provided for contacting an opposing terminal of the battery. The second electrical contact element is selectively positionable at multiple locations on the cover to accommodate batteries of varying size within the battery compartment.
US07678499B2 Short-circuit free battery receptacle
A battery receptacle includes an insulative casing, positive and negative electrodes, and an interface assembly. The positive and negative electrodes have end portions disposed on the casing. The negative electrode has an end portion disposed on the casing. The interface assembly is disposed on the casing, and includes an insulative body, anode and cathode terminals, a contact element, and an insulating protrusion. The anode terminal projects from the insulative body, and is in electrical contact with the end portion of the positive electrode. The cathode terminal is provided on a periphery of the insulative body. The contact element is in electrical contact with the cathode terminal, projects from the insulative body, and is in electrical contact with the end portion of the negative electrode. The insulating protrusion is provided on the insulative body, and abuts against the end portion of the positive electrode.
US07678496B2 Current collector of lead-acid storage battery, and lead-acid storage battery
An object of the present invention is to take fundamental measures against the phenomenon that the positive current collector of a lead-acid battery elongates due to corrosion, in consideration of a mechanism, and to inhibit corrosion elongation. A current collector is prepared by the steps of: mixing a lead oxide of pinning compounds for inhibiting recrystallization with the atomized powder of lead or a lead alloy; and then powder-rolling the mixture. A lead-acid battery is composed with the use of the current collector.
US07678493B2 Battery pack
A battery pack provided with a battery block (3), a case (2), and a circuit board (4). The battery block (3) has a plurality of batteries (1) lined up in a horizontal row with the same orientation, and lead plates (5) connect to terminals of those batteries (1) to form a parallel unit (6). A plurality of parallel units (6) are stacked in the vertical direction with a different orientation, and battery terminals are connected by lead plates (5) in the vertical direction to connect parallel units (6) in series. Lead plates (5) have projections (10) which protrude horizontally beyond the confines of the battery block (3) and connect with the circuit board (4), and the battery block (3) and circuit board (4) are connected as a unit.
US07678491B2 Stack and fuel cell system using the same
A stack for a fuel cell includes a first collecting plate having a first electric polarity, a second collecting plate having a second electric polarity different from the first electric polarity, and at least one electricity generator located between the collecting plates. The at least one electricity generator is for generating electric energy due to electrochemical reaction between hydrogen and oxygen to be collected by the collecting plates. Coupling members press the at least one electricity generator in an airtight connection between the collecting plates. A terminal member protrudes from the second collecting plate and is electrically connected to the first collecting plate and insulated from the second collecting plate, such that the terminal member is used as a first terminal having the first polarity. The second collecting plate or a second terminal member may also be used as a second terminal having the second polarity.
US07678489B2 Process for producing a fuel cell separator
The present invention provides a separator for fuel cell, which is a molded article including a resin and a conductive filler, wherein a contact angle of water on a surface of the separator for fuel cell is from 20 to 85°, and a process for producing the separator for fuel cell.
US07678487B2 Discharged fuel diluter
A discharged fuel diluter for a fuel cell includes: a dilution case which defines a dilution space; an offgas introduction pipe which penetrates the dilution case, supplying offgas discharged from the fuel cell in the case; a partitioning member having a rib provided in the dilution case; and a dilution gas pipe which penetrates the dilution case.
US07678486B2 Separator for fuel cell and fuel cell containing the separator
A separator includes an electrically conductive flat plate and two electrically conductive channel plates superposed on each other with the electrically conductive flat plate interposed therebetween. The separator includes a plurality of manifolds for passing a reaction fluid to an adjacent cell are provided in the electrically conductive flat plate, and a plurality of zigzag or straight through-channels for permitting the flowing of the reaction fluid from the manifolds are formed in each of the two channel plates. The separator includes the through-channels in any one of the two electrically conductive channel plates disposed so that they are partially overlapped with the manifolds in the electrically conductive flat plate, and the through-channels in the other electrically conductive channel plate are formed to be partially larger than the contour of the electrically conductive flat plate.
US07678484B2 Electrochemical device and methods for energy conversion
The present invention relates to an electrochemical device. The device features an anode constructed of materials such that the device can be chemically recharged. In addition, the device is capable of switching between operating as a fuel cell or as a battery. The switch can occur without cessation of electrical output. In certain aspects of the invention, the device is capable of operating at a temperature of less than 1000° C. Other aspects feature a liquid anode which allows higher output, dispersion of fuel and minimal stresses in an interface comprising the anode. Preferably the anode is a liquid at a temperature of less than 1000° C. The invention also relates to methods for energy conversion in which a continual electrical output can be produced in both the presence of fuel without anode consumption or the absence of fuel.
US07678483B2 Catalyst assembly for use in anode gas oxidizing systems of high temperature fuel cells
An oxidizer catalyst assembly for use in a fuel cell system for oxidizing anode exhaust gas and in which a first catalyst member is situated along a gas flow path and a second catalyst member is situated along the gas flow path following the first catalyst member. Each of the catalyst members includes a plurality of channels for passing the gas therethrough and an oxidizing catalyst deposited in the channels. The channel density of the channels of the second catalyst member is greater than the channel density of the channels of the first catalyst member and the channel width of the channels of the second catalyst member is less than the channel width of the channels of the first catalyst member.
US07678481B2 Fuel cell system with a fuel tank configured to store a fuel at a pressure higher than atmospheric pressure
A fuel cell system includes a fuel tank configured to store a fuel at a pressure higher than atmospheric pressure; a vaporizer configured to vaporize the fuel; a reformer configured to reform the vaporized fuel into a hydrogen rich gas; a CO gas removal apparatus configured to remove CO gas in the hydrogen rich gas; and a cell unit configured to generate electricity by allowing the hydrogen rich gas to react to oxygen.
US07678477B2 Method of operating a fuel cell stack
A method of operating a fuel cell stack including disconnecting the primary electrical device and purging gas in the cathode reactant gas flow field by flowing air into the cathode reactant gas flow field. Thereafter, the gas in the cathode reactant flow field is purged again by flowing hydrogen into the cathode reactant gas flow field. Gas in the anode reactant gas flow field is purged by flowing air into the anode reactant gas flow field. Thereafter, the anode reactant gas flow field is filled with hydrogen and both the anode and the cathode are stored with hydrogen.
US07678462B2 Spin-on-glass anti-reflective coatings for photolithography
Anti-reflective coating materials for deep ultraviolet photolithography include one or more organic light-absorbing compounds incorporated into spin-on-glass materials. Suitable absorbing compounds are strongly absorbing over wavelength ranges around wavelengths such as 365 nm, 248 nm, and 193 nm that may be used in photolithography. A method of making absorbing spin-on-glass materials includes combining one or more organic absorbing compounds with alkoxysilane or halosilane reactants during synthesis of the spin-on-glass materials.
US07678457B2 Dielectric and display device having a dielectric and dielectric manufacturing method
This invention provides a thick-film type dielectric with desired adhesivity to the base and very good insulation properties. The dielectric of the present invention includes a lower dielectric layer made of a photosensitive composition and an upper dielectric layer which is made of a photosensitive composition and formed on the aforementioned lower dielectric layer. The softening point (T1) of the primary glass powder used for the aforementioned lower dielectric layer, the softening point (T2) of the primary glass powder used for the aforementioned upper dielectric layer, and the firing temperature (T3) of the aforementioned primary glass powder satisfy the following relationship: T1
US07678448B2 Gas barrier film and gas barrier laminate
The gas barrier film of the present invention is a gas barrier film comprising a base material, and an inorganic thin film composed of a silicon oxide film formed on one or both surfaces of the base material, wherein radical density of the Pb center of the silicon oxide film observed by an electron spin resonance method (ESR method) is from 1×1016 to 1×1019 spins/cm3, or a gas barrier film comprising a base material, an inorganic thin film containing silicon oxide and the other metal component formed on one or both surfaces of the base material, wherein radical density of the Pb center of the silicon oxide in the inorganic thin film observed by an ESR method is from 13×1014 to 3×1017 spins/mol, and a laminate wherein at least one paper and/or plastic film is laminated on the gas barrier film.
US07678447B2 Protective cover for display panel and its use
A protective cover for a display panel, comprising a transparent substrate, and an anti-reflection, transparent medium layer comprising hollow, transparent, fine particles dispersed in a transparent resin matrix and sealed without gap between the a transparent substrate and an image-displaying area of the display panel, the refractive index N1 of the anti-reflection, transparent medium layer and the refractive index Ns of the transparent substrate meeting the following relation (1): No
US07678446B2 Transparent conductor and transparent conductive material
The transparent conductor of the present invention comprises a conductive layer containing a conductive particle, a binder, a polymerization initiator, and a radical scavenger. In the transparent conductor of the present invention, the conductive layer contains the radical scavenger in addition to the conductive particle. Therefore, even when the conductive particle is pumped upon irradiation with UV rays, so that a radical occurs in the conductive layer, the radical scavenger contained in the conductive layer captures the radical. This restrains the radical from acting on the remaining polymerization initiator and thereby causing a side reaction. As a result, adjacent conductive particles are restrained from fluctuating the distance therebetween.
US07678444B2 Thermoformed article made from renewable polymer and heat-resistant polymer
The present invention provides articles comprising a thermoformable composite comprising: a core comprising a renewable polymer having: (a) a Ts value of up to about 90° C.; and (b) a heat distortion index of up to about 90° C.; and a heat-resistant outer layer substantially surrounding the core and comprising a heat-resistant polymer having: (a) a Ts of greater than about 60° C.; and (b) a heat distortion index of greater than about 50° C.; wherein the renewable polymer comprises at least about 60% by weight of the composite, and wherein the heat-resistant polymer has a Ts value and heat distortion index greater than that of the renewable polymer. The present invention also provides methods for coextruding the heat-resistant polymer outer layer and renewable polymer core to provide a thermoformable composite.
US07678441B2 Interlayers for laminated safety glass with superior de-airing and laminating properties and process for making the same
This invention relates to thermoplastic interlayer sheets or films for laminated safety glass with superior vacuum de-airing at elevated temperatures and superior tacking and edge sealing properties. The sheeting has an embossed surface pattern on at least one of the surfaces, which provides relatively uninterrupted channels for de-airing in at least two non-parallel directions, wherein the channels are spaced about 0.1 to about 1 mm apart and have a depth of less than about 25 μm and a width of about 30 to about 300 μm.
US07678438B2 Optical media
One embodiment of an optical medium includes a substrate, a data recording layer positioned on the substrate, a selectively transmissive layer positioned on the data recording layer, and a label recording layer positioned on the selectively transmissive layer, the label recording layer optically accessible at only certain wavelengths through the data recording layer and through the selectively transmissive layer.
US07678433B2 Sealant for liquid crystal and liquid-crystal display cell made with the same
An objective of this application is to provide a sealant for liquid crystals witch is extremely less apt to contaminate liquid crystals and has high adhesion strength. This application discloses a sealant for liquid crystals comprising as essential ingredients (a) a radiation-curable resin represented by the general formula (1): wherein R1 represents a hydrogen atom or a methyl group, R2 represents a hydrogen atom, a halogen atom, a hydroxyl group, a linear, branch or cyclic monovalent alkyl group having 1 to 10 carbon atoms, or an alkoxy group having 1 to 10 carbon atoms, m represents an integer of 1 to 4, and may be the same or different, R3 represents a hydrogen atom or a methyl group, and the number n of the repeating units is a positive number in the range of 0 to 20; (b) a photopolymerization initiator; and (c) an inorganic filler having an average particle diameter of 3 μm or less.
US07678432B2 Liquid-crystalline medium
The invention relates to a liquid-crystalline medium, characterized in that it comprises one or more compounds of the formula I in which R1, R2 and X1-12 have the meanings indicated in Claim 1.
US07678425B2 Process for finishing a wooden board and wooden board produced by the process
A process for finishing a wood or wooden board, in particular an MDF or HDF board, with an upper side and an underside. The process includes applying a sealing layer of melamine resin to the upper side of the board and printing a decoration onto the sealing layer. A protective layer is applied of melamine resin to the decoration and the board is pressed under the action of temperature until the protective layer and the sealing layer melt and bond to each other with the inclusion of the decoration printed on.
US07678424B2 Forming carbon nanotube emitter
A method of forming a carbon nanotube emitter includes: forming a carbon nanotube composite on a substrate with a predetermined shape, coating surface treating material in a liquid phase on the carbon nanotube composite and drying the surface treating material, and peeling the dried surface treating material off of the carbon nanotube composite.
US07678415B2 Method of coating fine particles with lipid film
An object of the present invention is to provide a safe, convenient and efficient method for coating fine particles with lipid membrane.There is provided a method for coating fine particles with lipid membrane, characterized in that, the rate of a polar organic solvent in an aqueous solution containing the polar organic solvent where the fine particles are dispersed and lipid is dissolved, is decreased.
US07678412B2 Sealant drawing method, sealant drawing apparatus, and method and apparatus for manufacturing liquid crystal device
A sealant drawing method is provided in which patterns for sealing an electro-optic material over a plurality of panel formation regions on a substrate are drawn by discharging sealant on the substrate from a nozzle of a coating unit, and which includes forming continuously first patterns on the plurality of panel formation regions in a batch manner, so as to form parts of the individual patterns in the plurality of panel formation regions; and forming continuously second patterns on the plurality of panel formation regions in a batch manner, so as to form the remainders of the individual patterns in the plurality of panel formation regions.
US07678411B2 Method of forming wiring pattern and method of forming gate electrode for TFT
The invention provides a method of forming a wiring pattern in which a conductive material layer is formed in a pattern formation region having a first region, which is bordered by a bank pattern and has a first width, and a second region, which touches the first region and has a second width smaller than the first width, on a substrate, by discharging a droplet of a conductive material in a liquid phase using a droplet discharge device. The method includes forming the conductive material layer to cover the first region and the second region, by discharging the droplet having a diameter smaller than the first width and greater than the second width toward the first region. In this case, the droplet is discharged such that the droplet lands at a position that faces a boundary line between the first region and the second region.
US07678409B2 Deposition of layers on substrates
A process for filling or lining the pores of a porous silicon, silica or alumina substrate with a material which exhibits voltage-dependent index of refraction n is provided comprising providing precursors for the deposited material as a precursor solution, forming a fine mist of droplets of precursor solution and applying the droplets to the porous substrate. The invention provides for the first time porous silicon, silica and alumina substrates having a fill fraction of at least 60%. Fill fractions of close to l00% can be achieved. When provided with top and bottom electrodes, filled porous silicon, silica and alumina wafers can be used as voltage-dependent photonic devices. The same process can be used for lining trenches in the surface of a silicon substrate, for instance for use in production of microelectronic devices such as random access memories.
US07678404B2 Ubiquinone-enriched foods
To provide a ubiquinone supplementation food which is obtained by adding a composition containing ubiquinone and an oil/fat; an edible oil/fat composition which contains ubiquinone; a process for producing a ubiquinone supplementation food which comprises dissolving ubiquinone in an oil/fat under heating, and adding the obtained mixture to a food material; a method for preventing precipitation and/or localization of ubiquinone in a food which comprises producing a food by dissolving ubiquinone in an oil/fat under heating and adding the obtained mixture to a food material; and a method for supplying ubiquinone which comprises ingesting said foods.
US07678402B1 Animal chew
A novel dog chew is disclosed made of raw hide which has a large plurality of closely spaced perforations cut there through during manufacture of the dog chew. Typically the perforations are created in first rows that are generally parallel to each other and in second rows that are also generally parallel to each other, and the first rows intersect the second rows to create a cross hatching pattern. The intersecting sets of perforations created by the cross hatch pattern define a large number of small sections on the rawhide. The small sections of the dog chew individually break off when chewed by a dog instead of large pieces that may choke the dog.
US07678395B2 Stimulation of hair growth by isoginkgetin
Flavanoid components of the Ginkgo biloba tree are useful to stimulate the growth of hair and are thus useful in treatment of alopecia or baldness.
US07678390B2 Carbon monoxide as a biomarker and therapeutic agent
The present invention relates to the use of carbon monoxide (CO) as a biomarker and therapeutic agent of heart, lung, liver, spleen, brain, skin and kidney diseases and other conditions and disease states including, for example, asthma, emphysema, bronchitis, adult respiratory distress syndrome, sepsis, cystic fibrosis, pneumonia, interstitial lung diseases, idiopathic pulmonary diseases, other lung diseases including primary pulmonary hypertension, secondary pulmonary hypertension, cancers, including lung, larynx and throat cancer, arthritis, wound healing, Parkinson's disease, Alzheimer's disease, peripheral vascular disease and pulmonary vascular thrombotic diseases such as pulmonary embolism. CO may be used to provide anti-inflammatory relief in patients suffering from oxidative stress and other conditions especially including sepsis and septic shock. In addition, carbon monoxide may be used as a biomarker or therapeutic agent for reducing respiratory distress in lung transplant patients and to reduce or inhibit oxidative stress and inflammation in transplant patients.
US07678389B1 Treatment of optic and otic inflammation
There is provided a method of treating irritations and inflammation of the eye or ear by the topical administration of an aqueous composition containing magnesium, potassium and sodium halides. The eye treating compositions have an osmolarity between 140 and 180 mOsm/l and are hypotonic.
US07678385B2 Irradiated implantable bone material
A method for making an implantable bone material. The method includes providing a bone composition consisting essentially of demineralized human bone, and irradiating the composition at a temperature less than about 0° C.
US07678380B2 Cosmetic treatment method for fighting against skin ageing effects
The present invention relates to a method of cosmetic treatment for combating the effects of skin ageing and to novel cosmetic compositions which are particularly suitable for carrying it out. According to the invention, at least one agent for promoting the adhesion of the keratinocytes of the epidermal basal layer to the dermo-epidermal junction, especially to the collagen IV of said junction, such as, in particular, a divalent metal salt or complex, preferably magnesium aspartate or magnesium chloride, is used, optionally in association with a stimulant of collagen IV synthesis and/or a stimulant of collagen VII synthesis.
US07678378B2 Compounds for intracellular delivery of therapeutic moieties to nerve cells
A compound for delivering a non-cytotoxic therapeutic moiety into nerve cells, the compound having the general formula: B-L-TM where: B is a binding agent capable of selectively binding to a nerve cell surface receptor and mediating absorption of the compound by the nerve cell; TM is a therapeutic moiety which has a non-cytotoxic therapeutic effect when absorbed by a nerve cell; and L is a linker coupling B to TM.
US07678374B2 Viral vectors expressing fusion of viral large envelope protein and protein of interest
The present invention provides a virus-like particle (VLP) comprising i) a polypeptide comprising a polypeptide of interest (POI) and at least a particle-associating portion of a large envelope (L) polypeptide of an avian hepadnavirus or a functional derivative or homolog thereof, and ii) a small envelope (S) polypeptide of an avian hepadnavirus or a functional derivative or homolog thereof. By introducing one or more POIs into the L polypeptide, the POI is translocated along with L into a particle structure made up primarily of S polypeptide. The present invention furthermore provides methods for producing a recombinant virus-like particle.
US07678372B2 Use of inhibitors for the treatment of RTK-hyperfunction-induced disorders, particularly cancer
The invention provides a method for the therapeutic treatment of a cancer in a mammal wherein (i) the mammal comprises a mutated fibroblast growth factor receptor-4 (FGFR-4) protein, and (ii) the mutated FGFR-4 comprises at least one point mutation in the transmembrane domain of FGFR-4 that substitutes a hydrophilic amino acid for a hydrophobic amino acid. The method comprises administering to the mammal an effective amount of at least one inhibitor of the mutated FGFR-4.
US07678367B2 Method for reducing odor using metal-modified particles
A method for reducing odor is provided. In one embodiment, the method comprises forming a coordination complex between particles having a positive zeta potential and a transition metal. The method further comprises contacting the coordination complex with an odorous compound, the transition metal providing one or more active sites for capturing the odorous compound. For example, in one embodiment, the particles are formed from alumina-coated silica. In addition, the coordination complex may be formed using a bifunctional chelating agent.
US07678365B2 Disinfecting oral rinse compositions and process for using the same
This invention relates generally to compositions and methods useful for oral hygiene rinses, and more specifically to oral rinses in which the antimicrobial activity of chlorous acid is supplemented by that of lactic acid as one of a combination of antimicrobial acids, preferably acids which serve to partially convert chlorite ion to chlorous acid.
US07678357B2 Electrical connection structure, production method thereof, and electric wiring method
An electrical connection structure that is able to electrically connect wiring to a biopolymer, a production method of the electrical connection structure, and an electric wiring method which is able to perform wiring on a nanometer-scale. A first aspect of the production method of the present invention uses a carbon nanotube as an electrode, and makes the carbon nanotube contact the biopolymer. A second aspect of the production method applies electric current between the electrode and the biopolymer of the first aspect. The electrical connection structure of the present invention comprises at least the electrode formed by the carbon nanotube and the biopolymer, wherein the electrode is in contact with the biopolymer. In the electric wiring method of the present invention, the electrode formed by the carbon nanotube contacts the biopolymer to complete an electrical connection.
US07678353B2 Process for scrubbing alkyl halides from gases
Alkyl halides can be effectively scrubbed from gas streams containing them by passing the gas streams through dispersers having a very small pore size into an aqueous scrubbing liquid containing a nucleophile such as thiosulfate. Optionally, the addition of a water-soluble organic compound to the scrubbing liquid may increase the rate of destruction of the alkyl halide. Alkyl halides such as methyl bromide can be effectively scrubbed from enclosed spaces containing the halide, for example in enclosures used for fumigation.
US07678351B2 High temperature CO2 capture using engineered eggshells: a route to carbon management
Applying an acid treatment to eggshells provides a sorbent with unexpectedly high CO2 capture capacity and ability to regenerate.
US07678348B2 SCR catalytic converter without NH3 or urea injection
A Selective Catalytic Reduction (SCR) catalytic converter that does not require the injection of a reducing agent, and, particularly, to an open-flow type or wall-flow type SCR catalytic converter that does not require the injection of a reducing agent, which includes a front portion, in which first supports supporting modified catalyst components are applied on the inner surfaces of porous partition walls, a middle portion, in which second supports supporting ammonia synthesis catalyst components are applied on the inner surfaces of porous partition walls, and a rear portion, in which third supports supporting SCR reducing catalyst components are applied on the inner surfaces of porous partition walls. The catalytic converter can improve a reduction rate of NOx without requiring the injection of an ammonia reducing agent from the outside.
US07678347B2 High phosphorous poisoning resistant catalysts for treating automobile exhaust
The present invention discloses novel catalysts for treating automobile exhaust that have increased resistance to poisoning from oil- and/or fuel-derived additives. In the catalysts of this invention, the catalytic materials are not coated onto a front-end poison capture zone of the support that carries the catalytic layers. Since poisonous elements tend to deposit on the upstream end of the catalyst, such poisons do not inactivate any of the catalytic materials.
US07678342B1 Riser reactor for fluidized catalytic conversion
A riser reactor for fluidized catalytic conversion process consists of a prelift zone, a first reaction zone, a second reaction zone with enlarged diameter, an outlet zone with reduced diameter along coaxial direction form bottom to top, and the end of the outlet zone connects to a horizontal tube. The reactor is used for adjusting different operating conditions to process single or plural feedstock in each different reaction zone for producing the desired product.
US07678340B2 Esterification and transesterification systems, methods and apparatus
Esterification and transesterification methods, systems and apparatus are disclosed which increase the efficiency of esterification reactions. The methods comprising utilizing an annular gap reactor comprises a rotor rotating within a stator to provide an annular flow passage comprising a flow path containing a high-shear treatment zone in which the passage spacing is smaller than in the remainder of the zone to provide a subsidiary higher-shear treatment zone. In exemplary embodiments, the reactor is modified to include an evaporator portion including an opening in the stator near the end of the reactor and a series of fins placed in the opening. Increase in the rates due to the annular gap reactor allow for the use of less catalyst, poorer catalysts, lower temperature and reduction in unwanted side reactions at more economically favorable conditions.
US07678336B2 Channel apparatus for focusing a fluid flow
Disclosed is a focusing channel device which focuses fluid containing micro particles so that the micro particles flow in a line. The channel device comprises a nozzle formed by left and right walls each of which comprises an inclination surface. The cross sectional area in vertical direction decreases from the entrance of the nozzle toward the exit of the nozzle. The shape of cross sectional view in horizontal direction is asymmetric for the central line in the length direction. Using the focus channel device of the invention, the micro particles in the fluid are not combined with each other and passed through the channel one by one. Thus, blockage of the channel or combination and movement of two particles together does not occur.
US07678335B2 Device for simultaneous multiple and high parallel synthesis
This invention relates to an apparatus for simultaneously carrying out multiple and highly parallel synthesis of compounds based on the solid-phase synthesis technique, in particular, peptide synthesis.Time-consuming and costly multiple rinsing processes required in solid-phase synthesis after distributing and replacing the reagents can be avoided by proposing an improved apparatus for fully automatic synthesis and subsequent separation of the synthesized compounds that involves separate synthesizer pins 11 for each synthetic unit with a reagent reservoir 20; 21 and individual dosing capability. These synthesizer pins 11 are provided in a separate holder 4 from where they are picked up by the gripper arm 2 of the automatic synthesizer 1. The openings of the reaction chambers 9 of the synthesizer plates 5 are closed (covered) by a permeable material 25 for contamination-free placement of the synthesizer pins 11 and adding reagent doses to the solid or liquid phases. In addition, a sample plate 27 that collects the samples dissolved after separation is provided underneath the valve block 6 (see FIG. 1).
US07678334B2 Sample processing devices
Methods and devices for thermal processing of multiple samples at the same time are disclosed. The sample processing devices provide process arrays that include conduits useful in distributing sample materials to a group of process chambers located in fluid communication with the main conduits. The sample processing devices may include one or more of the following features in various combinations: deformable seals, process chambers connected to the main conduit by feeder conduits exiting the main conduit at offset locations, U-shaped loading chambers, and a combination of melt bonded and adhesively bonded areas.
US07678333B2 Fluid transfer assembly for pharmaceutical delivery system and method for using same
The present invention provides a transfer assembly for transferring a fluid between a vessel and a vial and a method for using same. The vial may be a maximum recovery vial. The vessel has a body with an open end and a slidable piston positioned within the body through the open end. The maximum recovery vial has an inner chamber with an open end and a closed end and a penetrable seal covering the open end of the inner chamber. The transfer assembly includes a housing having first and second open ends and a bore extending between the first and second open ends. The housing is connectable to the piston. The transfer assembly also includes a conduit having first and second ends and first and second apertures adjacent to the first and second ends, respectively. The conduit is longitudinally slidable within the bore between a retracted position in which the first aperture is positioned within at least one of the housing and the piston when the housing is connected to the piston, and an activated position in which the first aperture protrudes through the piston into the body of the vessel when the housing is connected to the piston. The transfer assembly also includes a vial socket assembly having a vial socket and a hollow piercing member. The vial socket is sized and shaped for receiving and engaging at least a portion of the maximum recovery vial including the penetrable seal. The hollow piercing member has a first open end in fluid communication with the conduit and a second open end for piercing the penetrable seal of the maximum recovery vial. The hollow piercing member is sized to extend substantially the full length of the inner chamber of the maximum recovery vial when the maximum recovery vial is fully engaged in the vial socket. The vial socket assembly is moveable longitudinally relative to the housing in concert with the conduit.
US07678327B2 Cemented carbide tools for mining and construction applications and method of making same
A cemented carbide cutting tool insert/button for mining and construction comprising hard constituents in a binder phase of Co and/or Ni and at least one surface portion and an interior portion in which surface portion the grain size is smaller than in the interior portion is disclosed. The surface portion with the smaller grain size has a lower binder phase content than the interior portion. A method to form the cemented carbide cutting tool insert/button is also disclosed.
US07678325B2 Use of a metal and Sn as a solvent material for the bulk crystallization and sintering of diamond to produce biocompatbile biomedical devices
A combination of a metal and Sn may be used as a solvent material for bulk crystallization and sintering of single crystal diamond to form a biocompatible and corrosion-resistant biomedical device.
US07678324B2 Method for forming a hollow plastic product
A method for manufacturing a hollow plastic product. The method includes the steps of forming a reinforce member in a desired shape having a defined welding surface formed with a first hole by injection molding; forming a hollow main body by blow molding using said reinforce member as an insert, thereby having said reinforce member integrally formed with said hollow main body and having a portion of said hollow main body extended into said first hole in the shape of a mesa when blow-molded; thermally welding a top end surface of a connector pipe to said welding surface and also to a top surface of said mesa shaped portion; and forming a second hole in said top surface of said mesa shaped portion to be aligned with said second hole, thereby forming a fluidic communication passage between an interior of said hollow main body and an interior of said connector pipe.
US07678321B2 Clear and resilient artificial fingernail tip
A method for making clear and resilient artificial fingernail tips which can be applied to the forward edge of the natural fingernail using a mixture of copolymer pellets which form a plastic phase and terpolymer pellets which form a rubber phase; drying the pellets, melting the pellets, injecting the molten material into a mold to form the desired artificial fingernail tips.
US07678320B2 Anti-drool mechanism for a sprue bushing
A shut-off valve for preventing drool from an injection molding apparatus is provided in a melt channel of a sprue bushing. The shut-off valve includes a fixed member located in the melt channel and a reciprocating member coupled to the fixed member. The reciprocating member is biased toward an extended position in which an inlet of the sprue bushing is blocked thereby. The reciprocating member is movable from the extended position towards a retracted position in which the inlet of the sprue bushing is clear by the force of a melt stream entering the melt channel of the sprue bushing.
US07678316B2 Coextruded profiled webs
A method that includes coextruding two or more thermoplastic resin streams through a profiled die plate, which die plate is shaped to form a nonplanar film (three dimensional) preferably with a regularly oscillating peak and valley structure that oscillates from a top surface to a bottom surface forming longitudinally extending ridges on both faces of the film. The film is formed by coextruding the film through the oscillating die plate opening causing partitioning of the resins in different zones in the width direction of the film. Flattening of the film results in a film with side-by-side zones formed of different polymers or relative proportions of polymers.
US07678311B2 Lubricating coating and application process for elastomeric electrical cable accessories
This invention relates to a method for coating an elastomeric component with a coating that has a low coefficient of friction and the articles formed by the method. The method includes preparing an elastomeric coating; applying the coating to a substrate; contacting the coated substrate with an elastomeric compound; and heating the elastomeric compound and the coated substrate under pressure to bond the coating to the elastomeric compound and form a coated elastomeric component.
US07678309B2 System and method for the preservative treatment of engineered wood products
Systems and methods of making a wood enhancement agent treated engineered wood product. The wood enhancement agent typically includes at least one of a preservative, a water repel but, a fungicide, an insecticide, a stabilizing agent, wax, an ultra-violet light inhibitor, and combinations thereof, and may also include an antioxidant. The wood enhancement agent is incorporated into the engineered wood product during a billet making process or after forming the billet. The wood enhancement agent may be applied to a warm billet after steam press, or to a reheated billet, by dipping, spraying, or flood coating the billet, or by diffusion, vacuum impregnation, pressure, or a combination thereof.
US07678306B2 Vibration apparatus and methods of vibration
The present invention relates to apparatus and methods of vibration. In particular, the present invention relates to apparatus and methods of vibration for tooling in a structure, such as, for example, a fuselage. In one implementation, the tooling comprises at least two conductors that create a force to vibrate the media in the tooling, which improves the compaction of the media in the tooling and the extraction of the media from the tooling. Other implementations may be used for compaction and/or extraction of the media in the tooling.
US07678304B2 Apparatus for blow moulding of plastic objects
An apparatus for blow moulding of bottles in plastic material comprising a rotating turntable provided with moulds (4) on its outer circumference. Each mould (4) has three moulding forms (5, 6, 7) that can be controlled separately during the parison blowing operations so as not to blow those forms that may not have been supplied with parisons (12).
US07678303B2 Measurement method for injection molding machines
A measurement method including a main measurement process Sa in which measurement is performed by rotating a screw in the forward direction with a back-flow prevention valve installed on its tip and a post-measurement process Sb which is performed by rotating the screw in a reverse direction after the main measurement process Sa is finished, the post-measurement process Sb being performed after completing the main measurement process Sa, in which the screw is moved forward in a free rotational state, the rotational state of the screw during the forward movement being monitored, and if the rotation of screw comes to a halt, the screw is rotated in a reverse direction by a specified amount of rotation.
US07678301B2 Vesicles of high molecular weight silicone polyethers
Vesicle compositions from high molecular weight silicone polyether copolymers, methods for preparing the vesicle compositions, and personal, household, and healthcare formulations containing the vesicle compositions are disclosed.
US07678299B2 Stock solution for production of nonlinear-optical materials, nonlinear-optical material, and nonlinear-optical device
The present invention provides stock solutions for production of nonlinear-optical materials using a wet coating method. In particular, the invention provides a stock solution containing a nonlinear-optically active organic compound, which is a push-pull π-conjugated compound having a particular chemical structure, having one or more cross-linkable functional groups. In addition, the invention provides a stock solution comprising a nonlinear-optically active organic compound having at least a certain chemical structure and a matrix-forming compound having one or more cross-linkable functional groups. Further, the invention provides a nonlinear-optical material and a nonlinear-optical device, both prepared by using the stock solutions.
US07678298B2 Tantalum carbide nitride materials by vapor deposition processes
Embodiments of the invention generally provide compositions of tantalum carbide nitride materials. In one embodiment, a composition of a tantalum carbide nitride material is provided which includes the chemical formula of TaCxNy, wherein x is within a range from about 0.20 to about 0.50 and y is within a range from about 0.20 to about 0.55, an interstitial/elemental carbon atomic ratio of about 2 or greater, and a crystalline structure. In some examples, the composition provides that x is within a range from about 0.25 to about 0.40, preferably, from about 0.30 to about 0.40, and y is within a range from about 0.30 to about 0.50, preferably, from about 0.35 to about 0.50. The interstitial/elemental carbon atomic ratio may be about 3, about 4, or greater. The composition further may have a sheet resistance within a range from about 1×104 Ω/sq to about 1×106 Ω/sq.
US07678297B2 Transparent conductive material and transparent conductor
The present invention provides a transparent conductive material with little change in electric resistance under influence of temperature or humidity and a transparent conductive membrane using the same. The transparent conductive material of the present invention includes a resin, a transparent conductive particle, a silica material containing at least either of a silica particle or a precursor of silica particle, and a silane coupling agent.
US07678294B2 Illuminator with fluorescent substance
An illuminator includes a light emitting light source and a fluorescent substance. The fluorescent substance includes a crystal of nitride or oxy-nitride having a β-type Si3N4 crystal structure having Eu+2 solid-dissolved into it and emitting a fluorescent light having a peak within a range of 500 nm to 600 nm in wavelength by being irradiated with an exciting light.
US07678291B2 Snow-melting/antifreezing agents
A snow-melting/antifreezing agent which comprises potassium silicate as an active ingredient and a snow-melting/antifreezing agent which comprises potassium silicate and a nitrite salt as active ingredients are disclosed. These snow-melting/antifreezing agents may optionally further contain an alkali metal salt and/or a lower alcohol. These snow-melting/antifreezing agents are safe for the environment and do not cause concrete to deteriorate. They not only have excellent capability to melt snow and ice and to prevent freezing, but also have high profitability and are easy to handle.
US07678289B2 Metrology structure and methods
A method of indicating the progress of a sacrificial material removal process, the method, comprising; freeing a portion of a member, the member being disposed in a cage and laterally surrounded by the sacrificial material; and preventing the freed portion of the member from floating away by retaining the freed member.
US07678288B2 Method and structure for manufacturing bonded substrates using multiple photolithography tools
A method of manufacturing bonded substrate structures. The method includes providing a first substrate comprising a first surface region and processing the first surface region to form a first pattern region using a first photolithographic stepper characterized by a first tolerance criteria for alignment. The method also includes providing a second substrate comprising a second surface region and processing the second surface region through at least one masking process to form a second pattern region using a second photolithographic stepper characterized by a second tolerance criteria for alignment. Further, the method includes determining a masking process having a third tolerance criteria for alignment, the third tolerance criteria allowing for a change from the second to the first photographic stepper, processing the second substrate for at least the determined masking process using the first photolithographic stepper, and joining the first substrate to the second substrate to form a composite substrate structure.
US07678287B2 Information storage medium and method for producing a storage medium of this type
An information storage medium and method of manufacturing such a medium, particularly applicable to computer hard disks. An information storage medium includes an approximately plane front face and a back face, the medium being read and/or written by a device placed facing the front face. The back face includes recessed areas and all or part of sidewalls and/or the bottom of the recessed areas is covered with a magnetic deposit, the distance separating the front face from the deposit being such that the device can read and/or write information in the deposit.
US07678284B2 Tertiary filter
A filter cloth of long pile fiber bundles is used as a tertiary filter in a wastewater processing method. The filter may be backwashed by a rotating suction head which does not touch the filter cloth. A combination of countercurrent and horizontal flow dislodges entrained solids from the filter cloth. Mounting of the filter media as modular components permits increased capacity within a single tank while avoiding down time in changeover of filter media.
US07678279B2 Metal compound removal
The present invention relates to a process for separating at least one metal compound and/or a component thereof from a mixture, said process comprising contacting the said mixture with a heteropoly acid or heteropoly acid anion, thereby producing a precipitate comprising the heteropoly acid or heteropoly acid anion and the metal compound and/or a component thereof. The present invention further relates to a process for the purification of a mixture containing at least one metal compound, said process comprising contacting the said mixture with a heteropoly acid or heteropoly acid anion, thereby producing a precipitate that is substantially insoluble in the said reaction mixture and recovering the reaction mixture.
US07678278B2 Method of treating water with an inorganic powder reagent
A process for treating water is provided where the water is mixed with an inorganic reagent powder such as powder activated carbon. Organics and micropollutants are absorbed by the reagent powder. After the reagent powder has been mixed with the water, the water is directed to a setting tank and sludge from the water settles to produce a clarified effluent. Forming a part of the sludge is the reagent powder previously added. Sludge is collected and directed to a separator that separates the reagent powder from the sludge. Separated reagent powder is recycled and mixed with the water being treated.
US07678277B2 Materials for processing non-aqueous mixtures and methods for their preparation
The invention provides porous matrices that comprise one or more anionic surfactants that can be used in non-aqueous environments.
US07678274B2 Wastewater treatment system
Disclosed is a system for treating wastewater. The system includes a microorganism clad structure positioned in a body of wastewater such that the microorganism clad structure is at least partially submerged in the body of wastewater. The apparatus also includes an aeration device, such as a propeller-type, surface mounted aeration device, supplying a horizontal flow of oxygenated water to the microorganisms attached to the microorganism clad structure such that the microorganisms may carry out a biological process.
US07678268B2 Wastewater treatment system
The invention is directed to a method and apparatus for treating wastewater. The wastewater treatment system includes a bioreactor including activated carbon and a first biological population. The wastewater treatment system may also include a membrane bioreactor and/or a wet oxidation unit.
US07678265B2 Arrangement for separating environmentally harmful substances from a polluted mass
The present invention relates to a process and an apparatus for separating environmentally hazardous substances, such as heavy metals and oil, from a contaminated mass, such as sewage sludge or soil from industrial sites. According to the process, an aqueous metal hydroxide solution comprising Na, K or Ca is added to the contaminated material in form of high-pressure jets, in order to disintegrate the material and provide a homogeneous mixture. Thereafter, liquid containing the hazardous substances is separated from the washed material and subsequently filtrated. The essentially pure water resulting from the filtration step may be used for producing new metal hydroxide solution to be used in the process.
US07678264B2 Systems, methods, and catalysts for producing a crude product
Methods and systems for contacting of a crude feed with one or more catalysts produces a total product that includes a crude product are described. At least one of the catalysts is an uncalcined catalyst. The crude product is a liquid mixture at 25° C. and 0.101 MPa. The crude product has a nitrogen content of at most 90% of the nitrogen content of the crude feed. One or more other properties of the crude product may be changed by at least 10% relative to the respective properties of the crude feed.
US07678263B2 Gas stripping process for removal of sulfur-containing components from crude oil
High rate gas stripping for removal of sulfur-containing components such as mercaptans from crude oil may be conducted by feeding sweet gas to the bottom of a tower containing pre-heated mercaptan-containing crude oil feed. The gas bubbles up through the crude becoming enriched with H2S, mercaptans, CO2 and/or hydrocarbons. The rich gas exits the tower, and is treated to produce a sweetened gas, a portion of which is recycled to the tower, and an acid gas. The remainder of the sweetened and/or the acid gas may be used as a fuel or processed to recover a portion of any hydrocarbons that may have been stripped out of the crude oil with the mercaptans, sulfur-containing components, CO2, used for enhanced oil recovery or disposed.
US07678259B2 Method of anodizing valve metal derived anode bodies and electrolyte therefore
An electrolyte solution for anodizing a metal and a capacitor comprising the anodized metal. The electrolyte comprises more than about 5%, by weight, and less than about 30%, by weight, water; about 0.1 to 20%, by weight, ionogen and an aprotic polar solvent. The ionogen comprises phosphoric acid and an alkanol amine in an amount, and ratio, sufficient to maintain a pH of about 4 to about 9.
US07678258B2 Void-free damascene copper deposition process and means of monitoring thereof
An improved method of stabilizing wet chemical baths is disclosed. Typically such baths are used in processes for treating workpieces, for example, plating processes for plating metal onto substrates. In particular, the present invention relates to copper plating baths. More particularly, the present invention relates to the stability of copper plating baths. More particularly, the present invention relates to prevention of void formation by monitoring the accumulation of deleterious by-products in copper plating baths.
US07678257B2 Copper electrolytic solution containing quaternary amine compound polymer with specific skeleton and organo-sulfur compound as additives, and electrolytic copper foil manufactured using the same
There is obtained a low-profile electrolytic copper foil with a small surface roughness on the side of the rough surface (the opposite side from the lustrous surface) in the manufacture of an electrolytic copper foil using a cathode drum, and more particularly an electrolytic copper foil which allows fine patterning, and is superior in terms of elongation and tensile strength at ordinary temperatures and high temperatures. The present invention provides a copper electrolytic solution, containing as additives an organo-sulfur compound and a quaternary amine compound polymer obtained by homopolymerizing a compound in which the nitrogen of an acrylic type compound having a dialkylamino group is quaternized, or copolymerizing the compound with another compound having an unsaturated bond, and an electrolytic copper foil manufactured using this electrolytic solution.
US07678256B2 Insulator-based DEP with impedance measurements for analyte detection
Disclosed herein are microfluidic devices for assaying at least one analyte specie in a sample comprising at least one analyte concentration area in a microchannel having insulating structures on or in at least one wall of the microchannel which provide a nonuniform electric field in the presence of an electric field provided by off-chip electrodes; and a pair of passivated sensing electrodes for impedance detection in a detection area. Also disclosed are assay methods and methods of making.
US07678255B2 Mask and method for electrokinetic deposition and patterning process on substrates
A mask for application to a substrate to facilitate electrokinetic deposition of charged particles onto the substrate, the mask comprising a conducting layer, a dielectric layer, and mask openings. A method for applying a pattern of charged particles to a substrate comprising applying the foregoing the substrate to yield a masked substrate; immersing the masked substrate in a bath containing the charged particles; and establishing an electrical potential between the conducting layer of the mask and a counter-electrode thereby electrokinetically depositing the particles through the mask openings onto areas of the substrate exposed in the mask openings. Products made by this method.
US07678253B2 Atmospheric corrosion sensor
An electrochemical sensor for corrosive gases that contains at least two electrodes is described. The presence of a target corrosive gas results in the formation of metal ions that can be reduced at an electrode producing an electrical current that depends on the instantaneous corrosive gas concentration and deposition of the metal on the electrode. Extension of this deposit to a second electrode through further deposition will result in a short circuit, the longer the time to the short circuit, the lower the cumulative corrosive gas concentration.
US07678247B2 Cathode edge support device for a remote identifier in electro-winning and electro-refining process
A device that supports a remote identifier for permanent cathodes in the electro-winning process. A preferred embodiment of the invention provides a support for a proximity identification device for permanent cathodes that, when interconnected with a remote system, provides for a record of its behavior and position in time of each cathode during its useful life in an electro-winning process.
US07678245B2 Method and apparatus for electrochemical mechanical processing
Embodiments of the invention generally provide a method and apparatus for processing a substrate in an electrochemical mechanical planarizing system. In one embodiment, a cell for polishing a substrate includes a processing pad disposed on a top surface of a platen assembly. A plurality of conductive elements are arranged in a spaced-apart relation across the upper planarizing surface and adapted to bias the substrate relative to an electrode disposed between the pad and the platen assembly. A plurality of passages are formed through the platen assembly between the top surface and a plenum defined within the platen assembly. In another embodiment, a system is provided having a bulk processing cell and a residual processing cell. The residual processing cell includes a biased conductive planarizing surface. In further embodiments, the conductive element is protected from attack by process chemistries.
US07678241B2 Film forming apparatus, substrate for forming oxide thin film and production method thereof
The invention provides a film forming apparatus that is capable of forming films sequentially with two types of film forming mechanisms in the same chamber. The film forming apparatus according to the present invention includes a Pt target disposed at one side within a film forming chamber, a sputtering output mechanism to supply to the Pt target, a Pt vapor deposition source disposed at an other side within the film forming chamber, a vapor deposition output mechanism to supply to the Pt vapor deposition source, a substrate holder disposed between the Pt target and the Pt vapor deposition source within the film forming chamber to mount a substrate, a rotating mechanism to move the substrate holder so that the substrate directs to the Pt target or to the Pt vapor deposition source, a heating mechanism to heat the substrate when the substrate is subjected to a sputtering film forming, and a cooling mechanism to cool the substrate when the substrate is subjected to vapor deposition film forming.
US07678239B2 Sliding anode magnetron sputtering source
A magnetron sputtering source includes a plurality of electrodes and a switching circuit. The switching circuit sequentially connects each of the plurality of electrodes to a ground reference, making it anodic, while connecting the remaining of the plurality of electrodes as cathodes. A method of operating the magnetron sputtering source includes steps of: providing a plurality of target arrangements; causing each of the plurality of target arrangements to act as a cathode; and sequentially causing each of the plurality of cathodes to temporarily act as an anode.
US07678231B2 Process for increasing the basis weight of sheet materials
Sheet-like products are disclosed containing an additive composition. In accordance with the present disclosure, the additive composition is applied to a creping surface. The additive composition includes at least an aqueous dispersion containing a thermoplastic polymer. A base sheet is then pressed against the creping surface for contact with the additive composition. The base sheet is then creped from the creping surface causing the additive composition to transfer to the base sheet. In particular, the additive composition is transferred to the base sheet in amounts greater than about 1% by weight, such as from about 2% to about 50% by weight. The additive composition may further include a lotion, a debonder, a softener, or mixtures thereof.
US07678228B2 Binders curable at room temperature with low blocking
Topically-applied binder materials for imparting wet strength to soft, absorbent paper sheets, such as are useful as household paper towels and the like, include an azetidinium-reactive polymer, such as a carboxyl-functional polymer, an azetidinium-functional polymer and, optionally, a component useful for reducing sheet-to-sheet adhesion (blocking) in the product. These binder materials can be cured at ambient temperature over a period of days and do not impart objectionable odor to final product when wetted.
US07678227B2 Multi-stage flash evaporator
The present invention provides tube bundle configurations for multi stage flash cross tube type evaporators using concepts with parallel and counter current coolant flow. Those tube bundle configurations allow to build the evaporators more compact and provide the possibilities to lower the thermal and electrical energy consumption for a multi stage flash desalination plant by maintaining or reducing the distilled water generation cost as achievable with cross tube evaporators utilizing single pass tube bundles in serial flow communication.
US07678225B2 Focus ring for semiconductor treatment and plasma treatment device
A focus ring for a plasma processing apparatus has an inner region, middle region, and outer region, disposed in this order from the inner side to surround a target substrate. On the side to be exposed to plasma, the surfaces of the inner region and outer region consist essentially of a dielectric, while the surface of the middle region consists essentially of a conductor. The middle region is arranged to shift the peak of plasma density to the outside of the peripheral edge of the target substrate. If there is no middle region, the peak of plasma density appears substantially directly above the peripheral edge of the target substrate.
US07678220B2 Method of making a bonded hem using an elastic strip with a raised fleece surface
A method of making a bonded hem includes sandwiching a body member between a first adhesive film and a second adhesive film, placing a fabric sheet upon the first adhesive film and fusing the first adhesive film to bond onto the body member and the fabric sheet, flipping the fabric sheet to form a first fold line and a second fold line, and fusing the second adhesive film to bond onto the fabric sheet to form the bonded hem.
US07678218B2 Production method of an electroacoustic transducer diaphragm, electroacoustic transducer diaphragm, and an electroacoustic transducer
A production method for an electroacoustic transducer diaphragm includes producing an adhered sheet by adhering a sheet member on a surface of a wooden sheet having a thickness of from 0.01 mm to 3 mm, and the sheet member being made of a material different from the wooden sheet, immersing the adhered sheet into one of a solution including 0.01-1 wt % of a penetrating agent and a solution including 0.01-1 wt % of penetrating agent and 0.01-20 wt % of a wetting agent, and molding the adhered sheet obtained by the immersing step so that the immersed adhered sheet has a predetermined shape of the electroacoustic transducer diaphragm.
US07678217B2 Method for manufacturing an endovascular graft section
A device and method for the manufacture of medical devices, specifically, endovascular grafts, or sections thereof. Layers of fusible material are disposed upon a shape forming member and seams formed between the layers in a configuration that can produce inflatable channels in desired portions of the graft. After creation of the seams, the fusible material of the inflatable channels may be fixed while the channels are in an expanded state. A five axis robotic seam forming apparatus may be used to create the seams in the layers of fusible material.
US07678216B2 Tire filled with core and curable elastomeric material and method
A tire having a core located in a tire cavity and a cured and foamed elastomeric material located in the tire cavity adjacent the core. The core is made of a solid material, a precured and foamed elastomeric material or a hollow rigid structure. The core may be a single piece or fabricated from multiple pieces.
US07678215B2 Installation method for non-slip sanitary flooring
A method of installing flooring and resulting structure are disclosed. The method is particularly useful for sanitary nonslip applications such as kitchens. The method includes the steps of positioning a first sheet of polymer flooring material with an upwardly-facing step cut along one edge of the first sheet on a floor to be covered, and applying a second sheet of polymer flooring material with a complementary downwardly-facing step cut along one edge of the second sheet to the upwardly facing step cut of the first sheet and to the floor adjacent the first sheet while concurrently sealing the respective faces to one another in a step lap joint.
US07678211B2 Device and method for joining substrates
A device for joining substrates (11) is provided inside a clean booth (12). a single axis robot (46) and a five axis robot (47) convey a wafer (25) and a glass substrate (33). A transcribing station (91) obtains a transcribing film (112) on which adhesive is applied from a film supplying section (113), and presses the transcribing film (112) to the glass substrate (33) so as to transcribe the adhesive to the glass substrate (33). A peeling station (92) peels the transcribing film (112) from the glass substrate (33). A joining station (57) positions the wafer (25) and the glass substrate (33), adjusts parallelism of joining surfaces of the wafer (25) and the glass substrate (33), and joins these substrates together. Since the handling and the joining of the wafer (25), the glass substrate (33) and the transcribing film (112) are performed in the clean booth, it is prevented that a yield ratio of the product decreases because of the adhesion of foreign matters.
US07678207B2 Steel product for induction hardening, induction-hardened member using the same, and methods producing them
We provide a steel product that includes, by mass %, C: 0.35-0.7%, Si: 0.30-1.1%, Mn: 0.2-2.0%, Al: 0.005-0.25%, Ti: 0.005-0.1%, Mo: 0.05-0.6%, B: 0.0003-0.006%, S: 0.06% or less, P: 0.02% or less, Cr: 0.2% or less, and the balance Fe and inevitable impurities, and has a structure of bainite and/or martensite, the total volume fraction of bainite and martensite being 10% or more, and an induction hardened member that is made of the steel product having a hardened surface layer formed by induction hardening and has a prior austenite grain size of 12 μm or less through the layer thickness. The member has high fatigue strength and therefore is suitable for an automobile drive shaft, an automobile constant velocity joint or the like.
US07678206B2 Manufacturing method of rocker arm bearing apparatus
Quenching heat treatment is performed on a whole rocker arm bearing assembly in which shaft ends of a supporting shaft are fixed to inner peripheral edges of shaft end insertion holes of a pair of opposite sidewalls, and by the outer ring is rotatably supported on a raceway portion of an shaft intermediate portion of the supporting shaft through a plurality of rolling elements or directly, thereby manufacturing a rocker arm bearing apparatus.
US07678205B2 Aluminum alloy products having improved property combinations and method for artificially aging same
Aluminum alloy products, such as plate, forgings and extrusions, suitable for use in making aerospace structural components like integral wing spars, ribs and webs, comprises about: 6 to 10 wt. % Zn; 1.2 to 1.9 wt. % Mg; 1.2 to 2.2 wt. % Cu, with Mg≦(Cu+0.3); and 0.05 to 0.4 wt. % Zr, the balance Al, incidental elements and impurities. Preferably, the alloy contains about 6.9 to 8.5 wt. % Zn; 1.2 to 1.7 wt. % Mg; 1.3 to 2 wt. % Cu. This alloy provides improved combinations of strength and fracture toughness in thick gauges. When artificially aged per the three stage method of preferred embodiments, this alloy also achieves superior SCC performance, including under seacoast conditions.
US07678202B2 Drip shield for a drawer-type dishwasher
A drip shield is mounted to a drawer of a drawer-type dishwasher so as to extend along an outer rear surface of the drawer and catch droplets of washing fluid falling from a lid of the dishwasher. The drip shield includes a shelf that extends between up-turned wall portions. The shelf is angled away from the tub so that any droplets of washing fluid that may fall onto the drip shield will migrate away from the drawer. The shelf is also formed such that first and second end portions are positioned higher than a central or intermediate portion. This construction forces the droplets of washing fluid to collect in a centralized drain point from where the droplets of water can be guided to a controlled location.
US07678201B2 Composition and process for the removal and recovery of hydrocarbons from substrates
The invention relates to a chemical composition and a process for using the chemical composition to remove and recover petroleum hydrocarbons from a contaminated substrate including an ammonia compound; a nitrogen-containing compound; and an aqueous carrier solution.
US07678200B2 Technique on ozone water for use in cleaning semiconductor substrate
An ultra-pure ozone water comprising an increased amount of an organic carbon capable of suppressing the reduction of the half-life period of ozone; and a method for producing the ultra-pure ozone water which comprises adding an organic solvent containing the above organic carbon to an ultra-pure ozone water containing a trace amount of the organic carbon. The above ultra-pure ozone water exhibits an increased half-life period of ozone, and thus, when used in cleaning a semiconductor substrate, allows the cleaning with an ozone water having an enhanced content of ozone, which results in exhibiting an enhanced cleaning capability and cleaning efficiency for an organic impurities, metallic impurities and the like adhered to the substrate, due to enhanced oxidizing action of ozone.
US07678199B2 Substrate cleaning method
A method is provided for reducing the amount of film fragments discharged into a processing liquid circulation system during removal of films from wafers, thereby reducing the frequency of filter cleaning or filter replacement. The method includes exposing a wafer containing a film formed thereon in a process chamber of a substrate processing system to a processing liquid, where the wafer is not rotated or is rotated at a first speed and the processing liquid is discharged from the process chamber to a processing liquid circulation system. Subsequently, exposure of the wafer to the processing liquid is discontinued and the wafer is rotated at a second speed greater than the first speed to centrifugally remove fragments of the film from the wafer. Next, the wafer is exposed to the same or a different processing liquid and the processing liquid is discharged from the process chamber to a processing liquid drain.
US07678193B2 Product for treating reinforced concrete constructions
The invention relates to the use of lithium glycerophosphate for treating structures made of a cement-based product and having steel rebars, making it possible to inhibit rebar corrosion, to prevent the alkali reaction and to avoid the presence of alkalis and sulfates in the structure.
US07678192B2 Method of solidifying and detoxifying soil, incinerated ash and coal ash and method of detoxifying polluted water containing dioxins and PCB
A method of solidifying and detoxifying a soil, incinerated ash and coal ash to yield a solidified substance detoxified to a level complying with the environmental standards and a method of detoxifying polluted water containing dioxins and polluted water containing PCB are provided. First solidifying and detoxifying agent contains light burnt magnesite as component (1) and chrysolite powder as component (2), in which a ratio by weight of the component (1) and the component (2) is 1:5 to 5:1, preferably 1:3 to 3:1, more preferably 1:2 to 2:1 and is the solidifying and detoxifying agent applied to one kind selected from soil, incinerated ash and coal ash as well as polluted water containing dioxins and polluted water containing PCB. Other solidifying and detoxifying agent further contains as an additional component a fused phosphate fertilizer and titanium dioxide powder as well as anhydrous gypsum powder, light burnt dolomite and/or calcined lime powder.
US07678185B2 Anthrapyridone compound or salt thereof, magenta ink composition containing the same, and colored product
The present invention relates to an anthrapyridone compound represented by the following formula (1): wherein, R represents a hydrogen atom, an alkyl group, a hydroxy lower alkyl group, a cyclohexyl group or a (mono- or di-alkylamino) alkyl group, X represents a cross-linking group represented by the formula: —NH—(CH2)n-NH—  (201) wherein, n is 2 to 8 and another cross-linking group, respectively or a salt thereof, a magenta ink composition containing the anthrapyridone compound, and a colored product therewith; said compound has a hue having a high vividness suitable for inkjet recording, a recorded matter therewith has strong fastnesses particularly such as light fastness and ozone fastness, and the ink composition has an excellent storage stability, whereby said compound is useful as a magenta coloring matter suitable for inkjet recording.
US07678178B2 Method and system for treating metal-containing fluid emissions
A system and associated method for removing metal, including metal in vapor form, from high temperature fluid emissions such as may occur at the exhaust of furnaces or thermal treatment equipment. One embodiment is the Integrated Metal Emissions Control System (IMECS™) incorporating both a Steel Screen Particulate (SSP) Filter System and a Perlite Based Sorbent (PBS) System. IMECS™ uses the SSP Filter System, with pore sizes down to one micron, to capture toxic metal particulate materials and the PBS System to capture vapor phase metals using surface-modified perlite. Using the IMECS™, EPA-listed heavy metals have been removed from representative high-temperature emissions in field tests to easily meet the NESHAP standards.
US07678174B2 Soft magnetic material, compressed powder magnetic core and method for producing compressed power magnetic core
A soft magnetic material has an iron based powder that has an insulating coating on the surface and an ester wax. The ester wax is added in an amount not less than 0.02% by weight and not more than 0.6% by weight in relation to the soft magnetic material. Consequently, seizure between a metal mold and a material to be molded at the time of molding can be suppressed and the arising of a black residue can be prevented.
US07678173B2 Pb-free copper-alloy sliding material
In a Pb-free copper-based sintered alloy containing from 1 to 30% of Bi and from 0.1 to 10% of hard matter particles having from 10 to 50 μm of average particle diameter, the Bi phase has a smaller average particle diameter than that of the hard matter particles and is dispersed in the Cu matrix, or the hard matter particles having 50% or less of a contact length ratio with the Bi phase based on the total circumferential length of the hard particle, which are in contact with said Bi phase, are present in a ratio of 70% or more based on the entire number of the hard matter particles.
US07678172B2 Microwave treatment of ores
A method of treating ore particles to facilitate subsequent processing of the ore particles to recover valuable components from the ore is disclosed. The method includes exposing the ore particles to microwave energy and causing structural alteration of the ore particles. In one embodiment structural alteration is achieve without significantly altering the mineralogy, i.e., composition, of the ore. In another embodiment structural alteration is achieved with minimal change to the sizes of the ore particles. In another embodiment the method includes exposing the ore particles to short duration, high energy pulses of microwave energy.
US07678171B2 Process of preparing soil additive of fertilizer from fish
A process for preparing fertilizer from fish, and a fertilizer prepared from said process, is disclosed. The fertilizer is for use on soil and plants. The process involves hydrolysis of fish with a phosphorus containing acid, preferably an inorganic phosphorus based acid, under normal ambient conditions. Typically, phosphoric acid is used. The fertilizer prepared from the disclosed process contains 1 to 10% by weight of the phosphorus based acid.
US07678170B2 Filter system
A filter element, especially for filtering intake air for an internal combustion engine, which filter element is composed of a coiled filter, through which a flow of air passes axially, and which has an annular gasket on at least one end face, the annular gasket being connected to the filter element by a welded, glued, extruded or fused connection, such that the annular gasket is inserted into a suitably constructed receptacle in a filter housing and radially and/or axially positions the coiled filter. The annular gasket is arranged directly on the end face of the coiled filter and is pressed axially and/or radially into the receptacle of the housing.
US07678158B2 Compositions for oxidatively dyeing keratin fibers and methods for using such compositions
Compositions for dyeing keratin fibers comprise (a) at least one keratin dyeing compound selected from aromatic systems which comprise at least one boronic acid or boronic ester moiety and which are capable of forming upon oxidation a nucleophile or an electrophile, (b) at least one additional keratin dyeing compound selected from the group consisting of auxiliary developers and auxiliary couplers, and (c) a cosmetically suitable medium. Methods for oxidatively dyeing keratin fibers comprise the steps of applying such compositions in the presence of an oxidizing agent and rinsing the hair. A hair coloring product in kit form comprises a first separately packaged container comprising a composition as described above and a second separately packaged container comprising an oxidizing agent.
US07678155B2 Water- and oil-repellent treatment of textile
Excellent water- and oil-repellency and soil releasability can be obtained by a method of preparing a treated textile, having steps of: (1) preparing a treatment liquid containing a water- and oil-repellent agent and a stain blocking agent, (2) adjusting pH of the treatment liquid to at most 7, (3) applying the treatment liquid to a textile, (4) treating the textile with steam, and (5) washing the textile with water and dehydrating the textile, wherein the water- and oil-repellent agent contains at least one fluorine-containing compound selected from the group consisting of a fluorine-containing polymer and a fluorine-containing low molecular weight compound, and the water- and oil-repellent agent or the treatment liquid contains a cationic emulsifying agent.
US07678150B2 Total shoulder prosthesis of an inverted type
A total shoulder prosthesis includes a glenoidal component a substantially hemispherical convex articular surface and of which a center of curvature is adapted, when the prosthesis is implanted, to be located in the glenoid cavity or in the immediate vicinity thereof, and a humeral component defining a concave articular surface adapted to cooperate with the convex articular surface of the glenoidal component. The concave humeral articular surface is defined by a metallic part of the humeral component to thereby reduce a space requirement of the prosthesis.
US07678146B2 Polyacrylamide hydrogel and its use as an endoprosthesis
A biocompatible hydrogel comprises a specified content of polyacrylamide and pyrogen-free water. Also disclosed is a method of making the hydrogel and an injectable or implantable endoprosthesis. The hydrogel may also be used to treat a cosmetic or functional defect. Hydrogels specified according to their polyacrylamide content may be used for medical indications, such as an implantable or injectable endoprostheses for mammoplastic reconstruction, implantable or injectable endoprostheses for treating (reflux) oesophagitis, and for body contouring of various body parts.
US07678145B2 Devices and methods for heart valve treatment
Devices and methods for treating heart valves include members that assist the valve in closing during at least a portion of the cardiac cycle. Such devices include members configured to alter the shape of a valve annulus, reposition at least one papillary muscle, and/or plug an orifice of the valve so as to provide a coaptation surface for the valve leaflets.
US07678143B2 Ethylene-carboxyl copolymers as drug delivery matrices
A coated stent is provided including a coating composed of one or more co-polymers of ethylene with carboxylic acid wherein the carboxylic acid co-monomer content is 5-50 wt %.
US07678138B2 Two piece cross-pin graft fixation
In one aspect of the invention, a cross-pin includes a distal portion having an axis, a distal end, and a proximal end. A proximal cylindrical portion includes a threaded exterior surface. A junction may be interposed between the distal and proximal portions to enable the proximal portion to rotate relative to the distal portion. In another aspect of the invention, a graft retaining system for retaining a graft in a bone tunnel includes a graft block having a proximal end and a distal end, and sized to fit within the bone tunnel. A cross pin transverse to the bone tunnel attaches the graft block adjacent the tunnel. An intermediate connector is attached to the graft block at a point distal to the cross pin and connects the graft to the graft block so as to apply compressive forces to the graft block.
US07678137B2 Pedicle screw constructs for spine fixation systems
A pedicle screw coupling construct for a pedicle screw construct provides fixation of angular orientation thereof relative to a pedicle screw independent of fixation of a received spinal rod to the coupling construct. The pedicle screw construct forms one component or element in a spinal fixation system. The independent fixation coupling construct also provides for fixation of the angular orientation of the coupling construct while the coupling construct has received the spinal rod. In another form, a coupling head or construct is configured to allow a pedicle screw shaft to pass therethrough but retain the pedicle screw head for rotation of the coupling head about the pedicle screw head. The coupling head or construct is also configured to allow at least a 45° arc of pivot or articulation about a pedicle screw shaft relative to a longitudinal axis of a spinal rod received in the body. This allows the head with a received spinal rod to fold, bend or pivot relative to the pedicle screw shaft, particularly to a greater degree than the prior art.
US07678131B2 Single-wire expandable cages for embolic filtering devices
A single-wire expandable cage for an embolic filtering device includes a single cage wire coupled to an elongated member, such as a guide wire, and adapted to expand from an unexpanded position to an expanded position in a patient's body vessel. The wire includes a first end and a second end which are coupled to the guide wire. A filter element is attached to the single-wire cage. The single-wire cage may be rotatably mounted to the guide wire or may be slidably disposed on the guide wire to allow the composite cage and filter element to be slid over the guide wire in an over-the-wire fashion once the guide wire is delivered to the target location in the patient's vasculature. One embodiment of the single-wire cage utilizes an offset arrangement in which the guide wire remains extended along the wall of the body vessel once the single-wire cage is deployed. Another embodiment of the device centers the guide wire within the body vessel.
US07678129B1 Locking component for an embolic filter assembly
A locking component for locking a medical device onto a guide wire. Such medical devices include, for example, an embolic filter assembly used to capture embolic material that may be created and released into a patient's vasculature during a stenting or angioplasty procedure. The embolic filter assembly tracks along the guide wire, and is delivered to a treatment site where it is locked in place and deployed. The locking component enables the filter assembly to lock onto any standard guide wire, and does not require a modified guide wire that has a specially-designed fitting or stop to accomplish the locking function.
US07678127B2 Multi-lancet device with sterility cap repositioning mechanism
A lancing device has a carousel of lancets removably received in a housing. In exemplary embodiments, the lancing device includes a sterility cap positioning mechanism that removes sterility caps from the lancets for actuation and afterwards replaces the sterility caps onto the lancets. Preferably, the lancing device includes a lancet advancing mechanism that automatically advances sequential lancets of the lancet carousel for charging and actuating, and a drive mechanism for actuating the lancets. Also provided are replacement lancet carousels, which include a cylindrical carrier with axial openings and a plurality of the lancets axially oriented in the openings.
US07678126B2 Lancet depth adjustment assembly
A lancet depth adjustment assembly structured to be used use with a lancet device of the type including a lancet disposed movably within a housing so as to pass into a piercing orientation wherein a piercing tip of the lancet protrudes from a piercing aperture defined in the housing. The lancet depth adjustment assembly includes a depth adjustment element movably secured in overlying relation to the piercing aperture of the housing and having a piercing access including one or more openings structured to permit passage of the piercing tip of the lancet therethrough. The depth adjustment element is structured to be interposed between a finger of a patient and the piercing aperture so as to define a spacing therebetween, and accordingly define a depth to which the piercing tip, which protrudes a defined amount from the housing, penetrates the body part. Furthermore, the depth adjustment element is constructed of a varying thickness so as to vary the spacing between the body part and the piercing aperture in accordance with a thickness of a portion of the depth adjustment element disposed in overlying relation to the piercing aperture at a time of operation of the lancet device.
US07678122B2 Method of performing a treatment for gastroesophagheal reflux disease (GERD)
A method of performing a treatment for gastroesophagheal reflux disease (GERD) includes providing a distal end of a shaft of a medical instrument with a proximally facing end effector having a laterally offset clevis defining a shaft-clevis plane and opposing mutually rotatable jaws proximally directed and laterally displaced relative to a longitudinal axis of the shaft. The jaws hold parts of a two-part fastener, respectively, and are offset and separated from the shaft. Significantly, the jaws operate in a plane at an angle to the shaft-clevis plane. The shaft is inserted with the proximally facing end effector through the esophagus in a first direction. The shaft is retracted in a second direction substantially opposite the first. The end effector contacts a stomach wall at a location near the esophagus and the stomach wall is manipulated with the end effector.
US07678118B2 Releasable basket
A medical retrieval device includes a basket formed of two or more loops. The basket may be used to retrieve material (e.g., a urinary stone) from a body. The basket opens and closes for end-encapsulation of a stone and is strengthened by support members that interconnect the basket loops. A captured stone may be released from the basket with the basket still in the body by opening the loops.
US07678115B2 Unicondylar knee implants and insertion methods therefor
A method of preparing a knee joint for receiving a unicondylar knee implant includes preparing a first seating surface at a proximal end of a tibia, and providing a combination bur template and spacer block, the bur template having an upper end, a lower end and a curved surface extending between the upper and lower ends thereof that is adapted to conform to a femoral condyle of a femur and the spacer block extending from the lower end of the bur template and having top and bottom surfaces. The method includes flexing the knee joint so that the prepared first seating surface at the proximal end of the tibia opposes a posterior region of the femoral condyle and inserting the combination bur template and spacer block into the knee joint so that the top surface of the spacer block engages the posterior region of the femoral condyle and the bottom surface of the spacer block engages the first seating surface at the proximal end of the tibia. While the spacer block is maintained between the femur and the tibia, the knee joint is extended until the curved surface of the bur template engages the femoral condyle of the femur. The bur template is anchored to the femur and used to guide burring of the femoral condyle for preparing a second seating surface on the femur. After burring the distal end of the femoral condyle, the posterior region of the femoral condyle is resected.
US07678111B2 Device and method for ablating tissue
An electrosurgery medical device is enhanced with unique solution-assistance, and comprises, in combination, co-operating device jaws including jaw portions for manipulating tissue, and a plurality of solution infusion openings defined and spaced along each of the jaw portions, for receiving electrolytic solution and infusing the solution onto and into tissue to be manipulated, along said jaw portions. As preferred, the device further comprises at least one, and most preferably, many, longitudinal groove(s) along at least one and most preferably, both, of the jaw portions, with the solution infusion openings located in the groove or grooves. The solution is energized with RF energy and contributes to the functions and beneficial effects of the instrument. The solution exits the openings in the grooves at sufficient flow rates to separate substantially all the operative surfaces of the device from tissue, thereby substantially completely preventing adherence between the operative surfaces and tissue. The solution is further energized to a range of energy densities such that tissues to be affected are sealed against flow of blood, lymphatic fluids, air, and other bodily fluids and gases.
US07678109B2 Ablation device and method comprising movable ablation elements
A device for ablating tissue includes an elongate body, which may be flexible to wrap about a cardiac structure, and at least one movable ablation element coupled to the body. The movable ablation element is adjustable relative to the body to form an angle with a longitudinal axis thereof between about zero degrees and about 180 degrees. The movable ablation element may be a two element array, and a pivot pin may depend from an underside thereof to pivotably connect the array to the body via a mating pivot hole in the body. The body may be formable into both a substantially flat configuration and a generally curved configuration. In other embodiments, the body is wand-shaped. The device may further include at least one non-movable ablation element coupled to the body and forming an angle of about zero degrees with the longitudinal axis of the body.
US07678108B2 Loop ablation apparatus and method
Embodiments of the invention provide an ablation apparatus for ablating target tissue adjacent pulmonary veins of a patient. The ablation apparatus can include a tube capable of being advanced around the pulmonary veins to form a loop. The tube can receive or include electrodes to ablate target tissue. Some embodiments provide a loop ablation device, which may include a cannula and two or more electrode rods carrying two or more bipolar electrodes. The electrode rods can be advanced through the distal ends toward the proximal ends of the loop and toward the target tissue. The bipolar electrodes can receive energy to ablate the target tissue. The bipolar electrodes may be surrounded by the liquid within the cannula while ablating the target tissue. The loop ablation device can further include a rotating grasping mechanism coupled to the electrode rods.
US07678103B2 Orifice device for delivering drugs at low fluid flow rates
An orifice device for delivering a drug includes an inner member having a proximal end and a distal end. A winding is helically wound around the inner member. The winding and the inner member define a first channel for carrying a drug therethrough. An inlet is at the proximal end of the winding and an outlet is at the distal end of the winding.
US07678102B1 Wound suction device with multi lumen connector
Apparatus is disclosed for applying negative pressure to a wound site to promote healing. The apparatus includes a porous pad for application to the wound, a suction tube linking the porous pad to a source of negative pressure, a container for collecting fluid exudate from the wound interposed between the porous pad and the source of negative pressure and a quick disconnect connector linking a first section of the suction tube leading to the porous pad with a second section leading to the container, said connector comprising two separable and non-interchangeable parts, said first section of the suction tube comprising a multi-lumen tube, one lumen being provided for applying suction to the porous pad and another being provided for monitoring pressure, said multi-lumen tube being fixedly attached at one end to the container and at the other end to one of said separable parts, said one separable part including sealing means whereby the lumens are connected in air-tight manner to said second section of the suction tube.
US07678100B2 Apparatus for establishing access to the body
An apparatus and method for access to the inside of a body includes a transparent sheath with a radiopaque marking and fastener for securing a guidewire or catheter. The transparent sheath permits objects located within and near the sheath to be visualized with an external imaging system. Objects located near the sheath can also be observed with an imaging device disposed inside the sheath. The radiopaque marker permits accurate positioning of the sheath in the body. The sheath can be inserted into the body over an interventional device. A fastener prevents migration of a guidewire or catheter during the procedure.
US07678096B2 Connector system and method of using same
A connector system (10) for producing a sterile connection includes a fluid conduit (32), an engagement device (14, 22) designed to engage with a complementary engagement device (16, 24) of a complementary connector (12), a displacement device (26) with a substantially plane displacement surface (30) and a fluid conduit aperture (60) which extends through the displacement surface (30) and is connected to the fluid conduit (32), with the displacement device (26) being designed to displace the connector (12) and the complementary connector (12) relative to one another in a displacement direction (40) after the engagement device (14, 22) has engaged with the complementary engagement device (16, 24), and with the displacement direction (40) being substantially parallel to the displacement surface (30), and a sterile cover device (20, 74) designed to cover at least the fluid conduit aperture (60) in a sterile manner. The invention also relates to a method of use of the connector system (10) and of the complementary connector (12) for sterile connection of a fluid inlet of the connector (10) to a fluid outlet of the complementary connector.
US07678095B2 Pre-expanded tampon pledget
The present invention provides a pre-expanded tampon pledget formed from cellulosic absorbent fibers. The fibers are not tightly compressed, thus, the pledget has a reduced fiber density. As a result, a softer, more pliable pledget is formed that not only increases user comfort during use, but also affords a user with comparable and/or increased leakage protection over conventional tampons or tampon pledgets.
US07678093B2 Permeable, close to the body liner for swim wear
A swimwear garment having a material which is permeable to liquid but substantially impermeable to bowel movement material. In one embodiment, the garment can comprise a stand-alone disposable pant liner in the form of a swimpant with waist elastics and leg elastics. In other embodiments, a mesh liner can be a layer within a disposable swimpant. The mesh liner can be attached to the disposable swimpant around the perimeter of the composite structure, or in swimpants containing containment flaps, to the containment flaps. The mesh liner can, optionally, further include elastic strands attached under the mesh liner to provide further lift and hold the mesh liner close to the body of the wearer.
US07678092B2 Collapsible fluid containment device with semi-rigid support members
A collapsible fluid containment bag for irrigating and cleaning wounds on extremities having semi-rigid support members and an elevated lower support member attached to the bottom of the proximal end of the containment bag creating a gradient for allowing irrigation fluids and biological tissue to drain to the distal end of the bag for removal. The containment bag is collapsible, making it a convenient size for storage, transport, and disposal.
US07678091B2 Colostomy bag
A colostomy bag provided with a stoma ring, a gas outlet and an external deodorizing filter in which a vertical baffle is provided intermediate the stoma ring and the gas outlet and extending from the upper marginal wall of the bag and a level slightly below the lowest point of the stoma ring. The baffle is designed to prevent feces from being squeezed sideways and upwardly into the gas outlet and clogging it.
US07678081B2 Methods and devices for transseptal access
Systems and methods for penetrating a tissue membrane to gain access to a target site are disclosed. In some examples, systems and methods for accessing the left atrium from the right atrium of a patient's heart are carried out by puncturing the intra-atrial septal wall. One embodiment provides a system for transseptal cardiac access that includes a guiding catheter, an access catheter and a guidewire. The access catheter may include a tissue penetration member disposed within a housing wherein the tissue penetration member is substantially contained within the housing when in a retracted configuration. When the distal end of the access catheter is disposed adjacent the septal wall, the user can initiate an actuator switch, which may be in a proximal portion of the system, to cause the tissue penetration member to extend through the septal wall. Alternatively, the tissue penetration member can be actuated automatically by a contact trigger mechanism, without initiation by the user, upon contact with the septal wall by a distal contact member which is coupled to the contact trigger mechanism. Such a system may also include an interlock mechanism to prevent triggering of the tissue penetration member at undesirable times during a procedure.
US07678079B2 Patch-like infusion device
A system and method for a patch-like, self-contained substance infusion device which provides one or more substantially hidden patient needles which can be placed in fluid communication with a fluid reservoir subassembly that includes a rigid bladder portion used in conjunction with a non-distensible bladder film, such as a metallized film. Simple removal of an interlock allows a disk, or Belleville spring assembly to apply an essentially even and constant pressure to the contents of the fluid reservoir assembly, and allows the device to then be attached to a skin surface via an adhesive contact surface. A push button activation assembly is provided which can then be used to release and seat one or more spring-loaded patient needles into the skin surface, and establish a fluid communication path between the patient needles and the pressurized fluid reservoir contents thereby delivering an infusion into the skin.
US07678075B2 Infusion catheter and use thereof
An infusion catheter having a distal shaft with an infusion tube extending from a proximal end to a distal end of the distal shaft, and a proximal cannula with an inner dimension and a distal end attached to a proximal end of the distal shaft to define an opening between the inner diameter of the infusion tube and the inner dimension of the proximal cannula. Also, a guidewire tube disposed within the inner dimension and the distal shaft and extending from the proximal end of the proximal cannula to the distal end of the distal shaft. The inner diameter of the infusion tube, the opening, the exterior dimension of the guidewire tube and the inner dimension cooperate and define sufficient cross sectional sizes to allow a treatment agent to be infused between the proximal end of the proximal cannula and the region of interest.
US07678073B2 Vortex feature for drug delivery system
An automatic injector separately stores liquid and dry components in respective compartments. When the injector is activated, a fluid-directing member between the liquid and dry compartments causes the liquid component to form a vortex as the liquid flows into the dry compartment. This allows the two components to combine more thoroughly and quickly to form a liquid solution that is delivered to an injection site.
US07678072B2 Automatic injection device for two-chamber ampoules
An injection device for holding and activating a two-chamber ampulla has components whose relative movement causes the pistons of the two-chamber ampulla to be moved in order to mix the substances, as well as devices for injecting the product which is mixed in this way. For this purpose, a receptacle (103) into which the two-chamber ampulla (111) can be inserted and secured is held in a housing (101), and the receptacle (103) can be displaced by means of a carriage (108). A tappet (104) which acts on the pistons (111A, 111B) is movably held in the receptacle (103). A traction cable (114) which is deflected by means of a roller (109) which is mounted on the carriage (108) and one of whose ends is connected to the receptacle (103) and the other end of which is connected to a tension spring (110) which is held on the housing (101) is provided in order to carry out a mixing stroke, insertion stroke, injection stroke and a return stroke. Devices which can be activated automatically and/or manually between the housing (101), receptacle (103), tappet (104) and carriage (108) control their alternating coupling to the traction cable (114) and thus the sequence of the mixing stroke, insertion stroke, injection stroke and return stroke. The invention thus provides a partially automatic injection device for two-chamber ampullas whose handling comfort and safety for the patient are substantially improved.
US07678069B1 System for electrosurgical tissue treatment in the presence of electrically conductive fluid
Systems and methods are provided for applying a high frequency voltage in the presence of an electrically conductive fluid to create a relatively low-temperature plasma for ablation of tissue adjacent to, or in contact with, the plasma. In one embodiment, an electrosurgical probe or catheter is positioned adjacent the target site so that one or more active electrode(s) are brought into contact with, or close proximity to, a target tissue in the presence of electrically conductive fluid. High frequency voltage is then applied between the electrode terminal(s) and one or more return electrode(s) to generate a plasma adjacent to the active electrode(s), and to volumetrically remove or ablate at least a portion of the target tissue. The high frequency voltage generates electric fields around the active electrode(s) with sufficient energy to ionize the conductive fluid adjacent to the active electrode(s). Within the ionized gas or plasma, free electrons are accelerated, and electron-atoms collisions liberate more electrons, and the process cascades until the plasma contains sufficient energy to break apart the tissue molecules, causing molecular dissociation and ablation of the target tissue.
US07678065B2 Implant with intraocular pressure sensor for glaucoma treatment
The invention discloses a trabecular stent and methods for treating glaucoma. The stent may incorporate an intraocular pressure sensor comprising a compressible element that is implanted inside an anterior chamber of an eye, wherein at least one external dimension of the element correlates with intraocular pressure. In some embodiments, the sensor may be coupled to the stent. Also disclosed are methods of delivery of the stent and the sensor to the eye.
US07678064B2 Apparatus for detecting tactile sensitivity
An apparatus for helping to determine tactile sensitivity of a patient includes a housing and a probe supported by the housing and having a probe tip. The probe is adapted for selective longitudinal movement relative to the housing between a first probe position, wherein the probe tip is substantially extended from the housing, and a second probe position, wherein the probe tip is substantially retracted into the housing. A first biasing means is adapted to urge the probe toward the first probe position. A predetermined motive force is selectively exerted between the probe tip and the patient to move the probe from the first probe position to the second probe position. The motive force is indicative of tactile sensitivity of the patient. A method for helping to determine tactile sensitivity of a patient is also described.
US07678058B2 Apnea type determining apparatus and method
An apnea classification system provides for apnea monitoring and differentiation based on several sleep apnea related parameters for diagnostic and therapeutic purposes. Monitoring of such sleep apnea related parameters allows the apnea classification system to differentiate among the different types of apnea. This information may then be used to determine the best method of therapy, or adjust current therapy parameters to more effectively treat a subject.
US07678057B2 Device and system that identifies cardiovascular insufficiency
In an embodiment, the present invention provides a device that identifies cardiovascular dysfunction of a subject. The claimed device comprises a controller, a transducer, and a processor. The controller initiates collection of a plurality of data related to a physiological condition. The transducer collects data over a plurality of cycles and transfers the data to the processor, which reduces the received data signal into an output using a novel formula. In an example the data correlate with data that are directly related to cardiovascular dysfunction but that are of limited use.
US07678053B2 Delay adding device and ultrasonic diagnostic apparatus
The present invention aims to provide a delay device having an analog delay line adaptable to a plurality of frequencies with a less number of taps. The analog delay line has a maximum delay amount equivalent to a wavelength from over a ⅜ wavelength of a predetermined maximum wavelength of an input signal to under a 1 wavelength thereof. Tap intervals up to a delay point equivalent to ½ of a predetermined minimum wavelength of the input signal are different from tap intervals placed ahead of those. The maximum wavelength is a wavelength of a signal having a frequency of 2 MHz, for example, and the minimum wavelength is a wavelength of a signal having a frequency of 5 MHz, for example.
US07678040B2 Customized gynecological brachytherapy applicator and method
A customized gynecological brachytherapy applicator is formed by placing a molded balloon, which is secured to a handle, into a vaginal cavity and then filling the mold balloon with a settable liquid material. The material may be an expanding foam or any other form of curable material that becomes solid, rigid or pliable, when set by chemical action, temperature change, oxidation, a curing means such as a light, or other curing regime. The mold balloon can be elastic or inelastic, depending on the degree to which the applicator is to conform its external surfaces to the vaginal contours. One or more lumina are provided in the set filler material to receive a radiation source, with additional lumina optionally provided for purposes such as drainage or administration of therapeutic agents. The applicator may be withdrawn and reinserted into the vagina during treatment, and selected tissues adjacent to the applicator are irradiated in accordance with a radiation prescription.
US07678039B2 Method of monitoring operation of a centrifugal separator using pressure measurement
Method for supervising a centrifugal separator with a separating chamber, a radially inner outlet connected to the separating chamber and to an outlet conduit, with a flow detector disposed in the outlet conduit and adapted to detecting a flow in the outlet conduit, and an intermittently openable radially outer outlet connected to the separating chamber. In order reliably and easily to supervise the intermittent discharge of the centrifugal separator, the pressure is measured by a sensor disposed in a portion of the centrifugal separator which is pressure-transmittingly connected to a central portion of the interior of the rotor. If the supervision unit does not detect a pressure drop pulse from the sensor within a predetermined period of time from when an intermittent discharge is initiated, an alarm signal is emitted.
US07678037B2 Process for assembling a bag
The invention provides a method of making a bag from a foldable pattern, comprising: a) inserting the foldable pattern into an assembly machine; b) placing a series of glue spots on designated surfaces of the pattern; c) folding the foldable pattern, in a sequence such that the resulting product is a finished bag with a glued bottom; and d) exiting the finished bag from the assembly machine.
US07678036B1 Ripple bottom pizza box and its associated method of construction
A ripple bottom pizza box and the method of creating a pizza box with such a ripple bottom. A pizza box blank is provided that is made of corrugated cardboard. The corrugated cardboard has parallel corrugation waves that traverse a flat bottom section pf the pizza box blank in a first direction. A roller is provided that has multiple parallel roller heads. The flat bottom section of the pizza box blank is advanced under the rollers. The parallel roller heads press parallel depressions into the flat bottom section in a direction perpendicular to the first direction of the corrugation waves. The result is that when the pizza box blank is folded into a pizza box, the bottom of the pizza box is contoured with parallel depression lines.
US07678035B2 Folded box gluing machine with improved accessibility
A folded box gluing machine for the processing of folded box blanks includes at least one feeder unit arranged to transport the folded box blanks to downstream processing stations including a folding station, a glue applicator unit, a transfer station, a gathering and pressing mechanism, and a safety mechanism for a machine component presenting a danger to the human operator defined by a light curtain. The safety mechanism can be deactivated via a mobile operator unit, and the operator unit is designed such that it can only be operated with both hands.
US07678030B2 Dumbbell retail/storage rack
A dumbbell retail/storage rack that has a first section with a bottom side that is designed to sit on a flat surface, and a top side that defines one or more dumbbell-receiving structures. Each of these structures has two spaced saddles for receiving the enlarged ends of a dumbbell. The retail/storage rack also has a second section that is integrally coupled to the first section and has a bottom that is essentially perpendicular to the bottom of the first section. This arrangement creates an “L”-shaped rack that can be placed on the bottom of either the first section or the second section, to display or to hold two or more dumbbells, as desired.
US07678028B1 Martial arts training device
A marital arts training device comprising a barrier for creating a target zone. In a preferred embodiment, the martial arts training device includes: a barrier support; at least one barrier mounted to the barrier support for creating a target zone; and a target located within the target zone.
US07678025B2 Variable geometry flexible support systems and methods for use thereof
An exercise apparatus comprises: a frame having a base portion and having first and second right support elements and first and second left support elements; a crank system comprising first and second crank coupling locations, the crank system being supported by the frame; a right foot support member; a left foot support member; a right guide element coupled to the right foot support member and; a left guide element coupled to the left foot support member; a first flexible support system comprising a first flexible element, the first flexible element coupled to the first and second right support elements and the right guide element and coupled to the first crank coupling location; and a second flexible support system comprising a second flexible element, the second flexible element coupled to the first and second left support elements and the left guide element and coupled to the second crank coupling location, wherein alternating motion of the right and left foot support members causes the first and second crank coupling locations to rotate.
US07678024B2 Trampoline
The invention comprises a trampoline including a flexible mat, a plurality of resiliently flexible rods each having a lower end retained in a frame of the trampoline and an enlarged upper end, and a plurality of fittings coupled to the mat about a periphery of the mat and including on or in an underside of each fitting a socket cavity which receives the upper end of a flexible rod so that the upper ends of the flexible rods are pivotally connected to the periphery of the mat, the fitting also including an entry into the socket cavity for the enlarged upper end of the flexible rod through an upper side of the fitting and a restricted entry towards the socket cavity for a part of the flexible rod below said enlarged upper end and through which the rod upper end cannot pass, to one side of the fitting and defined between opposed side or underside parts of the fitting.
US07678018B2 Method for implementing shifts from a source gear to a target gear of an automatic shift transmission, in particular downshifts of an automatic shift transmission
A method for implementing shifts from a source gear to a target gear of an automatic shift transmission includes storing a respective upshift threshold and a respective downshift threshold for each possible sequential gear shift. The respective upshift threshold and the respective downshift threshold are defined in dependence of an accelerator pedal position, such that a limit value that is valid for a respective upshift or downshift is stored as a vehicle speed value in a control device. The vehicle speed that changes while the motor vehicle is driving and a changing accelerator pedal position are measured. Downshifts are simplified for a driver by configuring at least two downshift thresholds to be substantially horizontally extending in a first region of a possible accelerator pedal position and at least partly step-shaped in a second region of the possible accelerator pedal position.
US07678016B2 Control apparatus for vehicle
A vehicle having, in a power transmission path, a continuously variable transmission mechanism and a clutch mechanism, whose torque capacity is smaller than that of the continuously variable transmission mechanism. The vehicle also has a control system to prevent a large torque capacity difference with the continuously variable transmission mechanism and clutch mechanism and to prevent an unnecessary slip of the clutch mechanism by setting an engagement force of the clutch mechanism based on the torque capacity of the continuously variable transmission mechanism. There is also avoided a decline of the learning accuracy of the clutch mechanism by prohibiting a learning control of the clutch mechanism when an engagement force of the clutch mechanism is set based on the torque capacity of the continuously variable transmission mechanism.
US07678012B2 Bicycle hub gearbox
A bicycle hub gearbox comprises a first planetary gear mechanism and a second planetary gear mechanism and a tubular linking member. The second planetary gear mechanism is operatively coupled with the first planetary gear mechanism. The tubular linking member include a first toothed ring part engaged with the first planetary gear mechanism at a first axial region and a second toothed ring part engaged with the second planetary gear mechanism at a second axial region such that a permanent synchronization between the at least two planetary gear mechanisms is achieved. The first and second toothed ring parts have different diameters and being axially spaced apart.
US07678011B2 8-speed transmission
The transmission has a plurality of members that can be utilized in powertrains to provide at least eight forward speed ratios and at least one reverse speed ratio. The transmission includes four planetary gear sets having five torque-transmitting devices and five fixed interconnections. The powertrain includes an engine and torque converter that is continuously connected to at least one of the planetary gear members and an output member that is continuously connected with another one of the planetary gear members. The five torque-transmitting devices provide interconnections between various gear members, and with a stationary member, and are operated in combinations of two to establish at least eight forward speed ratios and at least one reverse speed ratio.
US07678009B2 8-speed transmission
The transmission has a plurality of members that can be utilized in powertrains to provide eight forward speed ratios and one reverse speed ratio. The transmission includes three planetary gear sets having six torque-transmitting mechanisms and two fixed interconnections. The powertrain includes an engine and torque converter that is continuously connected to one of the planetary gear members and an output member that is continuously connected with another one of the planetary gear members. The six torque-transmitting mechanisms provide interconnections between various gear members, the transmission housing and with the input member, and are operated in combinations of three to establish eight forward speed ratios and one reverse speed ratio.
US07677996B2 Device for supplying a drive element
The invention relates to a device for supplying a hydraulic medium to a rotatably mounted drive element in a transmission case, the hydraulic medium being routed to a channel in the drive element by way of at least one channel in a coaxially adjacent element which corresponds to the drive element. Structurally favorable and reliable supply with hydraulic medium is achieved in that the drive element is nonrotatably connected to the other element and that the contact connection is at least one unthreaded conduit which is inserted tightly into the two channels and which extends directly from the element to the drive element.
US07677995B1 Expandable arrow broadhead with cutting blade locking notch
An expandable arrow broadhead used for releasable attachment to one end of a hollow arrow shaft. The broadhead includes a sliding shaft with a pointed tip and tip base having two or more of cutting blades mounted thereon. Each of the blades includes an outer cutting edge and an inner edge with a beveled cam surface and locking notch therein. A portion of the sliding shaft is slidably received inside a hollow collar. The collar is attached to a sliding shaft housing. The sliding shaft housing is attached to an arrow shaft insert. The arrow shaft insert is adapted for receipt inside one end of the arrow shaft. In a retracted position, the blades are disposed next to and parallel to the length of the sliding shaft. When the pointed tip engages a target, the sliding shaft moves inwardly and rearwardly sliding inside the collar and inside a sliding shaft bore hole in the sliding shaft housing. As the sliding shaft moves rearwardly, the beveled cam surface of the blades engages a portion of the collar, which moves the blades outwardly with locking notch securing the blades in an extended position.
US07677988B2 Training device for golfers
An apparatus for assisting in training players of golf includes a platform and a first coplanar foot support member and a second coplanar foot support member on the platform, with the first coplanar foot support member being fixed in position and the second coplanar foot support member able to be moved laterally and linearly on the platform relative to the first coplanar foot support member when a golf player places one foot on each of the two coplanar foot support members. A biasing device causes the second coplanar foot support member to be adjacent the first coplanar foot support member, so that in the absence of an externally applied force, the second coplanar foot member remains adjacent to the first coplanar foot support member.
US07677987B2 Putter head
A putter-type club head (20) having a main body (25) and a removable aft member (30) is disclosed herein. The putter-type club head (20) has a main body (25) that is preferably composed of an aluminum alloy. The removable aft member (30) is preferably composed of stainless steel and has a mallet shape.
US07677982B2 Dual channel vented boot
A constant velocity joint and a boot for a constant velocity joint are disclosed. The boot generally includes a first channel and a second channel. The first channel is in fluid communication with a joint chamber of the constant velocity joint. The first channel is also in communication with the second channel and generally allows airflow therethrough while resisting clogs from lubricant. Additionally, the second channel generally allows airflow therethrough to the atmosphere while resisting passage of foreign contaminants therethrough.
US07677980B2 Membrane compensating coupling and hole anchor connection
A membrane compensating coupling comprises a first compensating element made of fiber composite material with two membranes located opposite one another, a second compensating element and an intermediate tube inserted between these. The first and second compensating element in each case have on their side pointing towards the intermediate tube a radially inwardly directed connecting flange. The intermediate tube is releasably coupled to the connecting flanges. As a result, a membrane compensating coupling comprising at least two membranes made of fiber composite material is provided, which is easy to produce and install, at the same time has low inertias and allows large angle, radial and axial compensation and also a flexible axial length. A hole anchor connection which is particularly suitable for such couplings is also described.
US07677979B2 Method and system for allocating resources in a video game
The present disclosure provides various novel concepts to a video game environment. The disclosure describes video game environments that include a method and system for controlling the quantity and distribution of virtual natural resources, raw materials, skills and NPCs in and between games, where player characters may acquire, use and modify such virtual resources within the physical limits of the games.
US07677972B2 Gaming system and method for enabling a player to select progressive awards to try for and chances of winning progressive awards
The present disclosure provides a gaming device, a gaming system and a method for operating a gaming device or gaming system with a plurality of progressive awards. The gaming device enables a player to select one of the progressive awards. The player's selection of which progressive award to play for is based, at least in part, on a relative probability of the player winning the selected progressive award compared to the relative probabilities of the player winning the non-selected progressive awards. After selecting which award to play for, the gaming device either provides the selected progressive award to the player or modifies the relative probability that the player will win the selected progressive award with one or more of any award selections remaining. Such a configuration enables the player to strategically select which award to play for and the order that the player will play for the awards.
US07677971B2 Gaming system and method for enabling a player to select progressive awards to try for and chances of winning progressive awards
The present disclosure provides a gaming device, a gaming system and a method for operating a gaming device or gaming system with a plurality of progressive awards. The gaming device enables a player to select one of the progressive awards. The player's selection of which progressive award to play for is based, at least in part, on a relative probability of the player winning the selected progressive award compared to the relative probabilities of the player winning the non-selected progressive awards. After selecting which award to play for, the gaming device either provides the selected progressive award to the player or modifies the relative probability that the player will win the selected progressive award with one or more of any award selections remaining. Such a configuration enables the player to strategically select which award to play for and the order that the player will play for the awards.
US07677963B2 Structure for enclosing and isolating a packaging machine from an outside environment
An enclosing structure (S), in particular for enclosing and isolating outer environment of a packaging machine includes a plurality of enclosing panels (2), suitably and air-tightly assembled. At least one of the panels (2) defines a separating surface (1) for separating two different environments (A,B). Conveying means for conveying flows of purified air (F,F1,F2,F3,F4) are associated to the panel (2) to define, together therewith, a fluid-dynamic barrier, which avoids relative contamination between one environment (A) and the other environment (B).
US07677957B2 Polishing apparatus, method for providing and mounting a polishing pad in a polishing apparatus, and method for producing a substrate using the polishing apparatus
The present invention is a polishing pad used for polishing a semiconductor substrate, wherein, at least, grooves having a radial pattern are formed on a surface of the polishing pad, and so that a groove depth of the groove parts located nearer to the center than the substrate is shallower than a groove depth of the groove parts existing immediately below the substrate, and an intersection point where the grooves overlap each other at the central part of the radial pattern of the grooves does not exist immediately below the substrate. A method for processing the pad, and a method for producing a substrate using this pad are also disclosed.
US07677956B2 Compositions and methods for dielectric CMP
The invention is directed to a chemical-mechanical polishing composition comprising (a) an abrasive consisting essentially of aggregated silica, (b) an acid, and (c) a liquid carrier, wherein the polishing composition has a pH of about 5 or less. The invention is also directed to a method of polishing a substrate comprising a dielectric layer using the polishing composition.
US07677949B1 Construction kit for constructing a play structure
A construction kit for constructing a play structure. The play structure for providing children a place to play includes: a square-shaped, planar horizontal support member; plurality of legs; an octagonal-shaped, concave floor member; an octagonal-shaped, planar floor member; a plurality of vertical support members; a substantially convex ceiling member; two staircases; and a flag pole, removably coupleable to a top side of the ceiling member, and configured to hang a flag thereon.
US07677948B2 System and method for toy adoption and marketing
An Entertainment System in combination with a commercially purchased toy, wherein the system allows a toy user to register the toy online using a registration code, allowing the user access to various entertainment activities and scenarios in a “virtual world” including a virtual representation of the toy, via a computer connected to the Internet. The system then encourages the user to purchase additional toys to enjoy additional benefits using the Entertainment System. The Entertainment System including a database subsystem, a registration subsystem, a server subsystem, and a virtual world providing subsystem.
US07677947B2 Fabric diving stick
A diving stick of the type that, after being tossed into a swimming pool, sinks to the bottom while maintaining an upright posture so that it be can easily grasped by a diver. The stick includes a soft hollow shank designed to prevent accidental impalement. The stick also includes means for swapping components to vary the number of subsets of sticks within a set.
US07677944B2 Organic EL device manufacturing method and organic EL device
A transparent substrate on which a transparent electrode layer is formed is prepared. An insulating layer having a first pattern for exposing a light-emitting region and a first terminal portion and covering a second terminal portion is formed on the transparent electrode layer. Then, an organic layer is formed on the entire surface of the transparent electrode layer and the insulating layer. A reflection electrode layer having a second pattern for covering the light-emitting region and the second terminal portion and exposing the first terminal portion is formed on the organic layer. After that, an exposed portion of the organic layer is removed by dry etching to expose a region of the first electrode layer in the first terminal portion. Finally, a sealing film having a third pattern for covering the light-emitting region and exposing the first terminal portion and the second terminal portion is formed on the resultant transparent substrate, thereby exposing the first terminal portion and the second terminal portion.
US07677943B2 Manufacturing of a photo-radiation source by binding multiple light emitting chips without use of solder or wiring bonding
A method of manufacturing a photo-radiation source comprising the steps of providing a first planar conductor; disposing a formation of light emitting chips on the first planar conductor, each chip having a cathode and an anode, one of the cathode and anode of each chip being in contact with the first planar conductor. The method of manufacturing a photo-radiation source also includes the steps of disposing a second planar conductor on top of a formation of light emitting chips so that the second planar conductor is in contact with the other of the cathode and anode of each chip; and binding the first planar conductor to the second planar conductor to permanently maintain the formation of light emitting chips without the use of solder or wiring bonding for making an electrical and mechanical contact between the chips and either of the first planar conductor and the second planar conductor.