用于测量脉冲持续时间的装置

申请号 CN95118238.2 申请日 1995-10-31 公开(公告)号 CN1082667C 公开(公告)日 2002-04-10
申请人 大宇电子株式会社; 发明人 権五相;
摘要 一种脉冲延续时间测量装置,生成具有周期(T)的时钟脉冲串形式的一时钟 信号 且延迟该 时钟信号 并提供N-1个延迟的时钟信号,各延迟的时钟信号被延迟((T/N)*i),N为正整数且i为1至N-1的整数,在该脉冲(I)的延续时间(Y)内分别计数该时钟信号及各延迟的时钟信号中的时钟脉冲数并提供各计数值。检测各该计数值中的一个最大计数值(n)并基于该值(n)计算输入脉冲信号(I)的延续时间(Y)。
权利要求

1.一种用于测量一输入脉冲信号(I)的持续时间(Y)的装置,包括:用于生成一具有周期(T)的时钟脉冲串形式的时钟信号的装置;用于延迟该时钟信号并提供N-1个延迟的时钟信号的装置,各延迟的时钟信号被延迟一个延迟时间((T/N)*i),N为大于1的正整数而i为1至N-1中的一个整数;用于在该输入脉冲信号(I)的持续时间(Y)内分别计数包含于该时钟信号及各延迟的时钟信号内的时钟脉冲的数目并提供各计数值的装置;及用于检测由该计数装置提供的各计数值中最大的一个计数值(n)并基于该计数值(n)计算该输入脉冲信号(I)的持续时间(Y)的装置,其中输入脉冲信号(I)的持续时间(Y)如下计算:Y=(n-1)*T+p*T/N其中p是馈自该计数装置的各计数值中该最大计数值(n)的数目。
2.如权利要求1的装置,其特征在于所述计算装置是算术逻辑单元

说明书全文

用于测量脉冲持续时间的装置

发明涉及一种时间测量装置,更具体说,涉及一种能够精确地测量输入信号的脉冲持续时间的装置。

一般地,一输入脉冲信号的持续时间是通过使用一计数器来测量的。当将该输入脉冲信号提供给计数器的使能端并将一个以具有周期(T)时钟脉冲串形式的时钟信号提供给计数器时,该计数器计数在该输入脉冲信号的持续时间内包含在该时钟信号的上升沿或下降沿的数目。然后,通过将该时钟脉冲周期(T)与该计数到的时钟脉冲的数目相乘而确定该输入脉冲信号的持续时间。

在上述方案中,被测输入脉冲持续时间的精确性被时钟脉冲周期(T)固有地限制了,因此,为了保证测量的精确性或可靠性,不可避免地要使用具有能够提供所需测量精度的较短脉冲周期的时钟信号,而这从经济的度看是不可取的。

因此,本发明的一个主要目的即在于提供一种用于通过使用一简单的延迟电路精确地测量一输入脉冲持续时间的改进的装置。

根据本发明,提供了一种用于测量一输入脉冲信号(I)的持续时间(Y)的装置,其包括:一个时钟,用于生成一个具有周期(T)的时钟脉冲串形式的时钟信号;一个延迟单元;用于将该时钟信号延迟并提供N-1个延迟的时钟信号,各延迟的时钟信号被延迟一个延迟时间((T/N)*i),N为大于1的正整数而i为从1至N-1的一个整数;一个计数单元,用于在输入脉冲信号(I)的持续时间(Y)内分别计数包含在该时钟信号和各延迟的时钟信号中的时钟脉冲数并提供该各计数值;以及一个计算器,用于在由该计数单元提供的各计数值中检测一个最大计数值并基于该最大计数值(n)计算该输入脉冲信号(I)的持续时间(Y)。

通过以下结合附图对优选实施例的说明,本发明的上述及其它目的和特征将更为明了。

图1表示根据本发明的一种用于测量一输入脉冲信号的持续时间的装置的电路框图;图2给出了由示于图1的各元件生成的时间曲线。

参看图1,其示出了根据本发明的一脉冲持续时间测量装置的方框图,该创造性的脉冲持续时间测量装置包括一个时钟(CLK)单元150,一时间延迟单元200,一计数单元300以及一算数逻辑单元(ALU)400。

参看图2A至2M,其示出了由示于图1的各元件生成的时序图。

下面将参照图2A至2M说明该脉冲持续时间测量装置的细节。

一个如图2A所示具有在点t0的上升沿和在点t17的下降沿的输入脉冲信号(I)被输入给计数单元300和算术逻辑单元400。

该CLK单元100生成一个时钟信号,其是具有周期(T)的一时钟脉冲串形式的,如图2B所示。该时钟信号被提供给时间延迟单元200和计数单元300。

该时间延迟单元200包括N-1(例如5)个时间延迟元件,其中该各时间延迟元件以一种级联的方式连接且N为一个正整数(例如6)。图2C至2G示出了从第1至第5时间延迟单元(D1至D5)201至205提供的延迟的时钟信号的示例性时序图。

首先,如图2C所示,该第1时间延迟元件(D1)201将该时钟信号延迟一延迟时间(T/N)并将一第一延迟的时钟信号提供给下一时间延迟元件即第2时间延迟元件(D2)202及该计数单元300。接着,如图2D所示,该第2时间延迟元件(D2)202将该第一延迟的时钟信号延迟该延迟时间(T/N)并将一第二延迟的时钟信号提供给第3时间延迟单元(D3)203及该计数单元300。后面的时间延迟元件(D3至D5)203至205的功能与第1和第2时间延迟元件(D1和D2)201、202相同。

该计数单元300包括N个(例如6个)以并联方式连接的计数器,其中第M个计数器(CM)对应于第M-1个时间延迟元件(DM-1)。第2至第6计数器(C2至C6)302至306中的每个分别接收来自相应时间延迟元件的延迟的时钟信号,但第1计数器(C1)301接收来自CLK单元100的时钟信号。该输入脉冲信号(I)被提供给各计数器(C1至C6)301至306的使能端(E)。

计数单元300在输入脉冲信号(I)的上升沿点t0及下降沿点t17之间计数在该时钟信号及各延迟的时钟信号中包含的时钟脉冲的数目。图2H至2M分别示出了来自第1至第6计数器(C1至C6)301至306的各计数值的示例性时序图。

各计数器在时钟脉冲的上升沿执行计数操作并生成一个当前计数值直到出现下一上升沿。具体地,如图2 H所示,第1计数器(C1)301在馈自CLK单元100的时钟信号的时钟脉冲的第一上升沿点t6计数计数值1并生成该计数值1直到第二上升沿点t12。接着,在该输入脉冲信号(I)的下降沿点t17,该第1计数器(C1)301停止计数操作且其生成的计数值2保持不变。

第2计数器(C2)302在馈自第1时间延迟元件(D1)201的第一延迟的时钟信号的时钟脉冲的第一上升沿点t1生成计数值1、在第二上升沿点t生成计数值2且在第三上升沿点t13生成计数值3,如图2I所示。后面各计数器(C3至C6)303至306的功能与第1和第2计数器(C1和C2)301和302相同。

来自计数器(C1至C6)301至306的各计数值被提供给算术逻辑单元400。

该算术逻辑单元400接收该输入脉冲信号(I)和来自计数单元300的计数值。

如从图2H至2M可看出的,在输入脉冲信号(I)的下降沿点t17之后,来自各计数器(C1至C6)301至306的计数值会有两种n及n-1,例如3和2。该算术逻辑单元检测在馈自计数单元300的各计数值中最大的计数值(n)及提供该最大计数值(n)的计数器的数目(p)。基于该检测,确定最大计数值(n)为3且计数器数目(p)为3。相应地,该算术逻辑单元单元400如下计算输入脉冲信号(I)的持续时间(Y):Y=(n-1)*T+p*T/N=(3-1)*T+3*T/6=2.5T从上式可以看出,利用本发明的持续时间测量装置计算出的该输入脉冲信号(I)的持续时间(Y)为2.5T,其几乎与该输入脉冲信号(I)的实际持续时间相同,而利用已有装置算出的值则为2T。

虽然以上结合特定实施例描述了本发明,但对本领域技术人员来说,显然可以不脱离由权利要求限定的本发明的范围而做出各种变形修改

QQ群二维码
意见反馈