Document Document Title
US08981575B2 Semiconductor package structure
A semiconductor package structure includes: a dielectric layer; a metal layer disposed on the dielectric layer and having a die pad and traces, the traces each including a trace body, a bond pad extending to the periphery of the die pad, and an opposite trace end; metal pillars penetrating the dielectric layer with one ends thereof connecting to the die pad and the trace ends while the other ends thereof protruding from the dielectric layer; a semiconductor chip mounted on the die pad and electrically connected to the bond pads through bonding wires; and an encapsulant covering the semiconductor chip, the bonding wires, the metal layer, and the dielectric layer. The invention is characterized by disposing traces with bond pads close to the die pad to shorten bonding wires and forming metal pillars protruding from the dielectric layer to avoid solder bridging encountered in prior techniques.
US08981574B2 Semiconductor package
A semiconductor package is provided comprising a package substrate having an opening located in a central region thereof and a circuit pattern provided adjacent to the opening. A first semiconductor chip is located on the package substrate and includes first bonding pads. A pair of second semiconductor chips are spaced apart from each other across the opening and mounted between the package substrate and the first semiconductor chip. Each of the second semiconductor chips includes a second bonding pad. A connection element is further provided to electrically connect the second bonding pad to a corresponding one of the first bonding pads.
US08981572B1 Conductive pad on protruding through electrode semiconductor device
To form a semiconductor device, a through electrode is formed in a semiconductor die, and a dielectric layer is then formed to cover the through electrode. The dielectric layer has an opening by being partially etched to allow the through electrode to protrude to the outside, or has a thickness thinner overall so as to allow the through electrode to protrude to the outside. Subsequently, a conductive pad is formed on the through electrode protruding to the outside through the dielectric layer by using an electroless plating method.
US08981570B2 Through-holed interposer, packaging substrate, and methods of fabricating the same
A through-holed interposer is provided, including a board body, a conductive gel formed in the board body, and a circuit redistribution structure disposed on the board body. The conductive gel has one end protruding from a surface of the board body, and an area of the protruded end of the conductive gel that is in contact with other structures (e.g., packaging substrates or circuit structures) is increased, thereby strengthening the bonding of the conductive gel and reliability of the interposer.
US08981568B2 Simulated wirebond semiconductor package
A semiconductor package with simulated wirebonds. A substrate is provided with a plurality of first pads on a first surface and a plurality of second pads on a second surface. Each of the first pads are electrically coupled to one or more of the second pads. At least one semiconductor device is located proximate the first surface of a substrate. The simulated wirebonds include at least a first dielectric layer selectively printed to create a plurality of recesses, and a conductive material located in the recesses to form first and second contact pads, and electrical traces electrically coupling the first and second contact pads. The first contact pads are electrically coupled to terminals on the semiconductor device and the second contact pads are electrically coupled to the first pads on the first surface of the substrate. An overmolding material seals the semiconductor device and the simulated wirebonds.
US08981566B2 Discrete semiconductor device package and manufacturing method
Disclosed is a discrete semiconductor device package (100) comprising a semiconductor die (110) having a first surface and a second surface opposite said first surface carrying a contact (112); a conductive body (120) on said contact; an encapsulation material (130) laterally encapsulating said conductive body; and a capping member (140, 610) such as a solder cap, a further semiconductor die or a combination thereof in conductive contact with the solder portion, said solder cap extending over the encapsulation material. A further solder cap (150) may be provided over the first surface. A method of manufacturing such a discrete semiconductor device package is also disclosed.
US08981565B2 Techniques to form uniform and stable silicide
In one aspect, a method of fabricating a metal silicide includes the following steps. A semiconductor material selected from the group consisting of silicon and silicon germanium is provided. A metal(s) is deposited on the semiconductor material. A first anneal is performed at a temperature and for a duration sufficient to react the metal(s) with the semiconductor material to form an amorphous layer including an alloy formed from the metal(s) and the semiconductor material, wherein the temperature at which the first anneal is performed is below a temperature at which a crystalline phase of the alloy is formed. An etch is used to selectively remove unreacted portions of the metal(s). A second anneal is performed at a temperature and for a duration sufficient to crystallize the alloy thus forming the metal silicide. A device contact and a method of fabricating a FET device are also provided.
US08981563B2 Semiconductor device and method of manufacturing the same
A semiconductor device includes a first interconnect, a porous dielectric layer formed over the first interconnect, a second interconnect buried in the porous dielectric layer and electrically connected to the first interconnect, and a carbon-containing metal film that is disposed between the porous dielectric layer and the second interconnect and isolates these layers.
US08981558B2 Semiconductor device
A semiconductor device includes a multi-level wiring structure that includes a first wring layer, a plurality of first patterns, and a first mark. The first wring layer is disposed at a first wiring level of the multi-level wiring structure. The plurality of first patterns is disposed over the first wring layer. The plurality of first patterns is disposed at a second wiring level of the multi-level wiring structure. The second wiring level is above the first wiring level. The plurality of first patterns is disposed over the first wring layer. The plurality of first patterns is disposed at a second wiring level of the multi-level wiring structure. The second wiring level is above the first wiring level. The first mark is disposed over the first wring layer. The first mark is disposed at a third wiring level. The third wiring level is above the second wiring level.
US08981557B2 Method for forming photovoltaic cell, and resulting photovoltaic cell
A photovoltaic cell manufacturing method is disclosed. Methods include manufacturing a photovoltaic cell having a selective emitter and buried contact (electrode) structure utilizing nanoimprint technology. The methods include providing a semiconductor substrate having a first surface and a second surface opposite the first surface; forming a first doped region in the semiconductor substrate adjacent to the first surface; performing a nanoimprint process and an etching process to form a trench in the semiconductor substrate, the trench extending into the semiconductor substrate from the first surface; forming a second doped region in the semiconductor substrate within the trench, the second doped region having a greater doping concentration than the first doped region; and filling the trench with a conductive material. The nanoimprint process uses a mold to define a location of an electrode line layout.
US08981556B2 Jet impingement cooling apparatuses having non-uniform jet orifice sizes
Jet impingement cooling apparatuses having non-uniformly sized jet orifices for producing an array of impingement jets that impinge a target surface are disclosed. In one embodiment, a cooling apparatus includes at least one fluid inlet channel, at least one fluid outlet channel, a target surface, and a jet orifice surface that is offset from the target surface. The jet orifice surface includes an array of jet orifices fluidly coupled to the at least one fluid inlet channel, wherein each individual jet orifice of the array of jet orifices has an area corresponding to a distance of the individual jet orifice to the at least one fluid outlet channel such that individual jet orifices closer to the at least one fluid outlet have an area that is smaller than individual jet orifices further from the at least one fluid outlet. Power electronics modules are also disclosed.
US08981554B2 Semiconductor package having heat spreader and method of forming the same
A lower package includes a semiconductor chip. A first upper package and a second upper package are disposed on the lower package. A heat spreader is disposed on the lower package. The heat spreader includes an upper plate and an extension part connected to the upper plate. At least a part of each of the first and second upper packages vertically overlaps the semiconductor chip. The upper plate may be arranged on the first upper package and the second upper package. The extension part may be arranged between the first upper package and the second upper package. The extension part has a vertical height that is greater than its horizontal width.
US08981548B2 Integrated circuit package system with relief
An integrated circuit package system including: providing a die pad with a top, sides, and a bottom, the bottom having a relief with a flat surface and defining a wall and a center pad; mounting a barrier under the bottom of the die pad; mounting an integrated circuit die on the top of the die pad; encapsulating the integrated circuit die and the top and sides of the die pad with the wall preventing encapsulation from flowing along the barrier to reach the center pad; and mounting an external interconnect on the center pad.
US08981547B2 Stub minimization for multi-die wirebond assemblies with parallel windows
A microelectronic assembly 5 can include first and second microelectronic packages 10a, 10b mounted to respective first and second opposed surfaces 61, 62 of a circuit panel 60. Each microelectronic package 10a, 10b can include a substrate 20 having first and second apertures 26a, 26b extending between first and second surfaces 21, 22 thereof, first and second microelectronic elements 30a, 30b each having a surface 31 facing the first surface of the substrate and a plurality of contacts 35 exposed at the surface of the respective microelectronic element and aligned with at least one of the apertures, and a plurality of terminals 25a exposed at the second surface in a central region 23 thereof. The apertures 26a, 26b of each substrate 20 can have first and second parallel axes 29a, 29b extending in directions of the lengths of the respective apertures. The central region 23 of the second surface 22 of each substrate 20 can be disposed between the first and second axes 29a, 29b of the respective substrate 20.
US08981542B2 Semiconductor power module and method of manufacturing the same
A semiconductor power module according to the present invention includes a base member, a semiconductor power device having a surface and a rear surface with the rear surface bonded to the base member, a metal block, having a surface and a rear surface with the rear surface bonded to the surface of the semiconductor power device, uprighted from the surface of the semiconductor power device in a direction separating from the base member and employed as a wiring member for the semiconductor power device, and an external terminal bonded to the surface of the metal block for supplying power to the semiconductor power device through the metal block.
US08981537B2 Reversible top/bottom MEMS package
A semiconductor device has a base substrate having a plurality of metal traces and a plurality of base vias. An opening is formed through the base substrate. At least one die is attached to the first surface of the substrate and positioned over the opening. A cover substrate has a plurality of metal traces. A cavity in the cover substrate forms side wall sections around the cavity. The cover substrate is attached to the base substrate so the at least one die is positioned in the interior of the cavity. Ground planes in the base substrate are coupled to ground planes in the cover substrate to form an RF shield around the at least one die.
US08981532B2 Semiconductor device and manufacturing method thereof
In a semiconductor device, the thickness of an insulating film formed in a through hole is reduced, while an annular groove having an insulating material embedded therein is provided so as to ensure a sufficient total thickness of the insulator, whereby a through silicon via is provided with an insulating ring which is improved in both processability and functionality.
US08981531B2 Composite wafer and method for manufacturing the same
A composite wafer 10 includes a supporting substrate 12 and a semiconductor substrate 14 which are bonded to each other by direct bonding. The supporting substrate 12 is a translucent alumina substrate with an alumina purity of 99% or more. The linear transmittance of the supporting substrate 12 at the visible light range is 40% or less. Furthermore, the total light transmittance from the front at a wavelength of 200 to 250 nm of the supporting substrate 12 is 60% or more. The average crystal grain size of the supporting substrate 12 is 10 to 35 μm. The semiconductor substrate 14 is a single crystal silicon substrate. Such a composite wafer 10 has insulation performance and thermal conduction comparable to those of a SOS wafer, can be manufactured at low cost, and can be easily made to have a large diameter.
US08981528B2 GaN-based Schottky diode having partially recessed anode
A semiconductor device such as a Schottky diode is provided which includes a substrate, a first active layer disposed over the substrate and a second active layer disposed on the first active layer. The second active layer has a higher bandgap than the first active layer such that a two-dimensional electron gas layer arises between the first active layer and the second active layer. A first electrode has a first portion disposed in a recess in the second active layer and a second portion disposed on the second active layer such that a Schottky junction is formed therewith. A second electrode is in contact with the first active layer. The second electrode establishes an ohmic junction with the first active layer.
US08981523B2 Programmable fuse structure and methods of forming
Methods of forming an electrically programmable fuse (e-fuse) structure and the e-fuse structure are disclosed. Various embodiments of forming the e-fuse structure include: forming a dummy poly gate structure to contact a surface of a silicon structure, the dummy poly gate structure extending only a part of a length of the silicon structure; and converting an unobstructed portion of the surface of the silicon structure to silicide to form a thinned strip of the silicide between two end regions.
US08981522B2 Nonvolatile semiconductor storage device and method of manufacturing the same
A nonvolatile semiconductor storage device includes a substrate; an isolation film extending in a first direction and dividing the substrate into element regions; a cell string including memory cells in the element regions; a cell unit including the cell string and a select transistor on first directional ends of the cell string; diffusion layers formed in a portion of the element region first directionally beside the select gate electrode, the diffusion layers being adjacent to one another in a second direction intersecting with the first direction; and contacts extending through an interlayer insulating film and contacting the diffusion layers. An upper surface of the isolation film located between the diffusion layers is lower than an upper surface of the substrate. A laminate of silicon oxide film and a silicon nitride film are located above the upper surface of the isolation film and below the upper surface of the substrate.
US08981521B1 Lateral bipolar junction transistor and fabrication method thereof
Provided is a lateral BJT including a substrate, a well region, an area, at least one lightly doped region, a first doped region, and a second doped region. The substrate is of a first conductivity type. The well region is of a second conductivity type and is in the substrate. The area is in the well region. The at least one lightly doped region is in the well region below the area. The first doped region and the second doped region are of the first conductivity type and are in the well region on both sides of the area. The first doped region is connected to a cathode. The second doped region is connected to an anode, wherein the doping concentration of the at least one lightly doped region is lower than that of each of the first doped region, the second doped region, and the well region.
US08981514B2 Semiconductor package having a blocking pattern between a light transmissive cover and a substrate, and method for fabricating the same
A semiconductor package includes a light transmissive cover having a conductive pattern, a substrate having a cavity, a semiconductor chip in the cavity of the substrate and electrically connected to the conductive pattern arranged on the light transmissive cover, and a blocking pattern between the light transmissive cover and the substrate.
US08981513B2 Electrical circuit and method for producing an electrical circuit
An electrical circuit includes a solar cell that has a photovoltaically active front side and a back side. An electronic or micromechanical component is arranged on the back side of the solar cell and is electrically connected to the photovoltaically active front side of the solar cell by a contact-making structure. The electrical circuit also includes a transparent first protective layer that is arranged on the photovoltaically active front side of the solar cell. The contact-making structure has a first contact-making section that is arranged on a front side of the first protective layer facing away from the solar cell.
US08981509B2 Solid-state imaging device and manufacturing method of solid-state imaging device
A light receiving layer is formed with an array of photodiodes for accumulating signal charge produced by photoelectric conversion of incident light. A wiring layer provided with electrodes and wiring for controlling the photodiodes is formed behind the light receiving layer in a traveling direction of the incident light. In the light receiving layer, there is formed a projection and depression structure in which a pair of inclined surfaces have symmetric inclination directions and each inclined surface corresponds to each photodiode. Each inclined surface makes the incident light enter each photodiode by a light amount corresponding to an incident angle.
US08981508B2 Magnetic field sensor
A magnetic field sensor having a support with a top side and a bottom side, whereby a Hall plate is provided on the top side of the support and the Hall plate comprises a carbon-containing layer.
US08981507B2 Method for manufacturing nonvolatile memory device
According to one embodiment, a method for manufacturing a nonvolatile memory device including a plurality of memory cells is disclosed. Each of the plurality of memory cells includes a base layer including a first electrode, a magnetic tunnel junction device provided on the base layer, and a second electrode provided on the magnetic tunnel junction device. The magnetic tunnel junction device includes a first magnetic layer, a tunneling barrier layer provided on the first magnetic layer, and a second magnetic layer provided on the tunneling barrier layer. The method can include etching a portion of the second magnetic layer and a portion of the first magnetic layer by irradiating gas clusters onto a portion of a surface of the second magnetic layer or a portion of a surface of the first magnetic layer.
US08981504B2 Vertical hall sensor with series-connected hall effect regions
A vertical Hall sensor includes first and second vertical Hall effect regions in a semiconductor substrate, with first and second pluralities of contacts arranged at one side of the first or second vertical Hall effect regions, respectively. The second vertical Hall effect region is connected in series with the first vertical Hall effect region regarding a power supply. The vertical Hall sensor further includes first and second layers adjacent to the first and second vertical Hall effect regions at a side other than a side of the first or second pluralities of contacts. The first and second layers have different doping properties than the first and second vertical Hall effect regions and insulate the first and second vertical Hall effect regions from a bulk of the semiconductor substrate by at least one reverse-biased p-n junction per vertical Hall effect region during an operation of the vertical Hall sensor.
US08981503B2 STT-MRAM reference layer having substantially reduced stray field and consisting of a single magnetic domain
An STT MTJ cell is formed with a magnetic anisotropy of its free and reference layers that is perpendicular to their planes of formation. The reference layer of the cell is an SAF multilayered structure with a single magnetic domain to enhance the bi-stability of the magnetoresistive states of the cell. The free layer of the cell is etched back laterally from the reference layer, so that the fringing stray field of the reference layer is no more than 15% of the coercivity of the free layer and has minimal effect on the free layer.
US08981500B2 Method for producing an optical window device for a MEMS device
A method for producing an optical window device for a MEMS device, including applying a layer made of a transparent material onto a substrate having a recess, and deforming the layer so that it is folded and the deformed area of the layer forms an optical window.
US08981497B2 Chip package structure and method for forming the same
A chip package structure and a method for forming the chip package structure are disclosed. At least a block is formed on a surface of a cover, the cover is mounted on a substrate having a sensing device formed thereon for covering the sensing device, and the block is disposed between the cover and the sensing device. In the present invention, the block is mounted on the cover, there is no need to etch the cover to form a protruding portion, and thus the method of the present invention is simple and has low cost.
US08981492B2 Methods of forming an e-fuse for an integrated circuit product and the resulting integrated circuit product
An integrated circuit product is disclosed that includes a resistor body and an e-fuse body positioned on a contact level dielectric material, wherein the resistor body and the e-fuse body are made of the same conductive material, a first plurality of conductive contact structures are coupled to the resistor body, conductive anode and cathode structures are conductively coupled to the e-fuse body, wherein the first plurality of conductive contact structures and the conductive anode and cathode structures are made of the same materials.
US08981491B1 Memory array having improved radiation immunity
A memory array having improved radiation immunity is described. The memory array comprises a plurality of memory elements, each memory element having an p-type transistor formed in an n-type region; and a plurality of p-wells, each p-well having an n-type transistor coupled to a corresponding p-type transistor to form a memory element of the plurality of memory elements; wherein each p-well provides a p-n junction to dissipate minority charge in a portion of the n-type region occupied by a corresponding p-type transistor and associated with at least two adjacent memory elements. A method of implementing a memory array is also described.
US08981490B2 Transistor with deep Nwell implanted through the gate
A method of fabricating a CMOS integrated circuit (IC) includes implanting a first n-type dopant at a first masking level that exposes a p-region of a substrate surface having a first gate stack thereon to form NLDD regions for forming n-source/drain extension regions for at least a portion of a plurality of n-channel MOS (NMOS) transistors on the IC. A p-type dopant is implanted at a second masking level that exposes an n-region in the substrate surface having a second gate stack thereon to form PLDD regions for at least a portion of a plurality of p-channel MOS (PMOS) transistors on the IC. A second n-type dopant is retrograde implanted including through the first gate stack to form a deep nwell (DNwell) for the portion of NMOS transistors. A depth of the DNwell is shallower below the first gate stack as compared to under the NLDD regions.
US08981489B2 Semiconductor devices including a resistor structure and methods of forming the same
Semiconductor devices including a resistor structure is provided. The semiconductor device may include a gate structure on an active region, a resistor structure on a field region and a first interlayer insulating layer on the gate structure and the resistor structure. The semiconductor devices may also include a resistor trench plug vertically penetrating through the first interlayer insulating layer and contacting the resistor structure and a second interlayer insulating layer on the first interlayer insulating layer and the resistor trench plug. Further, the semiconductor devices may include a resistor contact plug vertically penetrating through the first and second interlayer insulating layers and contacting the resistor structure.
US08981487B2 Fin-shaped field-effect transistor (FinFET)
A method for fabricating fin-shaped field-effect transistor (FinFET) is disclosed. The method includes the steps of: providing a substrate; forming a fin-shaped structure in the substrate; forming a shallow trench isolation (STI) on the substrate and around the bottom portion of the fin-shaped structure; forming a first gate structure on the STI and the fin-shaped structure; and removing a portion of the STI for exposing the sidewalls of the STI underneath the first gate structure.
US08981486B2 CMOS circuit and method for fabricating the same
A semiconductor device includes a semiconductor substrate and a gate insulation layer formed over the semiconductor substrate. A gate electrode is formed over the gate insulation layer. The gate electrode includes a silicon-containing electrode including a dopant, a capturing material to capture the dopant, and an activation control material to control an activation of the dopant.
US08981479B2 Multi-gate semiconductor devices and methods of forming the same
A multi-gate semiconductor device and method for forming the same. A multi-gate semiconductor device is formed including a first fin of a first transistor formed on a semiconductor substrate having a first dopant type. The first transistor has a doped channel region of the first dopant type. The device also includes a second fin of a second transistor formed on the first dopant type semiconductor substrate. The second transistor has a doped channel region of a second dopant type. The device further includes a gate electrode layer of the second dopant type formed over the channel region of the first fin and a gate electrode layer of the first dopant type formed over the channel region of the second fin.
US08981478B2 Recessed source and drain regions for FinFETs
Semiconductor devices and methods that include forming a fin field effect transistor by defining a fin hardmask on a semiconductor layer, forming a dummy structure over the fin hardmask to establish a planar area on the semiconductor layer, removing a portion of the fin hardmask that extends beyond the dummy structure, etching a semiconductor layer adjacent to the dummy structure to produce recessed source and drain regions, removing the dummy structure, etching the semiconductor layer in the planar area to produce fins, and forming a gate stack over the fins.
US08981475B2 Lateral diffusion metal oxide semiconductor (LDMOS)
A lateral diffusion metal oxide semiconductor (LDMOS) comprises a semiconductor substrate having an STI structure in a top surface of the substrate, a drift region below the STI structure, and a source region and a drain region on opposite sides of the STI structure. A gate conductor is on the substrate over a gap between the STI structure and the source region and partially overlaps the drift region. A conformal dielectric layer is on the top surface and forms a mesa above the gate conductor. The conformal dielectric layer has a conformal etch-stop layer embedded therein. Contact studs extend through the dielectric layer and the etch-stop layer, and are connected to the source region, drain region, and gate conductor. A source electrode contacts the source contact stud, a gate electrode contacts the gate contact stud, and a drain electrode contacts the drain contact stud. A drift electrode is over the drift region.
US08981474B2 Semiconductor device
A semiconductor device formed on a silicon-on-insulator substrate includes a gate electrode, a gate insulation film, a drain diffusion region, a drift region, a body region, a plurality of source diffusion regions, and a plurality of charge collection diffusion regions. The source diffusion regions and charge collection diffusion regions are of mutually opposite conductivity types, and alternate with one another in the direction paralleling the width of the gate electrode. The half-width of each source diffusion region is equal to or less than the length of the gate electrode plus the half-length of the drift region.
US08981470B2 Semiconductor device and manufacturing method of the same
The performance of power semiconductor device of partial gate type structure may be improved by providing the source region only adjacent the gate electrodes in the structure, and providing the contact spaced from the gate by the source. The device includes a plurality of field plate electrodes which extend inwardly of the drift layer, a second field plate electrode disposed between the contact and one of the first field plate electrodes, and a gate electrode located between the source and a second one of the first field plate electrode.
US08981467B2 Semiconductor device having vertical-type channel
A semiconductor device includes an active region including a surface region and a first recess formed on both sides of the surface region, the active region extending along a first direction; a device isolation structure surrounding the active region; a pair of gate lines extending along the surface region of the active region in a second direction perpendicular to the first direction; a plurality of second recesses formed in the device isolation structure beneath the gate lines and including given portions of the gate lines filled into the second recesses; a plurality of first junction regions formed in the active region beneath the first recesses; and a second junction region formed in the surface region between the gate lines, wherein the second junction region defines at least two vertical-type channels below the gate line with the plurality of first junction regions.
US08981462B2 Semiconductor device
According to one embodiment, a semiconductor device includes a semiconductor layer having an opening formed therein, a first insulating layer disposed on a bottom surface of the opening and on a sidewall of the opening, a second insulating layer disposed on the sidewall of the opening above the first insulating layer, the second insulating layer being thinner than the first insulating layer, a field plate electrode disposed on the first insulating layer and the second insulating layer and having a recess extending from an upper surface of the field plate electrode towards the bottom surface of the opening, and a first layer disposed in the recess and including a material that is different from a material of the field plate electrode.
US08981458B2 Three-dimensional semiconductor devices and methods of fabricating the same
A three-dimensional semiconductor device includes an upper structure on a lower structure, the upper structure including conductive patterns, a semiconductor pattern connected to the lower structure through the upper structure, and an insulating spacer between the semiconductor pattern and the upper structure, a bottom surface of the insulating spacer being positioned at a vertical level equivalent to or higher than an uppermost surface of the lower structure.
US08981452B2 Methods and devices for forming nanostructure monolayers and devices including such monolayers
Methods for forming or patterning nanostructure arrays are provided. The methods involve formation of arrays on coatings comprising nanostructure association groups, formation of arrays in spin-on-dielectrics, solvent annealing after nanostructure deposition, patterning using resist, and/or use of devices that facilitate array formation. Related devices for forming nanostructure arrays are also provided, as are devices including nanostructure arrays (e.g., memory devices). Methods for protecting nanostructures from fusion during high temperature processing also are provided.
US08981451B2 Semiconductor memory devices
A semiconductor memory device includes a substrate, a well region in the substrate, a patterned first dielectric layer on the substrate extending over the well region, a patterned first gate structure on the patterned first dielectric layer, a patterned second dielectric layer on the patterned first gate structure, and a patterned second gate structure on the patterned second dielectric layer. The patterned first gate structure includes a first section extending in a first direction and a second section extending in a second direction orthogonal to the first section, the first section and the second section intersecting each other in a cross pattern. The patterned second gate structure includes at least one of a first section extending in the first direction over the first section of the patterned first gate structure or a second section extending in the second direction over the second section of the patterned first gate structure.
US08981449B2 Extremely thin semiconductor on insulator (ETSOI) logic and memory hybrid chip
A method of forming a semiconductor device that includes providing a logic device on a semiconductor on insulating layer of a transfer substrate. The transfer substrate may further include a dielectric layer and a first handle substrate. A second handle substrate may be contacted to the semiconductor on insulating layer of the transfer substrate that includes logic device. The first handle substrate may be removed to expose the dielectric layer. A memory device can then be formed on the dielectric layer. Interconnect wiring can then be formed connecting the logic device with the memory device.
US08981448B2 Variable resistance memory device with shunt gate connected to corresponding gate
A variable resistance memory device includes a semiconductor substrate having a vertical transistor with a shunt gate that increases an area of a gate of the vertical transistor.
US08981445B2 Analog floating-gate memory with N-channel and P-channel MOS transistors
An analog floating-gate electrode in an integrated circuit, and method of fabricating the same, in which trapped charge can be stored for long durations. The analog floating-gate electrode is formed in a polycrystalline silicon gate level, doped n-type throughout its length, and includes portions serving as gate electrodes of n-channel and p-channel MOS transistors; a plate of a metal-to-poly storage capacitor; and a plate of poly-to-active tunneling capacitors. The p-channel MOS transistor includes a buried channel region, formed by way of ion implantation, disposed between its source and drain regions. Silicide-block silicon dioxide blocks the formation of silicide cladding on the electrode, while other polysilicon structures in the integrated circuit are silicide-clad.
US08981443B2 Semiconductor device, display device, and electronic appliance
In case the size of the transistor is enlarged, power consumption of the transistor is increased. Thus, the present invention provides a display device capable of preventing a current from flowing to a display element in signal writing operation without varying potentials of power source lines for supplying a current to the display element per row. In setting a gate-source voltage of a transistor by applying a predetermined current to the transistor, a potential of a gate terminal of the transistor is adjusted so as to prevent a current from flowing to a load connected to a source terminal of the transistor. Therefore, a potential of a wire connected to the gate terminal of the transistor is differentiated from a potential of a wire connected to a drain terminal of the transistor.
US08981442B2 Semiconductor magnetic field sensors
A semiconductor magnetic field sensor comprising a semiconductor well on top of a substrate layer is disclosed. The semiconductor well includes a first current collecting region and a second current collecting region and a current emitting region placed between the first current collecting region and the second current collecting region. The semiconductor well also includes a first MOS structure, having a first gate terminal, located between the first current collecting region and the current emitting region and a second MOS structure, having a second gate terminal, located between the current emitting region and the second current collecting region. In operation, the first gate terminal and the second gate terminal are biased for increasing a deflection length of a first current and of a second current. The deflection length is perpendicular to a plane defined by a surface of the semiconductor magnetic field sensor and parallel to a magnetic field.
US08981438B2 Photoelectric conversion apparatus and imaging system using the same
A photoelectric conversion apparatus includes: a first semiconductor region forming a part of a photoelectric conversion element; a second semiconductor region stacked on the first semiconductor region, and forming a part of the photoelectric conversion element; a third semiconductor region to which a signal charge transferred from the photoelectric conversion element; a fourth semiconductor region of the first conductivity type having an higher impurity concentration, between the first and third semiconductor region and between the second and third semiconductor regions, closer to a main surface than the first semiconductor region, and connected to the first semiconductor region; a first gate electrode over the fourth semiconductor region, an insulating film on the main surface and between the first gate electrode and the fourth semiconductor region; and a second gate electrode between the third and fourth semiconductor regions, and over the insulating film.
US08981437B2 Wide bias background subtraction pixel front-end with short protection
Pixel Front end circuits particularly applicable to photodetectors requiring wide bias ranges and/or with high background currents. In various versions, wide bias ranges, short protection, and background current subtraction, both predetermined and automatically sampled, are disclosed.
US08981436B2 Stacked structure, spin transistor, and reconfigurable logic circuit
A stacked structure according to an embodiment includes: a semiconductor layer; a first layer formed on the semiconductor layer, the first layer containing at least one element selected from Zr, Ti, and Hf, the first layer being not thinner than a monoatomic layer and not thicker than a pentatomic layer; a tunnel barrier layer formed on the first layer; and a magnetic layer formed on the tunnel barrier layer.
US08981435B2 Source/drain contacts for non-planar transistors
The present description relates to the field of fabricating microelectronic devices having non-planar transistors. Embodiments of the present description relate to the formation of source/drain contacts within non-planar transistors, wherein a titanium-containing contact interface may be used in the formation of the source/drain contact with a discreet titanium silicide formed between the titanium-containing interface and a silicon-containing source/drain structure.
US08981434B2 Semiconductor device and field effect transistor
Provided is a semiconductor device in which the trade-off between the withstand voltage and the on-resistance is improved and the performance is increased. A semiconductor device comprises a substrate 1, a first n-type semiconductor layer 21′, a second n-type semiconductor layer 23, a p-type semiconductor layer 24, and a third n-type semiconductor layer 25′, wherein the first n-type semiconductor layer 21′, the second n-type semiconductor layer 23, the p-type semiconductor layer 24, and the third n-type semiconductor layer 25′ are laminated at the upper side of the substrate 1 in this order. The drain electrode 13 is in ohmic-contact with the first n-type semiconductor layer 21′ and the source electrode 12 is in ohmic-contact with the third n-type semiconductor layer 25′. A gate electrode 14 is arranged so as to fill an opening portion to be filled that extends from the third n-type semiconductor layer 25′ to the second n-type semiconductor layer 23, and the gate electrode 14 is in contact with the upper surface of the second n-type semiconductor layer 23, the side surfaces of the p-type semiconductor layer 24, and the side surfaces of the third n-type semiconductor layer 25′. The second n-type semiconductor layer 23 has composition that changes from the drain electrode 13 side toward the source electrode 12 side in the direction perpendicular to the plane of the substrate 1 and contains donor impurity.
US08981429B2 High electron mobility transistor and manufacturing method thereof
The present invention discloses a high electron mobility transistor (HEMT) and a manufacturing method thereof. The HEMT device includes: a substrate, a first gallium nitride (GaN) layer; a P-type GaN layer, a second GaN layer, a barrier layer, a gate, a source, and a drain. The first GaN layer is formed on the substrate, and has a stepped contour from a cross-section view. The P-type GaN layer is formed on an upper step surface of the stepped contour, and has a vertical sidewall. The second GaN layer is formed on the P-type GaN layer. The barrier layer is formed on the second GaN layer. two dimensional electron gas regions are formed at junctions between the barrier layer and the first and second GaN layers. The gate is formed on an outer side of the vertical sidewall.
US08981427B2 Polishing of small composite semiconductor materials
A device includes a crystalline material within an area confined by an insulator. A surface of the crystalline material has a reduced roughness. One example includes obtaining a surface with reduced roughness by using a planarization process configured with a selectivity of the crystalline material to the insulator greater than one. In a preferred embodiment, the planarization process uses a composition including abrasive spherical silica, H2O2 and water. In a preferred embodiment, the area confined by the insulator is an opening in the insulator having an aspect ratio sufficient to trap defects using an ART technique.
US08981425B2 Optimized configurations to integrate steering diodes in low capacitance transient voltage suppressor (TVS)
A transient-voltage suppressing (TVS) device disposed on a semiconductor substrate including a low-side steering diode, a high-side steering diode integrated with a main Zener diode for suppressing a transient voltage. The low-side steering diode and the high-side steering diode integrated with the Zener diode are disposed in the semiconductor substrate and each constituting a vertical PN junction as vertical diodes in the semiconductor substrate whereby reducing a lateral area occupied by the TVS device. In an exemplary embodiment, the high-side steering diode and the Zener diode are vertically overlapped with each other for further reducing lateral areas occupied by the TVS device.
US08981424B2 Semiconductor device
A semiconductor device includes a transistor having a gate electrode, a first electrode, and a second electrode and first and second protection circuits each having one end commonly connected to the gate electrode and the other end connected to the first and second electrodes, respectively. The first and second protection circuits are formed in first and second polysilicon layers, respectively, formed separately on a single field insulating film.
US08981422B2 Semiconductor device and method of manufacturing the same
To prevent contact plugs formed to sandwich an abutting portion between gate electrodes, from being short-circuited via a void formed inside an insulating film of the abutting portion. Over sidewalls SW facing each other in the abutting portion between gate electrodes G2 and G5, a liner insulating film 6 and an interlayer insulating film 7 are formed. Between the sidewalls SW, the liner insulating film 6 formed on each of the side walls of the sidewalls SW are brought in contact with each other to close a space between the sidewalls SW to prevent a void from being generated inside the interlayer insulating film 7 and the liner insulating film 6.
US08981421B2 Strip-shaped gate-modulated tunneling field effect transistor and a preparation method thereof
The present invention discloses a strip-shaped gate-modulated tunneling field effect transistor and a preparation method thereof, belonging to a field of field effect transistor logic device and the circuit in CMOS ultra large scale integrated circuit (ULSI). The tunneling field effect transistor includes a control gate, a gate dielectric layer, a semiconductor substrate, a highly-doped source region and a highly-doped drain region, where the highly-doped source region and the highly-doped drain region lie on both sides of the control gate, respectively, the control gate has a strip-shaped structure with a gate length greater than a gate width, and at one side thereof is connected to the highly-doped drain region and at the other side thereof extends laterally into the highly-doped source region; a region located below the control gate is a channel region; and the gate width of the control gate is less than twice width of a source depletion layer. The device modulates the source-side tunneling junction by using the strip-shaped gate structure, achieves the effect equivalent to that the source junction has a steep doping concentration gradient, and improves the TFET device performance; and the preparation method thereof is simple.
US08981420B2 Nitride semiconductor device
A nitride semiconductor device includes a conductive oxide film with high reliability is provided. The nitride semiconductor device having a nitride semiconductor layer includes a conductive oxide film on the nitride semiconductor layer and a pad electrode on the conductive oxide film. The pad electrode includes a junction layer that contains a first metal and is in contact with the conductive oxide film, and a pad layer that contains a second metal.
US08981407B2 Light emitting diode package with lens and method for manufacturing the same
A light emitting diode (LED) package includes a substrate with a flat top surface, an LED chip mounted on the substrate, and a group of blocking structure and encapsulation body. The LED chip electrically connects with the substrate. The blocking structure surrounds the LED chip. The encapsulation body covers the LED chip. A bottom of the encapsulation body is enclosed by the blocking structure; the encapsulation body has a light outputting surface, and an outer surface of the blocking structure is continuously connected with the light outputting surface. The light outputting surface has a semispherical profile. An angle between a normal line extending from the outer surface of the blocking structure and perpendicular to the substrate and a tangent line tangent to the light outputting surface at a point thereof adjacent to the outer surface is smaller than 60 degrees.
US08981398B2 Semiconductor light emitting device and method for manufacturing the same
Certain embodiments provide a semiconductor light emitting device including: a first metal layer; a stack film including a p-type nitride semiconductor layer, an active layer, and an n-type nitride semiconductor layer; an n-electrode; a second metal layer; and a protection film protecting an outer circumferential region of the upper face of the n-type nitride semiconductor layer, side faces of the stack film, a region of an upper face of the second metal layer other than a region in contact with the p-type nitride semiconductor layer, and a region of an upper face of the first metal layer other than a region in contact with the second metal layer. Concavities and convexities are formed in a region of the upper face of the n-type nitride semiconductor layer, the region being outside the region in which the n-electrode is provided and being outside the regions covered with the protection film.
US08981397B2 Light-emitting devices on textured substrates
A device includes a textured substrate, which further includes a plurality of trenches. Each of the plurality of trenches includes a first sidewall and a second sidewall opposite the first sidewall. A plurality of reflectors configured to reflect light is formed, with each of the plurality of reflectors being on one of the first sidewalls of the plurality of trenches. The second sidewalls of the plurality of trenches are substantially free from any reflector.
US08981396B2 Semiconductor light emitting device, light emitting module, and illumination apparatus
A semiconductor light emitting device includes a substrate, a semiconductor laminate having a base semiconductor layer, a first conductivity-type semiconductor layer, an active layer, and a second conductivity-type semiconductor layer sequentially formed on the substrate and divided by an isolation region to provide a plurality of light emitting cells, an intermediate separation layer interposed between the base semiconductor layer and the first conductivity-type semiconductor layer, a plurality of first and second electrodes connected to the first and second conductivity-type semiconductor layers, respectively, of the plurality of light emitting cells, and a wiring unit connecting the first and second electrodes of different light emitting cells.
US08981393B2 Light-emitting element, light-emitting device, display device, electronic device, and lighting device
An object is to provide a light-emitting element which uses a plurality of kinds of light-emitting dopants and has high emission efficiency. In one embodiment of the present invention, a light-emitting device, a light-emitting module, a light-emitting display device, an electronic device, and a lighting device each having reduced power consumption by using the above light-emitting element are provided. Attention is paid to Förster mechanism, which is one of mechanisms of intermolecular energy transfer. Efficient energy transfer by Förster mechanism is achieved by making an emission wavelength of a molecule which donates energy overlap with the longest-wavelength-side local maximum peak of a graph obtained by multiplying an absorption spectrum of a molecule which receives energy by a wavelength raised to the fourth power.
US08981389B2 Illumination device
An illumination device includes a base, a light-emitting module, a first layer, and a second layer. The light-emitting module is disposed on the base for generating a progressive-type light-emitting intensity. The first layer encapsulates the light-emitting module. The second layer encloses the first layer. The second layer has a progressive-type thickness corresponding to the progressive-type light-emitting intensity, and both the progressive-type light-emitting intensity and the progressive-type thickness are decreased or increased gradually, thus the progressive-type light-emitting intensity can be transformed into the same light-emitting intensity through the progressive-type thickness of the second layer.
US08981387B2 Light emitting diode assembly having a deformable lens
A light emitting diode assembly includes a base, a light emitting chip mounted on the base, an elastic lens covering the light emitting chip, two rotation members rotatably arranged on the base, and two stopper poles fixed on the base. The two rotation members are capable of driving the elastic lens to rotate with respect to the two stopper poles. The stopper poles compress the elastic lens to cause the elastic lens to deform resiliently when the elastic lens is rotated by the rotation members to engage with the stopper poles.
US08981384B2 Semiconductor device and method for manufacturing same
There are provided a high-quality semiconductor device having stable characteristics and a method for manufacturing such a semiconductor device. The semiconductor device includes a substrate having a main surface, and a silicon carbide layer. The silicon carbide layer is formed on the main surface of the substrate. The silicon carbide layer includes a side surface as an end surface inclined relative to the main surface. The side surface substantially includes one of a {03-3-8} plane and a {01-1-4} plane in a case where the silicon carbide layer is of hexagonal crystal type, and substantially includes a {100} plane in a case where the silicon carbide layer is of cubic crystal type.
US08981383B1 Efficient substrate heat transfer layer for photonic devices
Embodiments of the invention describe substrates, used to form optical devices, which include high thermal conductivity intermediate layers. Said substrates comprise a bulk layer, an optical device layer comprising a first material, and an intermediate layer disposed between the bulk layer and the device layer comprising a second material having a higher thermal conductivity and a lower index of refraction than the first material.In the resulting devices, said intermediate layer functions as part of the device layer structure—i.e., provides optical or electrical power dissipation (i.e. thermal) functionality for the device formed from said substrate. Thus, optical devices do not necessarily need to utilize an add-on packaging solution for heat absorption when formed from substrate stacks according to embodiments of the invention. Moreover, in some embodiments, said intermediate layer is located at “zero-distance” from the source of the heat generation, thereby efficiently transferring heat out of that region.
US08981375B2 Transistor, display, and electronic apparatus
A transistor includes: a gate electrode; a semiconductor layer facing the gate electrode with an insulating layer in between; a pair of source-drain electrodes electrically connected to the semiconductor layer; and a contact layer provided in a moving path of carriers between each of the pair of source-drain electrodes and the semiconductor layer, the contact layer having end surfaces covered with the source-drain electrode.
US08981370B2 Semiconductor device
A semiconductor device which has stable electrical characteristics and high reliability is provided. The semiconductor device includes a gate electrode over an insulating surface, a gate insulating film over the gate electrode, a semiconductor film which is over the gate insulating film and overlaps with the gate electrode, and a protective insulating film over the semiconductor film; and the protective insulating film includes a crystalline insulating film and an aluminum oxide film over the crystalline insulating film.
US08981365B2 Organic light emissive device comprising a trilayer cathode including a layer comprising sodium fluoride
A method of manufacturing an organic light emissive device comprising: depositing an organic light emissive layer over an anode and depositing a cathode over the organic light emissive layer, wherein the cathode comprises a trilayer structure formed by: depositing a first layer comprising an electron injecting material; depositing a second layer over the first layer, the second layer comprising a metallic material having a workfunction greater than 3.5 eV; and depositing a third layer over the second layer, the third layer comprising a metallic material having a workfunction greater than 3.5 eV.
US08981358B2 Organic insulating layer composition, method of forming organic insulating layer, and organic thin film transistor including the organic insulating layer
An organic insulating layer composition includes a polymer mixture including 50 parts to 90 parts by volume of an organic polymer and 10 parts to 50 parts by volume of an amorphous polymer, wherein the organic polymer includes at least a first repeating unit and a second repeating unit, the first and second repeating units each being substituted with at least one of fluorine or chlorine, a total number of fluorine and chlorine atoms in the first repeating unit being different from a total number of fluorine and chlorine atoms in the second repeating unit, and an organic solvent.
US08981356B2 Molecular memory
A molecular memory device has an insulating film with a cavity, the cavity having an upper portion and a lower portion; a first conductive member with a portion exposed at the lower portion of the cavity; a second conductive member with a portion exposed at the upper portion of the cavity; and a resistance varying-type molecular chain disposed in the cavity and bonded with the first conductive member or the second conductive member. The cavity is wider than at least one of the first conductive member along a first direction and the second conductive member along a second direction.
US08981355B2 Light-emitting element
Provided is a light-emitting element with high external quantum efficiency and a low drive voltage. The light-emitting element includes a light-emitting layer which contains a phosphorescent compound and a material exhibiting thermally activated delayed fluorescence between a pair of electrodes, wherein a peak of a fluorescence spectrum and/or a peak of a phosphorescence spectrum of the material exhibiting thermally activated delayed fluorescence overlap(s) with a lowest-energy-side absorption band in an absorption spectrum of the phosphorescent compound, and wherein the phosphorescent compound exhibits phosphorescence in the light-emitting layer by voltage application between the pair of electrodes.
US08981354B2 Organic light-emitting polymer and device
Light-emitting and/or charge transporting polymers, methods of making the same, and organic light emitting devices comprising such polymers, the polymers comprising a repeat unit of formula (I): —(Ar)q-Sp-CT-Sp-(Ar)q—  (I) in which CT represents a conjugated charge-transporting group, each Ar independently represents an optionally substituted aryl or heteroaryl group, q is at least 1, and each Sp independently represents a spacer group forming a break in conjugation between Ar and CT.
US08981353B2 Photocrosslinkable polyimide polymer, manufacturing methods for the same memory devices using the same
The present invention relates to a polymer memory device and to a production method for the same, and relates to a novel photocrosslinkable polymer compound able to be used in a polymer memory device, to a novel non-volatile memory device in which an active layer between an upper electrode and a lower electrode comprises a photocrosslinkable polyimide polymer, and to a production method for the same. In the polymer memory device, the photocrosslinkable polyimide polymer is used as an active layer.
US08981351B2 Organic light-emitting device
An organic light-emitting device including: a first electrode; a second electrode; and an organic layer that includes a carrier transport layer and an emission layer and is interposed between the first and second electrodes, wherein the emission layer and the carrier transport layer include the same material.
US08981349B2 Organic light emitting diode display
An organic light emitting diode display device constructed with an organic light emitting element including a first electrode, an organic emission layer, and a second electrode sequentially laminated together, a transmittance control layer formed on the organic light emitting element, a selective reflective layer formed on the transmittance control layer, a polarizing plate formed on the selective reflective layer, and a phase retardation plate disposed between the organic light emitting element and the polarizing plate.
US08981338B2 Semiconductor photocathode and method for manufacturing the same
A semiconductor photocathode includes an AlXGa1-XN layer (0≦X<1) bonded to a glass substrate via an SiO2 layer and an alkali-metal-containing layer formed on the AlXGa1-XN layer. The AlXGa1-XN layer includes a first region, a second region, an intermediate region between the first and second regions. The second region has a semiconductor superlattice structure formed by laminating a barrier layer and a well layer alternately, the intermediate region has a semiconductor superlattice structure formed by laminating a barrier layer and a well layer alternately. When a pair of adjacent barrier and well layers is defined as a unit section, an average value of a composition ratio X of Al in a unit section decreases monotonously with distance from an interface position between the second region and the SiO2 layer at least in the intermediate region.
US08981335B2 ZnTe on TiN or Pt electodes with a portion operable as a current limiting layer for ReRAM applications
Resistive random access memory (ReRAM) cells can include a ZnTe switching layer and TiN or Pt electrodes. The combination of the switching layer of ZnTe and the electrodes of TiN or Pt is designed to achieve desirable performance characteristics, such as low current leakage as well as low and consistent switching currents. High temperature anneal of the ZnTe switching layer can further improve the performance of the ReRAM cells. The switching layer may be deposited using various techniques, such as sputtering or atomic layer deposition (ALD).
US08981334B1 Memory cells having regions containing one or both of carbon and boron
Some embodiments include a memory cell having a first electrode, and an intermediate material over and directly against the first electrode. The intermediate material includes stabilizing species corresponding to one or both of carbon and boron. The memory cell also has a switching material over and directly against the intermediate material, an ion reservoir material over the switching material, and a second electrode over the ion reservoir material. Some embodiments include methods of forming memory cells.
US08981332B2 Nonvolatile resistive memory element with an oxygen-gettering layer
A nonvolatile resistive memory element includes an oxygen-gettering layer. The oxygen-gettering layer is formed as part of an electrode stack, and is more thermodynamically favorable in gettering oxygen than other layers of the electrode stack. The Gibbs free energy of formation (ΔfG°) of an oxide of the oxygen-gettering layer is less (i.e., more negative) than the Gibbs free energy of formation of an oxide of the adjacent layers of the electrode stack. The oxygen-gettering layer reacts with oxygen present in the adjacent layers of the electrode stack, thereby preventing this oxygen from diffusing into nearby silicon layers to undesirably increase an SiO2 interfacial layer thickness in the memory element and may alternately be selected to decrease such thickness during subsequent processing.
US08981331B2 Memory cells having storage elements that share material layers with steering elements and methods of forming the same
In some embodiments, a memory cell is provided that includes a metal-insulator-metal stack and a steering element coupled to the metal-insulator-metal stack. The metal-insulator-metal stack includes a first conductive layer, a reversible resistivity switching layer above the first conductive layer, and a second conductive layer above the reversible resistivity switching layer. The first conductive layer and/or the second conductive layer includes a first semiconductor material layer. The steering element includes the first semiconductor material layer. Numerous other aspects are provided.
US08981330B2 Thermally-confined spacer PCM cells
A memory device includes an array of contacts and a patterned insulating layer over the array of contacts. The patterned insulating layer includes a trench. The trench includes a sidewall aligned over a plurality of contacts in the array. A plurality of bottom electrodes on a lower portion of the sidewall contacts respective top surfaces of the contacts in the plurality of contacts. A thermally confined spacer of memory material between the patterned insulating layer and an insulating fill material is formed on an upper portion of the sidewall in contact with the plurality of bottom electrodes.
US08981328B2 Back to back resistive random access memory cells
A resistive random access memory cell formed in an integrated circuit includes first and second resistive random access memory devices, each including an anode and a cathode. The anode of the second resistive random access memory device is connected to the anode of the first resistive random access memory device. A programming transistor has a first source/drain terminal connected to a programming potential node, a second source/drain terminal connected to the anodes of the first and second resistive random access memory devices, and a gate connected to a program-enable node.
US08981323B2 Charged particle beam apparatus, and article manufacturing method
A charged particle beam apparatus for processing an object using a charged particle beam includes a charged particle lens in which an array of apertures, through each of which a charged particle beam passes, is formed; a vacuum container which contains the charged particle lens; and a radiation source configured to generate an ionizing radiation; wherein the apparatus is configured to cause the radiation source to pass the ionizing radiation through the array of apertures in a state in which a pressure in the vacuum container is changing.
US08981320B2 Apparatus for maintaining dental hygiene
An apparatus for maintaining dental hygiene is provided. For example, in one embodiment, the apparatus includes a body. The body includes an elongated member configured to extend outward from the body. The elongated member includes a head including a plurality of bristles. The apparatus also includes a cap configured to kill microbes on a plurality of bristles when attached to the body.
US08981317B2 Method and device for detecting tumorous living cell tissue
The invention relates to a method and to an apparatus for recognizing tumorous living cell tissue. It furthermore relates to a method and to an apparatus for recognizing tumorous cell tissue at collected living cell tissue samples. In the method, electromagnetic radiation is emitted with local definition onto cell tissue by a radiation source and, after deactivation of the radiation source, the decay behavior of the inherent fluorescence intensity of the cell tissue excited by the electromagnetic radiation is detected at the cell tissue in a time resolved and spectrally resolved manner at known sampling rate(s) for at least one wavelength using a detector. The difference autocorrelation function C(t) of the intensity decay behavior is determined using the determined measured intensity values, the fractal dimension DF for the respective irradiated cell tissue is calculated from this and the value of the fractal dimension DF is used for a classification with respect to a presence of a tumor in the respective irradiated cell tissue.
US08981316B2 Radiation sterilization of implantable medical devices
A system and method for sterilization of medical devices includes methods for reducing the variance in dose levels over the medical device by either varying the dose levels for each pass before a radiation source, such as an electron beam, or by increasing the number of passes before a radiation source.
US08981315B2 Ion beam device having gas introduction port disposed on structure maintained at ground potential
To avoid a glow discharge during the use of a conventional gas ionization chamber, there is no alternative but to increase a gas pressure. Therefore, while a conventional gas ionization chamber is used, an ion current cannot be increased by raising a gas introduction pressure. An object of the present invention is to increase the ion current by raising the gas pressure and prevent an ion beam from being scattered by an ionization gas. The gas is supplied from a structure maintained at a ground potential to prevent the application of a high voltage to the vicinity of an ionization gas introduction port at which the gas pressure is relatively high. Further, the ionization gas existing in a region through which the ion beam passes is preferentially reduced by performing differential evacuation from a lens opening in a lens electrode that forms an acceleration/focusing lens.
US08981314B2 Method and apparatus for the optical determination of total organic carbon in aqueous streams
A method and apparatus for the measurement of total organic carbon content in an aqueous stream is disclosed. Absorbance of electromagnetic energy by the aqueous stream is measured in an optical sample cell with pulsed light at a wavelength of 190 nm±10 nm. A value of total organic carbon from the measured absorbance is calculated without absorbance or fluorescence measurement at any other wavelength.
US08981313B2 Method and device for detecting x-ray quanta
A method is provided for detecting x-ray quanta incident on a multi-pixel x-ray detector having a two-dimensional matrix composed of measurement-signal-generating pixels, wherein the multi-pixel x-ray detector is embodied as a direct solid-state detector, wherein the pixels, which generate a measurement signal within a predefined time interval and which in addition lie in a contiguous cluster composed of a plurality of pixels, are assigned to an event cluster by an evaluation unit and wherein their measurement signals are drawn upon for the purpose of approximating the position at which the x-ray quantum interacted with the multi-pixel x-ray detector.
US08981308B2 Measurement of radiations of high influence by a capacitive element of MOS type
A method for measuring a dose related to the non-ionizing effects of a radiation of particles comprises the irradiation of a capacitive element provided with an electrode made from a semiconductor material, the measurement of the capacitance of the capacitive element in an accumulation regime and the determination of the dose related to the non-ionizing effects from the measurement of capacitance of the capacitive element in the accumulation regime.
US08981306B2 Scintillator arrays and methods of making scintillator arrays
Scintillator arrays and methods of making scintillator arrays are provided. One scintillator array includes a scintillator substrate having a plurality of scintillators spaced apart by gaps within the scintillator substrate and a smoothing layer overlaying a surface of the scintillator substrate within the gaps. The smoothing layer includes an organically modified silicate. The scintillator array also includes an optical reflector layer overlaying a surface of the smoothing layer within the gaps.
US08981305B2 Index of refraction matched nanoparticles and methods of use
Embodiments of the present disclosure provide for nanoparticles, methods of making nanoparticles, materials including nanoparticles, the use of materials including nanoparticles, and the like.
US08981304B2 Radiation detector
The present invention provides a radiation detector including: a wavelength conversion unit that converts irradiated radiation to a radiation with a second wavelength; a first substrate that has a first and a second surface; radiation detecting pixels, disposed in a matrix on the first surface, that accumulate charges generated by irradiation of the radiation with the second wavelength, and that include switching elements to read out the charges; scan lines, provided on the first surface, through which a control signal, that switches each switching element provided in each radiation detecting pixel, flows; signal lines, provided on the first surface, through which an electric signal flows, the electric signal corresponding to the charges accumulated in each radiation detecting pixel; and a second substrate, provided on the second surface, that includes radiation irradiation detecting sensors that generate charges due to irradiation of the radiation having the second wavelength.
US08981298B2 System and method for pathogen detection and identification
This disclosure concerns a pathogen inspection system that includes a handling system that presents single cells from a sample to at least one QCL laser source. The QCL laser source is configured to deliver light to a cell within the cells in order to induce vibrational bond absorption in one or more analytes within the cell. The system includes a detection facility that detects the mid-infrared wavelength light transmitted by the cell and identifies the cell as either a pathogen or not a pathogen.
US08981294B2 Scanning electron microscope, an interface and a method for observing an object within a non-vacuum environment
An interface, a scanning electron microscope and a method for observing an object that is positioned in a non-vacuum environment. The method includes: generating an electron beam in the vacuum environment; scanning a region of the object with the electron beam while the object is located below an object holder; wherein the scanning comprises allowing the electron beam to pass through an aperture of an aperture array, pass through an ultra thin membrane that seals the aperture, and pass through the object holder; wherein the ultra thin membrane withstands a pressure difference between the vacuum environment and the non-vacuum environment; and detecting particles generated in response to an interaction between the electron beam and the object.
US08981293B2 System for inspecting flat panel display using scanning electron microscope
An inspection system includes: an automated optical inspection device detecting a defect of an inspection object by using a light; a scanning electron microscope device for inspecting the defect of the inspection object by using an electron beam and including a vacuum chamber; a stage positioned below and spaced from the scanning electron microscope device and supporting the inspection object; and a transferring device connected to the scanning electron microscope chamber and the automated optical inspection and transferring the scanning electron microscope device and the automated optical inspection device to positions over the stage. Air is in a gap between the chamber and the inspection object. Accordingly, an inspection object of a large size may be inspected for analysis without damage to the inspection object.
US08981291B2 Method for measuring film thickness of SOI layer of SOI wafer
A method for measuring a film thickness of an SOI layer of an SOI wafer including at least an insulator layer and the SOI layer which is formed on the insulator layer and is formed of a silicon single crystal, wherein a surface of the SOI layer is irradiated with an electron beam, characteristic X-rays are detected from a side of the SOI layer surface irradiated with the electron beam, the characteristic X-rays being generated by exciting a specific element in the insulator layer with the electron beam that has passed through the SOI layer and has been attenuated in the SOI layer, and the film thickness of the SOI layer is calculated on the basis of an intensity of the detected characteristic X-rays.
US08981280B2 Optical interruption sensor with opposed light emitting diodes
An optical-interrupter provides a mechanically integrated electric light source and electric light sensor positioned across a gap to transmit a light beam across the gap that may be interrupted with an opaque vane. The optical-interrupter uses conventional LEDs for both the light source and a light receiver. An integrated circuit comparator may be used to provide an adjustable threshold for the determination of whether the light beam is blocked.
US08981274B2 Optical-electrical converting device with increased transmitting efficiency
An optical-electrical converting device includes a substrate, an electrical circuit layer, at least one auxiliary pad, and an optical-electrical converting lens. The electrical circuit layer includes at least one circuit portion. The optical-electrical lens includes at least one first supporting portion and at least one second supporting portion. Each of the at least one first supporting portion is positioned on a respective one of the at least one first circuit portion, and each of the at least one second supporting portion is positioned on a respective one of the at least one auxiliary pad. The electrical circuit layer and the at least one auxiliary pad are arranged on the substrate. The thickness of the at least one first circuit portion layer is substantially equal to the thickness of the at least one auxiliary pad.
US08981272B2 Radiometers having an opaque, elongated member rotationally obstructing a light path to a light detector for measuring circumsolar profiles
The invention in some aspects relates to radiometers and related methods of use. In some aspects of the invention, methods are provided for determining a circumsolar profiles at external locations of interest, e.g., at a solar power generation system installation site.
US08981271B1 Drive and multi-stage mounting assemblies for rigidly affixing heliostat reflectors
A comprising a ground mount, a reflector assembly comprising a mirror and a frame rigidly connected to the mirror, a drive assembly configured to rotate the reflector assembly with respect to the ground mount about a predetermined angular range, and a mounting mechanism configured to connect the drive assembly to the reflector assembly and comprising a shaft connected to the drive assembly, where the drive assembly is configured to rotate the shaft about a longitudinal axis of rotation, an attachment for connecting the frame to the shaft at a fixed angle about the longitudinal axis; and a clamp for connecting the shaft to the frame.
US08981263B2 Electrostatic chuck apparatus
Disclosed is an electrostatic chuck apparatus which is configured of: an electrostatic chuck section; an annular focus ring section provided to surround the electrostatic chuck section; and a cooling base section which cools the electrostatic chuck section and the focus ring section. The focus ring section is provided with an annular focus ring, an annular heat conducting sheet, an annular ceramic ring, a nonmagnetic heater, and an electrode section that supplies power to the heater.
US08981255B2 Method for fixing a connecting element on a workpiece and component comprising a workpiece with a connecting element fixed on it
Provided herein is a method for securely fixing a connecting element on a metal workpiece by welding involving the formation of locally confined weld points that permits the friction-increasing, play-free, reversible connection of joined workpieces for applications in assembly and transportation. The connecting element includes a metal foil having hard material particles fixed on the joining surfaces by a metallic binding layer. Similarly, a component having a metal workpiece and the connecting element, which is suitable for the connection of workpieces to be joined in machine, plant, and motor vehicle construction, is also provided.
US08981254B2 Method and apparatus for re-imaging a previously used printing form
In a method for re-imaging a printing form that has been used for printing in a prior printing process a substrate of the printing form is cleaned globally of ink or varnish and the substrate is treated abrasively globally in order to erase a preceding printing image. The substrate to be imaged with a new printing image is treated locally in image regions with a pulsed laser beam and, in the process, a nanoscopic and hydrophobic surface structure is produced locally. The substrate is treated globally with a hydrophilicity intensifier, as a result of which the substrate becomes hydrophilic locally in the previously hydrophobic image regions. An apparatus has a corresponding erasing unit and an imaging unit with a femtosecond laser.
US08981251B2 Plasma source with integral blade and method for removing materials from substrates
An atmospheric pressure plasma source includes a body including a distal end, a blade extending from the distal end and terminating at a blade edge, a plasma-generating unit, and a plasma outlet communicating with the plasma-generating unit and positioned at the distal end. The plasma outlet is oriented at a downward angle generally toward the blade edge, wherein the plasma outlet provides a plasma path directed generally toward the blade edge. The plasma may be applied to the coating at an interface between the coating and an underlying substrate. While applying the plasma, the blade is moved into contact with the coating at the interface, wherein the blade assists in separating the coating from the substrate while one or more components of the coating react with energetic species of the plasma.
US08981248B2 Arc mitigation assembly and method of assembly to avoid ground strike
A circuit protection device is provided for use with a circuit that includes at least one pair of conductors. The protection device is configured to generate an arc. The protection device includes at least a pair of electrode assemblies electrically coupled to the at least one pair of conductors and a conductor base to support the pair of electrode assemblies. The protection device includes a cover coupled to the conductor base and defining at least one isolation chamber, wherein the electrode assemblies are disposed within the isolation chamber. The protection device includes a containment shield moveably coupled to the cover. The containment shield defines a containment chamber configured to contain charged particles produced by the arc. The containment shield is operative to move relative to the cover in response to a change in pressure produced by the arc within the containment chamber. An isolation assembly is coupled to at least one of the cover and the containment shield and configured to prevent the cover from contacting the containment shield.
US08981244B2 Forward/backward rotation switching mechanism
The present invention relates to a forward/backward rotation switching mechanism, comprising: a cover, a turntable, a terminal-fixing body, a first contact terminal, and a second contact terminal. In the present invention, a plurality of dust-off trenches are especially formed on the peripheral edges of the turntable for preventing from the dust accumulation between the turntable and a cover; therefore, even if the forward/backward rotation switching mechanism is used in an environment having a lot of dust, the turntable can still be turned around because the dust is ruled out by the dust-off trenches.
US08981242B2 Inductive touch sensor and detecting method
An inductive touch sensor is provided. The inductive touch sensor comprises a driving layer for generating a magnetic field, an induced layer facing to the driving layer for identifying at least one induced electrical signal representing a change, in inductance corresponding to at least one touch location on the inductive touch sensor and at least one inductive circuit connected to the driving layer and the induced layer for detecting at least one induced electrical signal to determine at least one touch location on the inductive touch sensor. Furthermore, a detecting method of detecting at least one touch location on the inductive touch sensor is also provided.
US08981233B2 Conductive member and method for producing the same
A method for producing a Cu—Sn layer and an Sn-based surface layer are formed in this order on the surface of a Cu-based substrate through an Ni-based base layer, and the Cu—Sn layer is composed of a Cu3Sn layer arranged on the Ni-based base layer and a Cu6Sn5 layer arranged on the Cu3Sn layer; the Cu—Sn layer obtained by bonding the Cu3Sn layer and the Cu6Sn5 layer is provided with recessed and projected portions on the surface which is in contact with the Sn-based surface layer; thicknesses of the recessed portions are set to 0.05 μm to 1.5 μm, the area coverage of the Cu3Sn layer with respect to the Ni-based base layer is 60% or higher, and the ratio of the thicknesses of the projected portions to the thicknesses of the recessed portions in the Cu—Sn layer is 1.2 to 5.
US08981232B2 Conductive emissions protection
A multi-layer substrate includes a ground structure, a plurality of dielectric layers on the ground structure and a plurality of conductive layers separating the plurality of dielectric layers. The conductive layers include a first conductive layer and a second conductive layer and a connection electrically coupling the first conductive layer and the second conductive layer. The first conductive layer and the ground structure are configured to define a first parasitic capacitance there between and the first conductive layer and the second conductive layer are configured to define a second, negating parasitic capacitance there between.
US08981230B2 Electronic apparatus and touch cover
A touch cover including a substrate, a sensing circuit and a grounding circuit is provided. The substrate has an inner plane and an inner side surface extending from the inner plane. The inner plane and the inner side surface are not coplanar. The sensing circuit is disposed on the inner plane. At least a portion of the grounding circuit is disposed on the inner side surface. An electronic apparatus with the touch cover and a fabricating method of the touch cover are also provided.
US08981229B2 Cable sealing device
A cable sealing device for sealing a cable passing through a first and second surface is provided. In one embodiment, the cable sealing device can include a first sealing element extending in a first radial direction of the cable and configured to surround at least a portion of the cable and to seal an opening in the first surface, and a second sealing element extending in a second radial direction of the cable and configured to surround at least a portion of the cable and to seal an opening in the second surface. The extension of the first sealing element in the first radial direction is smaller than the extension of the second sealing element in the second radial direction.
US08981228B2 Grommet for wire harness
A grommet is fit externally to a wire harness to be wired in a vehicle and mounted in a through hole of a vehicle-body panel. The grommet has a small-diameter cylindrical portion molded of elastomer and through which the wire harness is tightly inserted. A radial projection in the form of bellows projects radially out from an outer peripheral position of an intermediate longitudinal part of the small-diameter portion. An outer peripheral portion is connected to the outer peripheral end of the radial projection. The outer peripheral portion has a conical tubular shape and is connected at an intermediate longitudinal position to the radial projection. An annular vehicle-body locking recess is provided on the outer peripheral surface of a large-diameter side of the outer peripheral portion. A small-diameter side is thicker than the radial projection portion.
US08981223B2 Shield conductor for vehicle
Disclosed is a shield conductor for an electric vehicle or a hybrid vehicle, which includes a protector, a protector closure clip, and a rubber grommet. The protector is formed of aluminum having excellent corrosion resistance and connects a battery pack and a high voltage distribution box and protects shielded and unshielded wires. The protector closure clip is formed of injection molded plastic and is attached to the outside of the protector. The rubber grommet has excellent water-sealing characteristics and surrounds the circumferences of the wires exposed to the outside of the protector. As a result, to the present invention prevents the occurrence of scratches when the wires are inserted into the protector, prevents the wires from being twisted when the protector is bent, and prevents foreign materials or water from being introduced into the protector from the outside.
US08981222B2 Cable termination device, a method for prefabricating a cable termination device and a method for achieving a cable termination
A cable termination device of the dry type, for terminating a high voltage cable, including an insulator housing with a first end and a second end and having a hollow interior, and which second end has an opening for insertion of a high voltage cable. The cable termination device further includes a stress controller device located inside the insulator housing and adapted to be mounted on a high voltage cable, an end cap located at the first end of the insulator housing and provided with an external electrical connection means, and an electrically insulating gel filling at least part of the hollow interior of the insulator housing and surrounding at least part of the stress controller device. Further, the stress controller device is provided with a first and a second deflector arrangement for controlling an electric field.
US08981220B2 Universal cable adapter
According to the invention, a system for interconnecting communication cables is disclosed. The system may include an input cable, an output cable, and a cable adapter. The input cable may include a plurality of input wires, each of the plurality of input wires communicatively connected to an input port. The output cable may include a plurality of output wires, each of the plurality of output wires communicatively connected to an output port, The cable adapter may be for communicatively interconnecting the input cable and the output cable. The cable adapter may include a container, a lid and a plurality of attachment mechanisms. The lid may be movably attached to the container. The plurality of attachment mechanisms may be located in the container, each of the plurality of attachment mechanisms capable of communicatively and reversibly connecting one of the plurality of input wires with one of the plurality of output wires.
US08981218B1 Electronic enclosure with top-facing venting
A device includes a first enclosure portion; a second enclosure portion; and a mechanical interface between the first enclosure portion and the second enclosure portion. The mechanical interface may be configured to couple the first enclosure portion to the second enclosure portion to thereby define a housing interior volume. The mechanical interface may be further configured to enable ventilation from the housing interior volume to an exterior of the device.
US08981215B2 Termination sleeve-equipped MI cable that does not disturb and is not affected by magnetic field
The materials used for a termination sleeve-equipped MI cable according to the present invention, including bonded portions formed with silver solder, are all non-magnetic substances, and therefore, there will be no disturbance of an external magnetic field due to the presence of a magnetic substance. Furthermore, a pair or a plurality of pairs of conducting wires of the MI cable that transmit a signal or electric power are each formed in a double helix configuration, and therefore the generation of a magnetic field due to the currents flowing through the conducting wires and the influence from an external magnetic field on a signal or electric power to be transmitted can be minimized by using the two conducting wires of each pair as a single round-trip signal line or as a single round-trip electric power line.
US08981213B1 Piezoelectric ultracapacitive photovoltaic cell
A piezoelectric photovoltaic cell is disclosed. The cell may include a photovoltaic portion, including a sensitized semiconductor material. The cell may also include a piezoelectric portion, including a piezoelectric material.
US08981212B2 Polyester film, laminated film, solar battery backsheet and solar battery
A polyester film includes particles and two kinds of crystalline polyester resins in which a sea-island structure is formed, wherein, if a crystallization temperature of a crystalline polyester resin A that forms a continuous phase or matrix phase in the polyester film is represented by TccA and a crystallization temperature of a crystalline polyester resin B that forms a dispersion phase or domain phase in the polyester film is represented by TccB, expression (1) below is satisfied, and a degree of flatness of the dispersion phase is 3 or more, and 70% or more of a total number of the particles present in the dispersion phase or are in contact with the dispersion phase: TccA−TccB≧5° C.  Expression (1).
US08981209B2 Photovoltaic module
A photovoltaic module includes a substrate, a plurality of cell sets, a first collecting electrode and a second collecting electrode. The cell sets are disposed on the substrate. Each of the cell sets includes a plurality of cell units, a bottom connecting electrode and an upper connecting electrode. The plurality of cell units are electrically connected to each other in series. The cell units are electrically connected between the bottom connecting electrode and the upper connecting electrode. The first collecting electrode is disposed on the substrate and is electrically connected to the bottom connecting electrode of every cell set. The second collecting electrode is disposed on the substrate and is electrically connected to the upper connecting electrode of every cell set. The second collecting electrode and the cell sets are substantially made of the same layer.
US08981208B2 Solar cell
A solar cell and a method for manufacturing the same are discussed. The solar cell includes a substrate of a first conductive type, an emitter layer of a second conductive type opposite the first conductive type, a plurality of first electrodes connected to the emitter layer, at least one first current collector connected to the plurality of first electrodes, and a second electrode connected to the substrate. The emitter layer forms a p-n junction along with the substrate. Each of the plurality of first electrodes has a multi-layered structure, and the at least one first current collector has a single-layered structure.
US08981204B2 Integrated shipping and installation racking
The present invention relates to an adjustable racking system for transporting and mounting one or more solar panels to, for example, a rooftop.
US08981199B2 MIDI communication hub
A router for a plurality of MIDI transmitters and receivers routes MIDI event data to and from designated ports without a host computer system connected to the router. Since a host computer system is not used, delay times are significantly reduced and many MIDI devices could be coupled to the router without significantly impacting the transmission speeds of the MIDI data. While the router works without a host connected to the router, the router could still function while a host computer is connected, which is useful when a host computer is used to send MIDI event data or record MIDI event data.
US08981193B2 Cultivar, method for differentiating plant cultivars, and method for causing earlier maturing of rice individual
An object of the present invention is to provide a new rice cultivar that matures earlier than the original cultivar, and a method for causing a rice individual to mature earlier. The present invention relates to a rice cultivar Koshihikari kazusa no. 5 having the cultivar registration application number 25586, a progeny individual obtained by crossbreeding two individuals selected from the group consisting of an individual of the aforementioned cultivar and a progeny individual thereof, and a method for causing a rice individual to mature earlier that comprises replacing a region corresponding to a region containing base number 31,720,064 to base number 31,724,043 of the third chromosome of rice cultivar Nipponbare with a chromosome fragment composed of the corresponding region of rice cultivar Koshihikari kazusa no. 5 or rice cultivar Habataki in the third chromosome of the rice individual.
US08981192B2 Plant and seeds of corn variety CV063322
According to the invention, there is provided seed and plants of the corn variety designated CV063322. The invention thus relates to the plants, seeds and tissue cultures of the variety CV063322, and to methods for producing a corn plant produced by crossing a corn plant of variety CV063322 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV063322 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV063322.
US08981191B2 Plants and seeds of corn variety CV856723
According to the invention, there is provided seed and plants of the corn variety designated CV856723. The invention thus relates to the plants, seeds and tissue cultures of the variety CV856723, and to methods for producing a corn plant produced by crossing a corn plant of variety CV856723 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV856723 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV856723.
US08981190B2 Plants and seeds of corn variety CV910566
According to the invention, there is provided seed and plants of the corn variety designated CV910566. The invention thus relates to the plants, seeds and tissue cultures of the variety CV910566, and to methods for producing a corn plant produced by crossing a corn plant of variety CV910566 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV910566 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV910566.
US08981189B2 Plants and seeds of corn variety CV342520
According to the invention, there is provided seed and plants of the corn variety designated CV342520. The invention thus relates to the plants, seeds and tissue cultures of the variety CV342520, and to methods for producing a corn plant produced by crossing a corn plant of variety CV342520 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV342520 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV342520.
US08981187B1 Soybean cultivar 27153891
A soybean cultivar designated 27153891 is disclosed. The invention relates to the seeds of soybean cultivar 27153891, to the plants of soybean cultivar 27153891, to the plant parts of soybean cultivar 27153891, and to methods for producing progeny of soybean cultivar 27153891. The invention also relates to methods for producing a soybean plant containing in its genetic material one or more transgenes and to the transgenic soybean plants and plant parts produced by those methods. The invention also relates to soybean cultivars or breeding cultivars, and plant parts derived from soybean cultivar 27153891. The invention also relates to methods for producing other soybean cultivars, lines, or plant parts derived from soybean cultivar 27153891, and to the soybean plants, varieties, and their parts derived from use of those methods. The invention further relates to hybrid soybean seeds, plants, and plant parts produced by crossing cultivar 27153891 with another soybean cultivar.
US08981186B2 Soybean variety XB28R13
A novel soybean variety, designated XB28R13 is provided. Also provided are the seeds of soybean variety XB28R13, cells from soybean variety XB28R13, plants of soybean XB28R13, and plant parts of soybean variety XB28R13. Methods provided include producing a soybean plant by crossing soybean variety XB28R13 with another soybean plant, methods for introgressing a transgenic trait, a mutant trait, and/or a native trait into soybean variety XB28R13, methods for producing other soybean varieties or plant parts derived from soybean variety XB28R13, and methods of characterizing soybean variety XB28R13. Soybean seed, cells, plants, germplasm, breeding lines, varieties, and plant parts produced by these methods and/or derived from soybean variety XB28R13 are further provided.
US08981183B2 Sugarcane centromere sequences and minichromosomes
The invention is generally related to Sugarcane mini-chromosomes and recombinant chromosomes containing Sugarcane centromere sequences. In addition, the invention provides for methods of generating Sugarcane plants transformed with these Sugarcane mini-chromosomes. Sugarcane mini-chromosomes with novel compositions and structures are used to transform Sugarcane cells which are in turn used to generate Sugarcane plants. Methods for generating Sugarcane plants include methods for delivering the Sugarcane mini-chromosomes into Sugarcane cell to transform the cell, methods for selecting the transformed cell, and methods for isolating Sugarcane plants transformed with the Sugarcane mini-chromosome or recombinant chromosome.
US08981181B2 Maize microrna sequences
Methods and compositions useful in target sequence suppression and target sequence validation are described. Polynucleotide constructs useful for gene silencing, as well as cells, plants and seeds comprising the polynucleotides and a method for using microRNAs to silence a target sequence are also described.
US08981179B2 Transgenic non-human animal and uses thereof
The present invention relates generally to transgene constructs, transgenic non-human animals comprising transgene constructs, methods of making and methods of using the transgenic non-human animals comprising transgene constructs. An embodiment of the invention relates to methods of assaying the activation of GPCR ligands non-invasively in whole animals, tissue slices, or in native cells using a transgenic model containing a bioluminescent transgene reporter system that is responsive to pathway modulation following ligand binding of GPCR receptors.
US08981171B2 Process for the purification of paraxylene
The proposed process uses crystallization technology to purify paraxylene simultaneously of large concentrations of C8 aromatics and also small concentrations of oxygenated species.
US08981168B2 Formate-assisted pyrolysis
The present invention provides, among other thing, methods for creating significantly deoxygenated bio-oils form biomass including the steps of providing a feedstock, associating the feedstock with an alkali formate to form a treated feedstock, dewatering the treated feedstock, heating the dewatered treated feedstock to form a vapor product, and condensing the vapor product to form a pyrolysis oil, wherein the pyrolysis oil contains less than 30% oxygen by weight.
US08981167B2 Fluorographene and preparation method thereof
A fluorographene and preparation method thereof are provided. For the said fluorographene, the fraction of F is 0.5
US08981166B2 Extraction of fusel alcohols from ethanol fermentation products
An improved process for extracting fusel alcohols and/or fusel alcohol esters from an ethanol fermentation product involves adding to the fermentation product an extractant solvent selected from amyl alcohols, amyl acetates, and mixtures thereof to produce a two-phase system including a water and alcohol phase, and an immiscible second phase comprised of amyl alcohols, amyl acetates or a mixture thereof. Thereafter, the first and second phases can be separated to obtain a first phase depleted of fusel alcohols and/or esters of fusel alcohols and a second phase containing fusel alcohols and/or esters of fusel alcohols extracted from the first phase.
US08981164B2 Cobalt and tin hydrogenation catalysts
The present invention relates to catalysts, to processes for making catalysts and to chemical processes employing such catalysts. The catalysts are preferably used for converting acetic acid and ethyl acetate to ethanol. The catalyst comprises a precious metal, tin and cobalt, wherein molar ratio of cobalt to tin is at least 11:1.
US08981163B2 Fluorine-containing aromatic compound and method for producing same
Disclosed is a fluorine-containing aromatic compound represented by the following general formula (1) and its production method. In the formula (1), R1 is a hydroxyl group or amino group, each of R2 to R5 is independently a hydrogen atom, or a C1-4 straight chain or a C3 or C4 branched chain alkyl group, hydrogen atoms of the alkyl group may partially or totally be replaced with fluorine atoms, n is an integer of 0 to 2, and each of m and l is independently 0 or 1. A polymer derived from this fluorine-containing aromatic compound contains —C(CF3)2OH group at a position away from the polymer main chain. Therefore, it is useful for resist use.
US08981157B2 Gas phase heterogeneous catalytic oxidation of alkanes to aliphatic ketones and/or other oxygenates
A catalyst, its method of preparation and its use for producing aliphatic ketones by subjecting alkanes C3 to C9 to a gas phase catalytic oxidation in the presence of air or oxygen, and, optionally, steam and/or one or more diluting gases. The catalyst comprises a catalytically active mixed metal oxide phase and a suitable support material onto and/or into which the active catalytic phase id dispersed.
US08981154B2 Solid state forms of tapentadol salts
Provided herein are novel solid state forms of tapentadol salts, process for their preparation, pharmaceutical compositions, and method of treating thereof. The tapentadol salts include an L-(−)-camphorsulfonate salt, a dibenzoyl-(L)-tartrate salt, a dibenzoyl-(D)-tartrate salt, a malate salt, a maleate salt, or a salicylate salt.
US08981150B2 Ether-amide compounds and uses thereof
Novel ether-amide compounds are described. Uses of the compounds, in particular as solvents, for example in phytosanitary formulations are also described.
US08981147B2 Diphosphine ligand and transition metal complex using the same
The present invention provides a novel ligand represented by the following formula and a novel transition metal complex having the ligand, which shows superior enantioselectivity and catalytic efficiency, particularly high catalyst activity, in various asymmetric synthesis reactions.A transition metal complex having, as a ligand, a compound represented by the formula wherein R4 is a hydrogen atom or a C1-6 alkyl group optionally having substituent(s), and R5 and R6 are each a C1-6 alkyl group optionally having substituent(s), or the formula is a group represented by the formula wherein ring B is a 3- to 8-membered ring optionally having substituent(s).
US08981144B2 Method for synthesizing 2-cyano-3,12-dioxoolean-1, 9(11)-dien-28-oic acid methyl ester and derivatives thereof
The present invention is a method for preparing triterpenoids such as 2-cyano-3,12-dioxoolean-1,9-dien-28-methyl ester and derivatives thereof from oleanic acid, ursolic acid, betulinic acid, sumaresinolic acid or hederagenin.
US08981139B2 Tertiary S-nitrosothiol-modified nitric—oxide-releasing xerogels and methods of using the same
Provided according to embodiments of the invention are novel tertiary alkyl thiol compounds and novel tertiary alkyl nitrosothiol compounds. Further provided according to embodiments of the invention are methods of forming a nitric oxide (NO)-releasing xerogel coating that include (a) co-condensing a sol precursor solution comprising at least one backbone alkoxysilane and at least one tertiary thiol alkoxysilane in a solvent to form a sol; (b) coating a substrate with the sol; (c) optionally, drying the sol to form the xerogel coating; and (d) contacting the xerogel coating with a nitrosating agent. Methods of using xerogel coatings are also included.
US08981137B2 Method for producing a concentrate of eicosapentaenoic and docosahexaenoic acids
The current invention describes processes for obtaining of concentrates of esters of eicosapentaenoic acid and docosahexaenoic acid for their use in massive and regular human consumption either as a pharmaceutical ingredient or as a food ingredient, which are characterized by having neutral and stable organoleptic properties, free of side effects, which are typical from marine oils derivatives, and with low content of Persistent Organic Pollutants (POP).
US08981121B2 Process for the preparation of nitrogen substituted aminotetralins derivatives
The present invention provides an alternative synthesis of N-substituted aminotetralines comprising resolution of N-substituted aminotetralins of formula (II), wherein R1, R2 and R3 are as defined for compound of formula (I).
US08981120B2 Organic semiconductor
An organic semiconductor represented by the following formula (1). wherein Ar and Ar′ are or are not the same as each other and each of them is independently a cyclic compound having a conjugated structure; R and R′ are or are not the same as each other and each of them is independently one of a straight chain alkyl group, branched alkyl group, straight chain alkoxy group, branched alkoxy group, hydrogen, and halogen; R″ is one of a straight chain alkyl group, branched alkyl group, straight chain alkoxy group, branched alkoxy group, hydrogen, and halogen; x, y, and z are multiples of 0.5, and x≦z, y≦z; and n is a constant of 1 to 1000.
US08981119B2 Benzothiophene compound
The present invention is directed to compounds of Formula I: wherein R1, R2, R3, R4, R5, X and n are described herein. These compounds and their pharmaceutically acceptable salts thereof are useful as IK1 channel activators.
US08981117B2 Processes for the preparation of isoindole compounds and isotopologues thereof
Processes for the preparation of certain isoindole compounds, and isotopologues thereof, are provided. In some embodiments, the processes comprise catalytic assymetrical hydrogenation with hydrogen gas or deuterium gas in a solvent containing exchangeable proton or deuterium for proton-deuterium exchange.
US08981111B2 Fungicide hydroximoyl-heterocycles derivatives
The present invention relates to hydroximoyl-heterocycle derivatives, their process of preparation, intermediate compounds for their preparation, their use as fungicide active agents, particularly in the form of fungicide compositions, and methods for the control of phytopathogenic fungi, notably of plants, using these compounds or compositions.
US08981110B2 Process for the preparation of olmesartan medoxomil
The present invention provides novel process for preparation of olmesartan medoxomil (I) substantially free of olmesartan acid impurity (II) comprising, reacting trityl olmesartan medoxomil (III) with acid, filtering the precipitate of trityl alcohol, subjecting the filtrate to agitated thin film drying and recovering olmesartan medoxomil (I).
US08981108B2 Optical film, retardation plate, elliptica polarizing plate, liquid crystal display device and compound
An optical film including at least one compound represented by formula (1) is disclosed. In the formula, Y11, Y12 and Y13 each independently represent methine or a nitrogen atom; R11, R12 and R13 each independently represent formula (A), (B) or (C) or a hydrogen atom, provided that at least two of R11, R12 and R13 each independently represent formula (A), (B) or (C). In the formulae, L12, L22, and L32 each represent a 5-membered heterocyclic group.
US08981105B2 Process of preparing a thrombin specific inhibitor
A process of preparation of a compound of formula (I), or a pharmaceutically acceptable salt thereof, wherein R1 and R2 represent H; or either R1 represents ethyl and R2 represents n-hexyloxycarbonyl that applies to industrial scale, novel intermediates useful for the preparation thereof, and processes of preparing said intermediates.
US08981103B2 Stable crystal of 4-oxoquinoline compound
Provision of a stabilized crystal of 6-(3-chloro-2-fluorobenzyl)-1-[(S)-1-hydroxymethyl-2-methylpropyl]-7-methoxy-4-oxo-1,4-dihydroquinoline-3-carboxylic acid (compound A). A crystal of compound A, which shows a particular X-ray powder diffraction pattern of a characteristic diffraction peaks at diffraction angles 2θ(°) as measured by X-ray powder diffractometry.
US08981102B2 Nicotinic receptor compounds
Provided herein are compounds and methods of preparation of compounds that are capable of functioning as agonists or antagonists of a nicotinic receptor. Also provided are pharmaceutical compositions comprising one or more of these compounds, which may further comprise one or more additional therapeutic agents. Further provided are methods of treatment of various conditions that may be responsive to such activity at the nicotinic receptors, such as nicotine dependence.
US08981097B2 Industrial process for the preparation of buprenorphine and its intermediates
There is provided an efficient industrial process for the preparation of 21-cyclopropyl-7α-(2-hydroxy-3,3-dimethyl-2-butyl)-6,14-endo-ethano-6,7,8,14-tetrahydro-oripavine, i.e. buprenorphine of Formula-I in high yield and purity, with enhanced safety and eco-friendly norms. The invention further relates to an improved process for preparation of intermediates thereof in high yield and purity.
US08981092B2 Substituted 3,4-dihydro-2H-pyrrolo[1,2-a]pyrazin-1-ones as modulators of protein kinase activity
Compounds which are 4,7-disubstituted derivatives of 3,4-dihydro-2H-pyrrolo[1,2-a]pyrazin-1-one compounds, or pharmaceutically acceptable salts thereof, their preparation process and pharmaceutical compositions comprising them are disclosed; these compounds are useful in the treatment of diseases caused by and/or associated with an altered protein kinase activity such as cancer, viral infection, prevention of AIDS development in HIV-infected individuals, cell proliferative disorders, autoimmune and neurodegenerative disorders; also disclosed is a process under Solid Phase Synthesis conditions for preparing the compounds of the invention and chemical libraries comprising a plurality of them.
US08981091B2 Pest control composition
Disclosed is a pest control composition having an excellent controlling effect on pests, which comprises etoxazole and fenazaquin.
US08981085B2 Pyrrolopyridines as kinase inhibitors
Compounds of Formula I are useful for inhibition of CHK1 and/or CHK2. Methods of using compounds of Formula I and stereoisomers and pharmaceutically acceptable salts thereof, for in vitro, in situ, and in vivo diagnosis, prevention or treatment of such disorders in mammalian cells, or associated pathological conditions are disclosed.
US08981083B2 Compositions and methods for treating neoplasia, inflammatory disease and other disorders
The invention features compositions and methods for treating or preventing a neoplasia. More specifically, the invention provides compositions and methods for disrupting the interaction of a BET family polypeptide comprising a bromodomain with chromatin (e.g., disrupting a bromodomain interaction with an acetyl-lysine modification present on a histone N-terminal tail).
US08981082B2 Process for preparing an intermediate of the macrocyclic protease inhibitor TMC 435
The present invention relates to an improved process for preparing (2R,3aR,10Z,11aS,2aR,14aR)-cyclopenta[c]cyclopropa[g][1,6]diazacyclotetradecine-12a(1H)-carboxylic acid, 2,3,3a,4,5,6,7,8,9,11a,12,13,14,14a-tetradecahydro-2-[[7-methoxy-8-methyl-2-[4-10(1-methylethyl)-2-thiazolyl]-4-quinolinyl]oxy]-5-methyl-4,14-dioxo-, ethyl ester. This compound is an intermediate in the overall synthesis route of the macrocyclic compound TMC 435. TMC 435 is an inhibitor of NS3/4A protease which plays an important role in the replication of the hepatitis C virus.
US08981081B2 High-purity steviol glycosides
Methods of preparing highly purified steviol glycosides, particularly Rebaudioside D, are described. The methods include purification from the extraction stage of the Stevia rebaudiana Bertoni plant, purification of steviol glycoside mixtures, Rebaudioside D and Rebaudioside A from a commercial Stevia extract, and purification of Rebaudioside D from remaining solutions obtained after isolation and purification of Rebaudioside A and a high purity mixture of steviol glycosides. The methods are useful for producing high purity Rebaudioside D, Rebaudioside A, and steviol glycoside mixtures. The high purity steviol glycosides are useful as non-caloric sweeteners in edible and chewable compositions such as any beverages, confectioneries, bakery products, cookies, and chewing gums.
US08981079B2 Purification of tertiary formamide contaminated with tertiary acetamide
A chlorinating agent such as a chloroiminium species is used to remove or neutralize tertiary acetamide present as a contaminant in a tertiary formamide solvent. Tertiary formamide solvent purified or treated in this manner can be used as a reaction vehicle for the chlorination of sucrose-6-acylates, thereby improving the yields of the desired sucralose-6-acylate (an intermediate in the production of sucralose).
US08981078B2 Selective inhibitors of translesion DNA replication
An agent for inhibiting translesion DNA replication comprises a non-natural adenine ribose analog represented by those as set forth in FIG. 1.
US08981073B2 Hepatitis C virus gene
Disclosed are an HCV gene having higher replication efficiency and higher reinfection efficiency than the known HCV gene of genotype 1b, an RNA replicon having this gene, a cell infected with this RNA replicon, which cell allows replication of HCV, and an HCV particle. The hepatitis C virus gene encodes an amino acid sequence wherein the 979th amino acid is threonine; the 1804th amino acid is leucine; and the 1966th amino acid is lysine. An HCV gene which can propagate in vitro and has higher replication efficiency and higher reinfection efficiency than the known HCV gene of genotype 1b was provided.
US08981070B2 Conjugate between a thiophilic solid phase and an oligonucleotide comprising a thiooxonucleotide
An oligonucleotide-solid phase conjugate, wherein the solid phase is thiophilic and the oligonucleotide comprises at least one thiooxonucleobase according to Formula I, wherein X is CH or N, R1 is H or NH2, --- indicates a covalent bond, and said oligonucleotide is bound to said solid phase via the sulfur atom of said thiooxonucleotide. Also disclosed are methods for producing such conjugate as well as various uses for such oligonucleotide metal conjugate.
US08981067B2 Composition, method and kit for obtaining purified recombinant proteins
Compositions relating to a combination of two types of separation matrix; and to variant host cells which contain at least one essential host protein that is fused to an affinity binding tag or has been mutated to replace at least two of a plurality of histidines or basic amino acids are provided. Methods are also provided that relate to isolating a recombinant protein from a lysate.
US08981066B2 Epigenetic mechanisms related to DNA damage and aging
This invention pertains to markers of cellular senescence. In particular methylation of histone H1 (or isoforms thereof) at residue 172 and/or at residue 180 is a marker of cellular senescence. Antibodies specific to histone H1 (or isoforms thereof) methylated at residue 172 and/or at residue 180 are provided.
US08981064B2 Antigen binding proteins to proprotein convertase subtilisin kexin type 9 (PCSK9)
Antigen binding proteins that interact with Proprotein Convertase Subtilisin Kexin Type 9 (PCSK9) are described. Methods of treating hypercholesterolemia and other disorders by administering a pharmaceutically effective amount of an antigen binding protein to PCSK9 are described. Methods of detecting the amount of PCSK9 in a sample using an antigen binding protein to PCSK9 are described.
US08981058B2 Gelatin sponge comprising an active ingredient, its preparation and use
The present invention is directed to a method for manufacturing a cross-linked gelatin sponge having a surface by providing a cross-linked gelatin sponge, wetting the surface of the sponge by applying a sufficient amount of liquid comprising a protein or peptide active ingredient, wherein a sufficient amount of liquid is one that retains the flexibility of the sponge even after drying. The sponge is then dried the sponge to obtain a flexible, dry and ready to use cross linked gelatin sponge having a layer of protein or peptide active ingredient on the surface thereof.
US08981056B2 Variant LovD polypeptide
The invention disclosed herein relates to methods and materials for producing simvastatin and related compounds such as huvastatin. In particular, the disclosure teaches that variants of the LovD acyltransferase polypeptide can be engineered to exhibit properties that facilitate their use in the production of simvastatin and/or huvastatin. The materials and processes disclosed herein are designed so that fermentation facilities currently producing lovastatin can be converted to producing simvastatin and related compounds with minimal modifications.
US08981055B2 Methods for manufacturing an antifungal agent
The present invention relates to an improved process for the preparation of Micafungin.
US08981052B2 JNK inhibitor molecules
JNK inhibitor molecules are described. In addition, methods for raising antibodies against such JNK inhibitor molecules are disclosed. These antibodies and cells producing these antibodies are also described.
US08981051B2 Na+/K+-ATPase-specific peptide inhibitors/activators of Src and Src family kinases
A method for regulating Src and its downstream signaling pathway which includes binding between Src and Na+/K+-ATPase is disclosed. The Na+/K+-ATPase/Src complex is a functional receptor for cardiotonic steroids such as ouabain. Also disclosed are Src inhibitors or activators which include either Na+/K+-ATPase or Src that interfere with the interaction between the Na/K-ATPase and Src, act via a different mechanism from ATP analogs, and is pathway (Na+/K+-ATPase) specific.
US08981044B2 Lipid membrane structure having intranuclear migrating property
A lipid membrane structure for delivering a substance into a nucleus of a cell, wherein lipid membrane is modified with (a) a polypeptide comprising the amino acid sequence of SEQ ID NO: 1, and/or (b) a polypeptide consisting of an amino acid sequence comprising the amino acid sequence of SEQ ID NO: 1, but including deletion and/or substitution and/or insertion of one or several amino acid residues, and having an activity of promoting migration of the lipid membrane structure into a nucleus of a cell, which can efficiently deliver a nucleic acid into a nucleus of an immunocyte such as dendritic cell.
US08981043B2 Catalytic system for CO2/epoxide copolymerization
The present invention related to a method of manufacturing a polycarbonate including the process of copolymerizing epoxide compound and CO2 using cobalt(III) or chromium(III), where the ligands contains at least 3 ammonium cations, central metal has formal −1 charge, and conjugated anions of the two cationic ammonium groups are acid-base homoconjugation, as catalyst.According to the present invention, the initial induction time can be reduced when the said polycarbonate is manufactured and it is possible to improve the activity of the catalyst and the molecular weight of the obtained polymer.
US08981042B2 Cycloaliphatic polyphosphite polymer stabilizers
A polymeric polyphosphite and copolymeric polyphosphite is described which contains a cycloaliphatic moiety, preferably cyclohexane dimethanol, in the polyphosphite backbone chain.
US08981041B2 Production process of poly(arylene sulfide) and production apparatus thereof
A production process of a poly(arylene sulfide) (PAS), including a polymerization step of forming a PAS from a sulfur source that is an alkali metal (hydro)sulfides and a dihalo-aromatic compound in an organic amide solvent; a separation step of PAS particles; a countercurrent washing step that is a step of washing the PAS particles with water and/or an organic solvent, wherein a downward current of a PAS particle-containing aqueous slurry is continuously brought into countercurrent contact with an upward current of a washing liquid; a PAS particle re-separation step of capturing a washing waste liquid by a PAS particle re-separating unit, in which a micro-slit filter has been installed, and then re-separating the PAS particles from the micro-slit filter, preferably using a backwashing unit, to discharge them; and a collecting step of the PAS particles discharged, and a production apparatus of a poly(arylene sulfide).
US08981040B2 Cationically polymerizable resin, cationically polymerizable resin composition, and cured products thereof
Provided is a cationically polymerizable resin which is rapidly cured upon irradiation with light and forms a cured product excellent in flexibility and thermal stability. The cationically polymerizable resin is obtained through radical polymerization of an oxetane-ring-containing (meth)acryloyl compound represented by following Formula (1) alone or in combination with another radically polymerizable compound. In the formula, R1 represents hydrogen atom or methyl group; R2 represents hydrogen atom or an alkyl group; and “A” represents a linear or branched chain alkylene group having 2 to 20 carbon atoms.
US08981035B2 Production method of poly (phenylene ether ether ketone)
There is provided a production method of poly(phenylene ether ether ketone). The production method makes a cyclic poly(phenylene ether ether ketone) composition subjected to thermal ring-opening polymerization in the presence of a metal alkoxide and/or a metal phenoxide. The cyclic poly(phenylene ether ether ketone) composition includes 60% by weight or more of cyclic poly(phenylene ether ether ketone) and has a melting point of 270° C. or lower.
US08981033B2 Resin curing agents
A curable resin comprising a curing agent, wherein the curing agent comprises an adjustable structural unit having a stable chain-like arrangement which is adjustable to a stable ring-like arrangement, wherein the ring-like arrangement comprises the constituents of the chain-like arrangement with two terminal constituents exhibiting an attractive chemical interaction, and is adjustable back from the ring-like arrangement to the chain-like arrangement by separation of the two terminal constituents.
US08981032B2 Composition containing a modified (poly) isocyanate and a solvent of the acetal or aliphatic ketone type, and use of said composition for producing coatings
The invention relates to a modified (poly)isocyanate composition that contains a modified (poly)isocyanate and at least one solvent. The invention also relates to the use of this composition for preparing coatings such as paints and varnishes.
US08981031B2 Photo-patternable dielectric materials curable to porous dielectric materials, formulations, precursors and methods of use thereof
Silsesquioxane polymers that cure to porous silsesquioxane polymers, silsesquioxane polymers that cure to porous silsesquioxane polymers in negative tone photo-patternable dielectric formulations, methods of forming structures using negative tone photo-patternable dielectric formulations containing silsesquioxane polymers that cure to porous silsesquioxane polymers, structures containing porous silsesquioxane polymers and monomers and method of preparing monomers for silsesquioxane polymers that cure to porous silsesquioxane polymers.
US08981030B2 Sealants
The invention relates to alkoxysilane groups comprising prepolymers and the use thereof as binding agents for sealants. More specifically the invention relates to alkoxysilyl polyurethanes prepared from a polyol having a number-average molecular weight of from greater than 20,000 to 30,000 and an isocyanate alkoxysilane.
US08981028B2 Catalyst composition comprising shuttling agent for tactic/ atactic multi-block copolymer formation
Copolymers, especially multi-block copolymer containing therein two or more segments or blocks differing in tacticity, are prepared by polymerizing propylene, 4-methyl-1-pentene, or another C4-8 α-olefin in the presence of a composition comprising the admixture or reaction product resulting from combining: (A) a first metal complex olefin polymerization catalyst, (B) a second metal complex olefin polymerization catalyst capable of preparing polymers differing in tacticity from the polymer prepared by catalyst (A) under equivalent polymerization conditions, and (C) a chain shuttling agent.
US08981026B2 Cycloolefin polymer compound and method of preparing the same
This invention relates to a cycloolefin copolymer, which is prepared via ring-opening metathesis polymerization using, as monomers, dicyclopentadiene obtained by chemically bonding two cyclopentadiene molecules using a Diels-Alder reaction and tricyclopentadiene obtained by chemically bonding three cyclopentadiene molecules using a Diels-Alder reaction, in which the cyclopentadiene is a C5-fraction of naphtha cracking, and to a method of preparing the same. This copolymer is a non-crystalline transparent resin and is useful for a variety of end uses.
US08981022B2 Method of producing composition having continuous phase and disperse phase dispersed finely in the continuous phase and apparatus for producing the composition
There is provided a method of producing a composition having a continuous phase and a disperse phase dispersed finely with low polydispersity in the continuous phase, with high production efficiency. The composition is produced by the production method including the steps of: (A) passing the swirling flow of the continuous phase liquid into a cylindrical body the circumferential surface of which is either in part or entirely formed by a porous membrane, (B1) supplying the disperse phase fluid to the swirling flow via the porous membrane to form the particles of the disperse phase on the porous membrane, and (B2) detaching the disperse phase particles formed on the porous membrane by the shear force of the swirling flow.
US08981021B2 Systems and methods for fabricating polyolefins
Various methods and systems for using oxygen in a polyolefin polymerization reactor system are provided. In certain embodiments, the methods are performed in conjunction with a polymerization reactor system such as a gas-phase reactor system.
US08981015B2 Flame retardant poly(siloxane) copolymer compositions, methods of manufacture, and articles formed therefrom
A poly(siloxane) copolymer composition comprising: a first polymer comprising a first repeating unit, and a poly(siloxane) block unit, a second polymer different from the first polymer and comprising of bromine; and optionally, one or more third polymers different from the first polymer and second polymer; wherein siloxane units are present in the composition in an amount of at least 0.3 wt %, and bromine is present in the composition in an amount of at least 7.8 wt %, each based on the sum of the wt % of the first, second, and optional one or more third polymers; and further wherein an article molded from the composition has an OSU integrated 2 minute heat release test value of less than 65 kW-min/m2 and a peak heat release rate of less than 65 kW/m2, and an E662 smoke test Dmax value of less than 200.
US08981014B2 Phosphorus-containing phenolic resin, method for manufacturing the same, and use of the same
The present invention provides a novel phosphorus-containing phenolic resin. When the phosphorus-containing phenolic resin is used as an epoxy resin curing agent, the cured product thereof has various excellent properties such as excellent moisture resistance, low permittivity, a low dissipation factor, and excellent adhesion in addition to improved flame retardation. Specifically, the phosphorus-containing phenolic resin of the invention is represented by formula (1). The invention also provides a method for manufacturing the novel phosphorus-containing phenolic resin, a phenolic resin composition including the phosphorus-containing phenolic resin, an epoxy resin curing agent including the phenolic resin composition, an epoxy resin composition including the epoxy resin curing agent and an epoxy resin, a cured product obtained by curing the epoxy resin composition, and a copper-clad laminated plate obtained by using the epoxy resin composition as a matrix resin.
US08981007B2 Non-crosslinked polyethylene composition for power cable
Provided is a non-crosslinked polyethylene composition for a power cable in which crosslinked polyethylene widely used in the world as an existing insulator for a power cable is replaced with a non-crosslinked polyethylene resin, and more particularly, a non-crosslinked polyethylene composition for a power cable having excellent processability, AC dielectric breakdown strength, space charge distribution, and water tree resistance.
US08980996B2 Modified polyamide composition comprising at least one phenolic compound
A phenolic compound for manufacturing a modified polyamide that has an increased degree of crystallinity is described. A polyamide composition comprising at least one such phenolic compound and optionally reinforcing fillers or extenders is also described. The composition is preferably a composition to be molded, for example in the form of granules or powder, that is used for the manufacture of articles by an injection-molding process.
US08980995B2 Paints with improved water staining and color rub-off qualities
The present invention comprises a hybrid latex polymer composition with one or more hydrophobic compound which is a solid or has a 50% distillation temperature of at least 200° C. and one or more matrix polymer, wherein the hydrophobic compound is entrapped in the structure of the matrix polymer. Further, the invention is a method of improving resistance to water staining and color rub-off in latex paints by including a hybrid latex polymer.
US08980993B2 Coating compositions for improved block resistance
The aqueous volatile organic compound (VOC)-free coating compositions includes an acrylic latex and a vinyl acetate-ethylene latex including from 10 to 90 weight percent of a vinyl acetate-ethylene polymer, based on total weight of acrylic polymer and vinyl acetate-ethylene polymer, having a Tg from −20 to 20 degrees Celsius; and from about 10 to about 90 weight percent of an acrylic polymer, based on the total weight of acrylic polymer and vinyl acetate-ethylene polymer, the acrylic polymer comprising, in polymerized form, at least one ethylenically unsaturated (meth)acrylic monomer and from 0.01 to 10 weight percent, based on total weight of the acrylic polymer, of an acetoacetate moiety containing monomer, where the acrylic polymer has a Tg of from −20 to 20 degrees Celsius. A method of coating a substrate and substrate having at least one surface coated are disclosed.
US08980989B2 Rubber composition
The present invention provides a rubber composition containing: (A) a rubber component containing 10% by mass or more of at least one kind of rubber selected from diene rubber synthesized by emulsion polymerization and natural rubber and 90% by mass or less of another kind of diene rubber; (B) silica having a n-hexadecyltrimethylammonium bromide (CTAB) adsorption specific surface area of less than 140 m2/g measured according to a method described in ASTM D3765-92; (C) at least one silane coupling agent selected from a polysulfide compound and a thioester compound; and (D) a vulcanization accelerator, the rubber composition after vulcanization having an average aggregated aggregate area (nm2) of the silica of 3,300 or less, and thus provides a rubber composition that is improved in low-heat-generation property.
US08980987B2 Method for producing modified conjugated diene rubber, modified conjugated diene rubber, and rubber composition
This invention relates to a method for producing a modified conjugated diene rubber wherein the method involves: (a) a step in which a conjugated diene polymer having an alkali metal or alkaline earth metal active end and obtained by polymerizing a conjugated diene compound or by polymerizing a conjugated diene compound with an aromatic vinyl compounds is reacted with a first alkoxysilane compound which has an alkoxysilyl group having two or more alkoxy groups and has a group protected by a protecting group wherein deprotection is possible to obtain a modified conjugated-diene polymer having an alkoxysilyl group; and (b) a step in which the modified conjugated-diene polymer is reacted with a second alkoxysilane compound which has an alkoxysilyl group.
US08980982B2 Electromagnetic spectrally detectable plastic packaging components
A plastic packaging component is provided that is formed of a resin containing an electromagnetic spectrally detectable additive mixed with the resin. The resin formed into a plastic packaging component of an aerosol package lid, an aerosol spray button, an aerosol spray tube, a trigger sprayer, an integrated lid-sprayer, a grease cartridge, a grease cap, plastic fiber toweling, a packaging strap, a pail lid, a jar cap, or a brush. A process for detecting a displaced packaging component in an organic production stream is provided that includes adding to a resin an electromagnetically detectable additive intermixed with the resin and forming the additive loaded resin into the plastic packaging component. The production stream is then scanned for the detectable signal of the additive. Upon detecting the additive, an alarm signal is provided that the production stream contains the displaced packaging component.
US08980980B2 Rubber composition for pneumatic tire
A rubber composition for a tire, in particular for a tread rubber part of a studless tire, comprising: diene rubber component consisting of natural rubber and/or other diene rubber; powder of hydrophilic modified cellulose amounting 0.1-30 mass parts relative to 100 mass parts of the diene rubber component. In preferred embodiments, the hydrophilic modified cellulose has degree of etherification in a range of 0.2-1.0 and its powder has average particle size in a range of 20 μm to 100 μm.
US08980972B2 Polymerisable material
Polymerisable material which comprises a polymerisable group, a siloxane group-containing component and a zwitterionic group is described. The polymerisable material may be used to produce polymers and articles, in particular contact lenses.
US08980971B2 Stereolithography resin compositions and three-dimensional objects made therefrom
A photocurable resin composition for three-dimensional photofabrication operations, including stereolithography, comprising (A) a cationically polymerizable compound having two or more bisphenol structures and one or more hydroxyl groups, (B) a cationically polymerizable compound other than the component (A), (C) a cationic photoinitiator, (D) a radically polymerizable compound, (E) a radical photoinitiator, and (F) multilayer polymer particles having a core and a shell layer, the shell layer containing functional group-modified rubber polymer particles having at least one reactive functional group.
US08980968B2 Photosensitive resin composition, method for producing structure, and liquid discharge head
A photosensitive resin composition includes (a) a compound polymerizable in the presence of an acid, and (b) a photoacid generating agent including an onium salt having a cationic part structure represented by formula (b1) below and an anionic part structure represented by formula (b2) below, wherein the component (b) absorbs 50% or more of the amount of 365 nm wavelength light absorbed by the photosensitive resin composition,
US08980961B2 Conversion of carbon dioxide to methanol using bi-reforming of methane or natural gas
The invention provides for \ a method of forming methanol by combining a mixture of methane, water and carbon dioxide under reaction conditions sufficient to form a mixture of hydrogen and carbon monoxide. Hydrogen and carbon monoxide are reacted under conditions sufficient to form methanol. The molar ratio of hydrogen to carbon monoxide is at least two moles of hydrogen to one mole of carbon monoxide and the overall molar ratio between methane, water and carbon dioxide is about 3:2:1. Methane, carbon dioxide and water are bi-reformed over a catalyst. The catalyst includes a single metal, a metal oxide, a mixed catalyst of a metal and a metal oxide or a mixed catalyst of at least two metal oxides.
US08980960B2 Methods for producing a dispersion containing silicon dioxide particles and cationization agent
Process for preparing a dispersion comprising silicon dioxide particles and cationizing agents, by dispersing 50 to 75 parts by weight of water, 25 to 50 parts by weight of silicon dioxide particles having a BET surface area of 30 to 500 m2/g and 100 to 300 μg of cationizing agent per square meter of the BET surface area of the silicon dioxide particles, wherein the cationizing agent is obtainable by reacting at least one haloalkyl-functional alkoxysilane, hydrolysis products, condensation products and/or mixtures thereof with at least one aminoalcohol and water; and optionally removing the resulting hydrolysis alcohol from the reaction mixture. Also the process for preparing the dispersion, wherein the cationizing agent comprises one or more quaternary, aminoalcohol-functional, organosilicon compounds of formula III and/or condensation products thereof, wherein Ru and Rv are independently C2-4 alkyl group, m is 2-5 and n is 2-5.
US08980959B2 Bi-modal emulsions
A process for preparing bi-modal water emulsions is disclosed comprising: I) forming a mixture comprising; A) 100 parts by weight of a hydrophobic oil, B) 1 to 1000 part by weight of a water continuous emulsion having at least one surfactant, II) admixing additional quantities of the water continuous emulsion and/or water to the mixture from step I) to form a bi-modal emulsion.
US08980957B2 Methods for the preparation of biocompatible polymers, the polymers and their uses
The subject invention relates to a method of preparing a biocompatible polymer comprising epsilon-linked L-lysine having free alpha-amino and carboxy functions. The invention further encompasses the resulting biocompatible polymer and articles made from the polymer, in particular contact and intraocular lenses.
US08980954B2 Substituted cis- and trans-stilbenes as therapeutic agents
The present invention relates to method(s) of treating a subject afflicted with cancer or a precancerous condition, an inflammatory disease or condition, and/or stroke or other ischemic disease or condition, the method comprising administering to the subject or patient in need a composition comprising a therapeutically effective amount of a substituted cis or trans-stilbene.
US08980952B2 Methods for treating brain swelling with a compound that blocks a non-selective cation channel
The present invention is directed to therapeutic compounds, treatment methods, and kits affecting the NCCa-ATP channel of neural tissue, including neurons, glia and blood vessels within the nervous system, and methods of using same. The NCCa-ATP channel is newly expressed in neural tissue following injury such as ischemia, and is regulated by the sulfonylurea receptor SUR1, being inhibited by sulfonylurea compounds, e.g., glibenclamide and tolbutamide, and opened by diazoxide. Antagonists of the NCCa-ATP channel, including SUR1 antagonists, are useful in the prevention, diminution, and treatment of injured or diseased neural tissue, including astrocytes, neurons and capillary endothelial cells, that is due to ischemia, tissue trauma, brain swelling and increased tissue pressure, or other forms of brain or spinal cord disease or injury. Agonists of the NCCa-ATP channel may be are useful in the treatment neural tissue where damage or destruction of the tissue, such as a gliotic capsule, is desired.
US08980946B2 Treatment of obesity using non-daily administration of 6-O-(4-dimethylaminoethoxy) cinnamoyl fumagillol
The invention generally relates to methods of treating an overweight or obese subject, and treating overweight- or obesity-related conditions using non-daily administration of e.g., a MetAP-2 inhibitor.
US08980944B2 EP2 and EP4 agonists as agents for the treatment of influenza a viral infection
The present invention is directed to the use of EP2 and/or EP4 agonists as therapeutics for the treatment of diseases associated with influenza A viruses, such as for example H5N1 and mutations thereof.
US08980942B2 Prodrugs of tetrahydrocannabinol, compositions comprising prodrugs of tetrahydrocannabinol and methods of using the same
Described herein are Δ9-THC prodrugs, methods of making Δ9-THC prodrugs, formulations comprising Δ9-THC prodrugs and methods of using Δ9-THC. One embodiment described herein relates to the transdermal administration of a Δ9-THC prodrug for treating and preventing diseases and/or disorders.
US08980941B2 Controlled Cannabis decarboxylation
The invention is a process for the controlled decarboxylation of cannabis wherein Medicinal Delta-9 tetrahydrocannabinol (Δ9-THC) and other cannabinoid medicinal substances are decarboxylated through a chemical reaction facilitated by a cofactor. The amount of medicinal cannabis decarboxylated will be directly proportional to the amount of cofactor used. Use a small amount of the cofactor and only some of the medicinal cannabis contained in raw cannabis will be converted from an acidic form into a non-acidic form. Use a large amount of the cofactor and most or all of the medicinal cannabis will be decarboxylated. The reaction is proportional to the molar mass of cofactor.
US08980939B2 Process for the preparation of olopatadine
A process for the preparation of olopatadine hydrochloride starting from an advanced intermediate.
US08980938B2 CXCR2 inhibitors
The invention relates to compounds of the formula I in which R1, R2, A, B, X and Y have the meanings indicated in the claims, and/or a pharmaceutically acceptable salt and/or a prodrug thereof. Because of their properties as inhibitors of chemokine receptors, especially as CXCR2 inhibitors, the compounds of the formula I and the pharmaceutically acceptable salts and prodrugs thereof are suitable for the prevention and treatment of chemokine mediated diseases.
US08980934B2 Kinase inhibitors and method of treating cancer with same
The present teachings provide a compound represented by structural formula (I): or a pharmaceutically acceptable salt thereof. Also described are pharmaceutical compositions and methods of use thereof.
US08980931B1 Method of evaluating pharmaceutical preparation containing luliconazole and index substance
Disclosed is a method of evaluating stability of a pharmaceutical preparation containing luliconazole. The method includes measuring an amount of production of an SE form of luliconazole represented by following formula (2), an amount of production of a Z form of luliconazole represented by following formula (3) and an amount of production of an amide form of luliconazole represented by following formula (1) after storage under a severe condition or an accelerated condition, and judging that the stability of the pharmaceutical preparation is high if each of the amount of production of the SE form, the amount of production of the Z form and the amount of production of the amide form is not more than 5% by weight with respect to a compounded amount of luliconazole.
US08980926B2 2-aminoindole compounds and methods for the treatment of malaria
The present invention relates to methods of treating a subject with malaria comprising administering a 2-aminoindole compound represented by Formula: (I)—The values and preferred values of the variables in Structural Formula I are defined herein.
US08980925B2 Antimicrobial amphiphiles and methods for their use
The present invention is directed to compositions useful for antimicrobial applications. These compositions comprise amphiphilic compounds.
US08980924B2 Non-retinoid RBP4 antagonist for treatment of age-related macular degeneration and stargardt disease
A method for treating bisretinoid-mediated macular degeneration in a mammal afflicted therewith comprising administering to the mammal an effective amount of a compound having the structure: or an ester or a pharmaceutically acceptable salt thereof.
US08980922B2 5-HT4 receptor agonists for the treatment of dementia
This invention relates to a compound of formula (I) or a pharmaceutically acceptable salt thereof with 5-HT4 agonistic activities, which is useful in the treatment of dementia. This invention also relates to a pharmaceutical composition for the treatment of dementia which comprises a therapeutically effective amount of a compound of formula (I) or a pharmaceutically acceptable salt thereof. Further this invention relates to a method for the treatment of dementia in an animal subject including a mammalian subject, which comprises administering to the animal subject including a mammalian subject a compound of the formula (I) or a pharmaceutically acceptable salt thereof.
US08980920B2 Antiviral compounds of three linked aryl moieties to treat diseases such as hepatitis C
The present invention relates to novel Linked Tricyclic Aryl Compounds, compositions comprising at least one Linked Tricyclic Compound, and methods of using Linked Tricyclic Aryl Compounds for treating or preventing HCV infection in a patient. in one aspect, the present invention provides Compounds of Formula (I): and pharmaceutically acceptable salts thereof, wherein: Non-limiting examples of the Compounds of Formula (II) include compound 56.
US08980919B2 Combination of a carbostyril and carnitine
The present invention relates to a medicament for treating occlusive and/or ischemic vascular disorder comprising a carbostyril derivative and carnitine.
US08980918B2 Amorphous (5-fluoro-2-methyl-3-quinolin-2-ylmethyl-indol-1-yl)-acetic acid
The invention relates to a stable amorphous form of (5-Fluoro-2-methyl-3-quinolin-2-ylmethyl-indol-1-yl)-acetic acid and its use in the treatment of conditions mediated by the action of PGD2 at the CRTH2 receptor.
US08980916B2 Factor IXa inhibitors
The present invention provides a compound of Formula (I) as described herein, or a pharmaceutically acceptable salt thereof. The present invention also provides pharmaceutical compositions comprising one or more said compounds, and methods for using said compounds for treating or preventing a thromboses, embolisms, hypercoagulability or fibrotic changes.
US08980911B2 Heterocyclic compounds as protein kinase inhibitors
The present invention provides a heterocyclic compound of formula (I), a pharmaceutically acceptable salt thereof, a prodrug thereof or a hydrate thereof, wherein A, A′ B, D, R1, R2 and R3 are as defined herein, a pharmaceutical composition comprising a compound of formula (I) as an active ingredient, methods of production, and methods of use thereof. Particularly, the present invention provides a compound of formula (I) useful for treating or preventing a disease, condition or disorder associated with protein kinases, preferably Janus Kinase family.
US08980910B2 Treatment of glaucoma
A method is provided for treating patients suffering from elevated intraocular pressure or fluctuation in intraocular pressure, including for example glaucoma patients. The method comprises administering to the patient an inhibitor of orexin activity in an amount sufficient to reduce intraocular pressure or intraocular pressure fluctuation in one or both eyes of the patient.
US08980906B2 Pyridonemorphinan analogs and biological activity on opioid receptors
The application is directed to compounds of Formula I-A and pharmaceutically acceptable salts and solvates thereof, wherein , R1a—R3a, R4, Y and Za are defined as set forth in the specification. The invention is also directed to use of compounds of Formula I-A to treat disorders responsive to the modulation of one or more opioid receptors, or as synthetic intermediates. Certain compounds of the present invention are especially useful for treating pain.
US08980903B2 Fused pyridine, pyrimidine and triazine compounds as cell cycle inhibitors
Compounds, pharmaceutical compositions and methods are provided that are useful in the treatment of CDK4-mediated disorders, such as cancer. The subject compounds are fused pyridine, pyrimide and triazine derivatives.
US08980902B2 Poly (ADP-ribose) polymerase (PARP) inhibitors
Compounds of the following formula are provided for use with PARP: wherein the variables are as defined herein. Also provided are pharmaceutical compositions, kits, and articles of manufacture comprising such compounds, methods and intermediates useful for making the compounds, and methods of using the compounds.
US08980894B2 Use of PDE III inhibitors for the treatment of asymptomatic (occult) heart failure
The invention relates to the use of a phosphodiesterase type III (PDE III) inhibitor or Ca2+-sensitizing agent or a pharmaceutically acceptable derivative thereof for the preparation of a medication for the prolongation of time until onset of clinical symptoms in patients having an asymptomatic (occult) heart failure or for the reduction of heart size in patients having symptomatic or asymptomatic (occult) heart failure.
US08980892B2 Insecticidal compounds
A compound of formula (I): wherein A1, A2, A3, A4, G1, R1, R2, R3, R4, R5a, R5b and R6 are as defined in claim 1; or a salt or N-oxide thereof. Furthermore, the present invention relates to processes and intermediates for preparing compounds of formula (I), to insecticidal, acaricidal, nematicidal and molluscicidal compositions comprising the compounds of formula (I) and to methods of using the compounds of formula (I) to control insect, acarine, nematode and mollusc pests.
US08980891B2 Crystalline forms of certain 3-phenyl-pyrazole derivatives as modulators of the 5-HT2A serotonin receptor useful for the treatment of disorders related thereto
Provided are certain solvates of 3-methoxy-N-[3-(2-methyl-2H-pyrazol-3-yl)-4-(2-morpholin-4-yl-ethoxy)-phenyl]-benzamide or a pharmaceutically acceptable salt thereof. Also provided are pharmaceutical compositions comprising such solvates and methods for their use.
US08980889B2 Pyrazole derivative
It has been desired to develop a pharmaceutical composition, which is used in agents for preventing and/or treating various diseases related to PDE10 (e.g. mental disorder and neurodegenerative disorder). The present invention provides: compounds having PDE10 inhibitory effect, in particular, compounds having a 4-heteroarylpyrazole-5-carboxylic acid amide structure represented by the following formula (I), or their pharmaceutically acceptable salts, or their solvates; pharmaceutical compositions comprising, as active ingredients, the compounds, or their pharmaceutically acceptable salts, or their solvates; and medical use of the compounds, or their pharmaceutically acceptable salts, or their solvates.
US08980887B2 2-aryl imidazo[1,2-a]pyridine-3-acetamide derivatives, preparation methods and uses thereof
Disclosed are 2-arylimidazo[1,2-a]pyridine-3-acetamide derivatives represented by formula I, their tautomer, racemate or optical isomer, their pharmaceutically acceptable salt, or their solvates, wherein R1, R2, R3 and R4 are defined as in the specification. Preparation methods of said compounds and use of said compounds in treating and/or preventing central nervous system disease associated with TSPO functional disorder
US08980886B2 Anthranilic acid derivatives
The present invention relates to novel anthranilic acid derivatives of the general formula (I) in which R1, R2, R3, R4, R5, Qx, A, Qy and n have the meanings given in the description, to their use as insecticides and acaricides for controlling animal pests, also in combination with other agents for activity boosting, and to a plurality of processes for their preparation.
US08980879B2 Bromodomain inhibitors
The present invention provides for compounds of formula (I) wherein R1, R2, R6, Y1, Y2, A1, A2, A3, and A4 have any of the values defined in the specification, and pharmaceutically acceptable salts thereof, that are useful as agents in the treatment of diseases and conditions, including inflammatory diseases, cancer, and AIDS. Also provided are pharmaceutical compositions comprising one or more compounds of formula (I).
US08980875B2 Platinum-N-heterocyclic carbene derivatives, preparation thereof and therapeutic use thereof
The invention relates to the platinum N-heterocycle derivatives of general formula (I) in which—R1 and/or R2 are, independently of one another, an aryl or aralkyl group, each optionally substituted, a linear or branched C1-C6 alkyl group, a monocyclic C3-C7 cycloalkyl group or a linear or branched C2-C6 alkenyl group, or else R′ is a hydrogen atom and R is a group selected from the following groups: cycloalkyl or heterocycloalkyl, which is monocyclic or bicyclic and has from 3 to 8 carbon atoms, or benzyl, which is optionally substituted, or else R and R′ form, together with NH, a C3-C8 monocyclic or bicyclic heterocycloalkyl, V is a nitrogen atom or a C—R4 radical, R3 and/or R4 are hydrogen or a phenyl group or R3 and R4 may also together form a C3-C6 alkylene radical or a C3-C6 heteroalkylene radical with one or more nitrogenous heteroatoms, it being possible for the carbon atoms of the heteroalkylene radical to be modified in the form of a carbonyl radical, and X is iodine, bromine, chlorine or a nitrato (—ONO2) group.
US08980874B2 Method and composition for treating diabetic ketoacidosis
Methods of treating diabetic ketoacidosis are disclosed. The methods utilize an endothelin antagonist to treat diabetic ketoacidosis in mammals, including humans.
US08980873B2 11-(2-pyrrolidin-1-yl-ethoxy)-14,19-dioxa-5,7,26-triaza-tetracyclo[19.3.1.1(2,6).1(8,12)]heptacosa-1(25),2(26),3,5,8,10,12(27),16,21,23-decaene citrate salt
The present invention relates to certain salts of a 11-(2-pyrrolidin-1-yl-ethoxy)-14,19-dioxa-5,7,26-triaza-tetracyclo[19.3.1.1(2,6).1(8,12)]heptacosa-1(25),2(26),3,5,8,10,12(27),16,21,23-decaene (Compound I) which have been found to have improved properties. In particular the present invention relates to the citrate salt of this compound. The invention also relates to pharmaceutical compositions containing the citrate salt and methods of use of the citrate salt in the treatment of certain medical conditions.
US08980870B2 Solid telmisartan pharmaceutical formulations
A pharmaceutical composition comprising 3 wt. % to 50 wt. % telmisartan dispersed in a dissolving matrix comprising: (a) a basic agent in a molar ratio of basic agent:telmisartan of 1:1 to 10:|1|; (b) about 1 wt. % to about 20 wt. % of a surfactant or emulsifier; (c) 25 wt. % to 70 wt. % of a water-soluble |diluent|; and (d) 0 wt. % to 20 wt. % of one or more additional excipients and/or |adjuvants|; wherein the sum of all components is 100%, methods of making such pharmaceutical compositions, and their use.
US08980868B2 Oral forms of a phosphonic acid derivative
Novel solution complexes of zoledronic acid are described which give rise to improved properties of zoledronic acid. The invention includes aqueous solution and molecular complexes of zoledronic acid with and optical isomers of asparagine, histidine, arginine and proline as well as pharmaceutical complexes containing them and methods of treatment using them.
US08980867B2 Biocide compositions comprising alkoxylated oligoglycerol esters
Suggested are biocide compositions, comprising (a) Alkoxylated oligoglycerol esters; (b) Biocides and optionally (c) Oil components or co-solvents and/or (d) Emulsifiers. The compositions show excellent adjuvant properties.
US08980862B2 Defibrotide for use in prophylaxis and/or treatment of Graft versus Host Disease (GVHD)
Defibrotide for use in prophylaxis and/or treatment of Graft versus Host Disease (GVHD) in humans is disclosed, preferably in hematopoietic stem cell transplantation (HSCT), more preferably allogeneic hematopoietic stem cell transplantation. Graft versus Host Disease of the invention (GVHD) can be acute aGVHD and/or chronic cGVHD, preferably acute.
US08980861B2 Method for determining activators of excitatory synapse formation
The invention provides methods of screening a compound that can increase spine/excitatory synapse formation and/or numbers. The compound is identified by contacting Ephexin5 with a test compound and selecting the compounds that inhibit Rho GEF activity of Ephexin5. Additionally, the invention also provides methods for increasing spine/excitatory synapse formation and/or numbers by contacting a neuron with an Ephexin5 inhibitor.
US08980860B2 Treatment of discs large homolog (DLG) related diseases by inhibition of natural antisense transcript to DLG
The present invention relates to antisense oligonucleotides that modulate the expression of and/or function of Discs large homolog (DLG), in particular, by targeting natural antisense polynucleotides of Discs large homolog (DLG). The invention also relates to the identification of these antisense oligonucleotides and their use in treating diseases and disorders associated with the expression of DLG.
US08980859B2 Aegyptin and uses thereof
The present invention relates to the discovery of the Aegyptin gene and Aegyptin protein, a molecule that interacts with collagen and inhibits platelet adhesion, activation and aggregation. Novel biological tools, prophylactics, therapeutics, diagnostics, and methods of use of the foregoing are also disclosed.
US08980840B2 Therapeutic modulation of vaginal epithelium boundary lubrication
The present invention relates to the management of vaginal health. In particular, the present invention relates to pharmaceutical compositions, and methods of use thereof, for treating diseases associated with compromised boundary lubrication at the vaginal epithelium.
US08980835B2 Chemically modified water-soluble elastin, mixed gel of chemically modified water-soluble elastin and collagen, and process for producing same
A chemically modified water-soluble elastin that is obtained by subjecting to N-acylating some or all of the primary amines and secondary amines contained in the molecule of a high molecular weight water-soluble elastin and coupling some or all of the carboxyl groups contained in the molecule with the amino group of an amino acid alkyl ester.A chemically modified water-soluble elastin/collagen mixed gel obtained by mixing a collagen with a chemically modified water-soluble elastin that is obtained by subjecting to N-acylating some or all of the primary amines and secondary amines contained in the molecule of a high molecular weight water-soluble elastin and coupling some or all of the carboxyl groups contained in the molecule with the amino group of an amino acid alkyl ester.
US08980834B2 Treatment of degenerative joint disease
The invention provides a method of treating a degenerative joint disease. The method comprises administering an effective amount of a pharmaceutical composition comprising a diketopiperazine with amino acid side chains of aspartic acid and alanine (DA-DKP). The invention also provides a pharmaceutical product as well as a kit comprising DA-DKP.
US08980832B2 Use of fumaric acid derivatives for treating cardiac insufficiency, and asthma
According to a first aspect the invention relates to the use of fumaric acid derivatives selected from the group consisting of dialkyl fumarates, monoalkyl hydrogen fumarates, fumaric acid monoalkyl ester salts, fumaric acid monoamides, monoamido fumaric acid salts, fumaric acid diamides, monoalkyl monoamido fumarates, carbocyclic and oxacarbocyclic oligomers of these compounds and mixtures thereof for preparing a drug for the treatment or prevention of cardiac insufficiency, in particular left ventricular insufficiency, myocardial infarction and angina pectoris.According to a second aspect the invention relates to the use of fumaric acid derivatives, selected from the group consisting of dialkyl fumarates, monoalkyl hydrogen fumarates, fumaric acid monoalkyl ester salts, fumaric acid monoamides, monoamido fumaric acid salts, fumaric acid diamides, monoalkyl monoamido fumarates, carbocyclic and oxacarbocyclic oligomers of these compounds and mixtures thereof for preparing a drug for the treatment of asthma and chronic obstructive pulmonary diseases, especially asthma caused by allergies, infections, analgesics, job conditions or physical effort, mixed forms of asthma, or asthma cardiale.
US08980827B2 Medicinal composition containing echinocandin antifungal agent micafungin and preparation method and use thereof
The present invention provides a medicinal composition containing micafungin or a pharmaceutically acceptable salt thereof and trehalose as a stabilizing agent.
US08980809B2 Cutting fluids with improved performance
The cutting of semiconducting crystals with a wire saw is facilitated with a cutting fluid comprising a polyalkylene glycol neutralized with an un-neutralized or partially neutralized polymeric acid that has a molecular weight of 500 to 1,000,000 and contains more than three acid groups per molecule.
US08980807B2 Blown and stripped blend of soybean oil and corn stillage oil
A method for producing a high viscosity, low volatiles blown stripped oil blend is provided. The method may include the steps of: (i) obtaining an oil blend of corn stillage oil and soybean oil having a weight ratio of corn stillage oil to soybean oil of from about 1:2 to 3:1; (ii) heating the oil blend to at least 90° C.; (iii) passing air through the heated oil blend to produce a blown oil having a viscosity of at least 50 cSt at 40° C.; and (iv) stripping the blown oil from step (iii) to reduce an acid value of the blown oil to less than 5.0 mg KOH/gram.
US08980803B2 Lubricant base stocks with improved filterability
Provided for are lubricant base stocks with improved filterability. The lubricant base stock includes a bright stock or a heavy neutral and an effective amount of a pour point depressant. The filterability of the base stock as measured by the Membrane Filtration Method is less than or equal to 400 seconds. Also provided for are lubricating oils with improved filterability and methods of improving the filterability of lubricant base stocks.
US08980801B2 Zero-leakoff gel
A silicate gel composition that is useful for sealing the face of a fracture of a formation. A method of sealing a face of a fracture of a formation with the silicate gel composition and diverting an acid treatment fluid.
US08980796B2 Crystal modification of Mesotrione
The invention relates to a crystalline polymorph Form 3 of 2-[4-(methylsulfonyl)-2-nitrobenzoyl]-1,3-cyclohex-anedione. A process for its preparation, use thereof for the control of weeds, and an herbicidal composition comprising thereof are also disclosed.
US08980794B2 Aqueous formulations of asulam
This invention relates to a synergistic herbicidal composition comprising Component A comprised of i) 25 to 40% asulam, or its sodium salt; ii) 10 to 20% of a surfactant; iii) 0 to 1% of an antifoam; iv) 0 to 5% adjuvants and auxiliaries; and v) 40 to 65% water; and Component B comprised of trifloxysulfuron, or its herbicidally effective salts; wherein the ratio of Component A to Component B is in range of 80:1 to 380:1 based on active ingredient. The invention furthermore relates to a method of controlling weeds in crops of useful plants, especially in postemergence sugarcane, and to the use of this novel composition for this purpose.
US08980792B2 Compositions comprising abscisic acid and a fungicidally active compound
The present invention relates to methods comprising applying to a useful plant, the locus thereof or propagation material thereof a combination of abscisic acid and a fungicidally active compound, which fungicidally active compound is a succinate dehydrogenase inhibitor, in particular for increasing the potency of a fungicidally active compound. The invention also relates to compositions comprising abscisic acid and the fungicidally active compounds as well as seeds comprising the combinations.
US08980791B2 Thermal recording material and method for producing the same
Provided is a thermal recording material that is excellent in water resistance and prevention of print head wear, less prone to discoloration in the non-printing area, and stably producible. The thermal recording material comprises a protective layer formed by applying a coating liquid for forming the protective layer, the coating liquid being prepared by mixing an acetoacetyl-modified polyvinyl alcohol and calcium glyoxylate particles with a maximum diameter less than 500 μm and an average diameter of 125 μm or less.
US08980783B2 Catalyst for converting nitrogen oxide into ammonia and method for manufacturing the same
Disclosed is a catalyst for converting nitrogen oxide into ammonia, which is capable of converting nitrogen oxide into ammonia under fuel lean exhaust gas conditions of more than theoretical air-fuel ratio (A/F=14.7), and a method for manufacturing the same. The catalyst according to the present invention comprises a metal oxide support impregnated with a precious metal, such that conversion of nitrogen oxide into ammonia under fuel lean exhaust gas conditions of more than theoretical air-fuel ratio (A/F=14.7) is possible.
US08980782B2 Bulk sulfidic mixed metal catalyst and methods for its manufacture and use in converting syngas to alcohol
A process for sulfiding a cobalt-molybdenum bulk catalyst precursor to form a bulk sulfided alcohol synthesis catalyst. The process steps include contacting an oxidic bulk cobalt-molybdenum catalyst precursor with an amount of a sulfur-containing compound which is in the range of about 1 to about 10 moles of sulfur per mole of metals, at one or more temperatures at or in excess of about 300° C. in a medium which is substantially devoid of added hydrogen, so as to form a sulfided bulk cobalt-molybdenum catalyst product. Also described are processes for forming the catalyst precursor, processes for producing an alcohol using the catalyst product and the catalyst product itself.
US08980769B1 Multi-station sequential curing of dielectric films
The present invention provides improved methods of preparing a low-k dielectric material on a substrate. The methods involve multiple operation ultraviolet curing processes in which UV intensity, wafer substrate temperature, UV spectral distribution, and other conditions may be independently modulated in each operation. Operations may be pulsed or even be concurrently applied to the same wafer. In certain embodiments, a film containing a structure former and a porogen is exposed to UV radiation in a first operation to facilitate removal of the porogen and create a porous dielectric film. In a second operation, the film is exposed to UV radiation to increase cross-linking within the porous film.
US08980766B2 Sequential atomic layer deposition of electrodes and resistive switching components
Provided are methods of forming nonvolatile memory elements using atomic layer deposition techniques, in which at least two different layers of a memory element are deposited sequentially and without breaking vacuum in a deposition chamber. This approach may be used to prevent oxidation of various materials used for electrodes without a need for separate oxygen barrier layers. A combination of signal lines and resistive switching layers may be used to cap the electrodes and to minimize their oxidation. As such, fewer layers are needed in a memory element. Furthermore, atomic layer deposition allows more precise control of electrode thicknesses. In some embodiments, a thickness of an electrode may be less than 50 Angstroms. Overall, atomic layer deposition of electrodes and resistive switching layers lead to smaller thicknesses of entire memory elements making them more suitable for low aspect ratio features of advanced nodes.
US08980757B2 Methods for single exposure—self-aligned double, triple, and quadruple patterning
A method including forming a pattern on a surface of a substrate, the pattern including one of discrete structures including at least one sidewall defining an oblique angle relative to the surface and discrete structures complemented with a material layer therebetween, the material layer including a volume modified into distinct regions separated by at least one oblique angle relative to the surface; and defining circuit features on the substrate using the pattern, the features having a pitch less than a pitch of the pattern.
US08980756B2 Methods for device fabrication using pitch reduction
Embodiments of a method for device fabrication by reverse pitch reduction flow include forming a first pattern of features above a substrate and forming a second pattern of pitch-multiplied spacers subsequent to forming the first pattern of features. In embodiments of the invention the first pattern of features may be formed by photolithography and the second pattern of pitch-multiplied spacers may be formed by pitch multiplication. Other methods for device fabrication are provided.
US08980753B2 Metal gate transistor and method for fabricating the same
A method for fabricating a metal gate transistor is disclosed. The method includes the steps of: providing a substrate having a first transistor region and a second transistor region; forming a first metal-oxide semiconductor (MOS) transistor on the first transistor region and a second MOS transistor on the second transistor region, in which the first MOS transistor includes a first dummy gate and the second MOS transistor comprises a second dummy gate; forming a patterned hard mask on the second MOS transistor, in which the hard mask includes at least one metal atom; and using the patterned hard mask to remove the first dummy gate of the first MOS transistor.
US08980750B2 Chemical mechanical polishing (CMP) composition comprising a non-ionic surfactant and a carbonate salt
A chemical mechanical polishing (CMP) composition (Q) comprising (A) Inorganic particles, organic particles, or a mixture or composite thereof, wherein the particles are cocoon-shaped (B) a non-ionic surfactant, (C) a carbonate or hydrogen carbonate salt, (D) an alcohol, and (M) an aqueous medium.
US08980748B2 Substrate polishing method, semiconductor device and fabrication method therefor
A substrate polishing method, a semiconductor device and a fabrication method for a semiconductor device are disclosed by which high planarization polishing can be achieved. In the substrate polishing method, two or more different slurries formed from ceria abrasive grains having different BET values from each other are used to carry out two or more stages of chemical-mechanical polishing processing of a polishing object oxide film on a substrate to flatten the polishing object film.
US08980745B1 Interconnect structures and methods of forming same
A semiconductor device, an interconnect structure, and methods of forming the same are disclosed. An embodiment is a method of forming a semiconductor device, the method including forming a first dielectric layer over a substrate, forming a first conductive layer in the first dielectric layer, and removing a first portion of the first conductive layer to form at least two conductive lines in the first dielectric layer, the at least two conductive lines being separated by a first spacing. The method further includes forming a capping layer on the at least two conductive lines, and forming an etch stop layer on the capping layer and the first dielectric layer.
US08980743B2 Method for applying a final metal layer for wafer level packaging and associated device
A wafer level semiconductor device and manufacturing method including providing a semiconductor device wafer substrate having a backside, applying to the backside a conductive metallization layer, and applying to the backside over the conductive metallization layer a protective metal layer of titanium, titanium alloys, nickel, nickel alloys, chromium, chromium alloys, cobalt. cobalt alloys, palladium, and palladium alloys.
US08980741B2 Through silicon via with embedded barrier pad
A system and method are disclosed for providing a through silicon via (TSV) with a barrier pad deposited below the top surface of the TSV, the top surface having reduced topographic variations. A bottom TSV pad is deposited into a via and then polished so the top surface is below the substrate top surface. A barrier pad is then deposited in the via, and a top TSV pad deposited on the barrier pad. The top TSV barrier pad is polished to bring the top surface of the top TSV pad about level with the substrate. The barrier pad may be less than about 1 microns thick, and the top TSV pad may be less than about 6 microns thick. The barrier pad may be a dissimilar metal from the top and bottom TSV pads, and may be selected from a group comprising titanium, tantalum, cobalt, nickel and the like.
US08980737B2 Methods of forming contact regions using sacrificial layers
Methods of patterning semiconductor contact materials on a crystalline semiconductor material which allow high-quality interfaces between the crystalline semiconductor material and the patterned semiconductor contact material are provided. Blanket layers of passivation material and sacrificial material are formed on the crystalline semiconductor material. A first contact opening is formed into the blanker layer of sacrificial material. The first contact opening is extended into blanket layer of passivation material, stopping on a first surface portion of the crystalline semiconductor material, using remaining sacrificial material portions as an etch mask. A semiconductor contact material is formed on the exposed first surface portion of the crystalline semiconductor material. In some embodiments, an electrode material portion can be formed over the first contact opening, and then a second blanket layer of sacrificial material can be formed, followed by forming a next contact opening.
US08980734B2 Gate security feature
An anti-counterfeiting security circuit is incorporated into an authentic integrated circuit device to induce failure in a counterfeited integrated circuit device by forming the security circuit (e.g., 21, 31, 41, 51) with one or more operatively inert high-k metal gate transistors (e.g., HKMG PMOS 112) having switched or altered work function metal layers (82) where the security circuit defines a first electrical function with the one or more operatively inert high-k metal gate transistors and defines a second different electrical function if the one or more operatively inert high-k metal gate transistors were instead fabricated as operatively functional high-k metal gate transistors of the first polarity type with a work function metal layer of the first polarity type, the security circuit would define a second different electrical function.
US08980733B2 Semiconductor device and manufacturing method thereof
The semiconductor device has a semiconductor layer, a gate electrode which covers an end portion of the semiconductor layer, and an insulating layer for insulating the semiconductor layer and the gate electrode. The film thickness of the insulating layer which insulates a region where an end portion of the semiconductor layer and the gate electrode overlap each other is thicker than the film thickness of the insulating layer which covers the central portion of the semiconductor layer.
US08980730B1 Selective nanoscale growth of lattice mismatched materials
Exemplary embodiments provide materials and methods of forming high-quality semiconductor devices using lattice-mismatched materials. In one embodiment, a composite film including one or more substantially-single-particle-thick nanoparticle layers can be deposited over a substrate as a nanoscale selective growth mask for epitaxially growing lattice-mismatched materials over the substrate.
US08980726B2 Substrate dicing by laser ablation and plasma etch damage removal for ultra-thin wafers
Methods of dicing substrates having a plurality of ICs. A method includes forming a mask, patterning the mask with a femtosecond laser scribing process to provide a patterned mask with gaps, and ablating through an entire thickness of a semiconductor substrate to singulate the IC. Following laser-based singulation, a plasma etch is performed to remove a layer of semiconductor sidewall damaged by the laser scribe process. In the exemplary embodiment, a femtosecond laser is utilized and a 1-3 μm thick damage layer is removed with the plasma etch. Following the plasma etch, the mask is removed, rendering the singulated die suitable for assembly/packaging.
US08980723B2 Multiple depth vias in an integrated circuit
An integrated circuit with vias with different depths stopping on etch stop layers with different thicknesses. A method of simultaneously etching vias with different depths without causing etch damage to the material being contacted by the vias.
US08980721B2 Resistive memory device and method of fabricating the same
Provided are resistive memory devices and methods of fabricating the same. The resistive memory devices and the methods are advantageous for high integration because they can provide a multilayer memory cell structure. Also, the parallel conductive lines of adjacent layers do not overlap each other in the vertical direction, thus reducing errors in program/erase operations.
US08980720B2 eFUSE and method of fabrication
An improved eFuse and method of fabrication is disclosed. A cavity is formed in a substrate, which results in a polysilicon line having an increased depth in the area of the fuse, while having a reduced depth in areas outside of the fuse. The increased depth reduces the chance of the polysilicon line entering the fully silicided state. The cavity may be formed with a wet or dry etch.
US08980719B2 Methods for doping fin field-effect transistors
An embodiment of the disclosure includes doping a FinFET. A dopant-rich layer comprising an dopant is formed on a top surface and sidewalls of a semiconductor fin of a substrate. A cap layer is formed to cover the dopant-rich layer. The substrate is annealed to drives the dopant from the dopant-rich layer into the semiconductor fin.
US08980717B2 Ultra-high voltage N-type-metal-oxide-semiconductor (UHV NMOS) device and methods of manufacturing the same
An ultra-high voltage n-type-metal-oxide-semiconductor (UHV NMOS) device with improved performance and methods of manufacturing the same are provided. The UHV NMOS includes a substrate of P-type material; a first high-voltage N-well (HVNW) region disposed in a portion of the substrate; a source and bulk p-well (PW) adjacent to one side of the first HVNW region, and the source and bulk PW comprising a source and a bulk; a gate extended from the source and bulk PW to a portion of the first HVNW region, and a drain disposed within another portion of the first HVNW region that is opposite to the gate; a P-Top layer disposed within the first HVNW region, the P-Top layer positioned between the drain and the source and bulk PW; and an n-type implant layer formed on the P-Top layer.
US08980716B2 Self aligned trench MOSFET with integrated diode
Transistor devices can be fabricated with an integrated diode using a self-alignment. The device includes a doped semiconductor substrate having one or more electrically insulated gate electrodes formed in trenches in the substrate. One or more body regions are formed in a top portion of the substrate proximate each gate trench. One or more source regions are formed in a self-aligned fashion in a top portion of the body regions proximate each gate trench. One or more thick insulator portions are formed over the gate electrodes on a top surface of the substrate with spaces between adjacent thick insulator portions. A metal is formed on top of the substrate over the thick insulator portions. The metal forms a self-aligned contact to the substrate through the spaces between the thick insulator portions. An integrated diode is formed under the self-aligned contact.
US08980711B2 Memory device structure and method
A system and method for manufacturing a memory device is provided. A preferred embodiment comprises manufacturing a flash memory device with a tunneling layer. The tunneling layer is formed by introducing a bonding agent into the dielectric material to bond with and reduce the number of dangling bonds that would otherwise be present. Further embodiments include initiating the formation of the tunneling layer without the bonding agent and then introducing a bonding agent containing precursor and also include a reduced concentration region formed in the tunneling layer adjacent to a substrate.
US08980710B2 Manufacturing method of semiconductor device
An impurity layer is formed in a first region of a semiconductor substrate, a silicon layer is grown on the semiconductor substrate, a tunnel gate insulating film is formed on a first silicon layer of a second region, a first conductor layer is formed on the tunnel gate insulating film, a first silicon oxide film and a silicon nitride film are formed on a second silicon layer, in a reduced pressure state, oxygen and hydrogen are independently introduced into an oxidation furnace to expose the silicon nitride film to active species of the oxygen and active species of the hydrogen to thereby oxidize the silicon nitride film to form a second silicon oxide film, a gate insulating film is formed on the silicon layer of the first region, a second conductor layer is formed on the second silicon oxide film and on the gate insulating film, the second conductor layer and the first conductor layer of the second region are patterned to form a stack gate of a nonvolatile memory transistor, and the second conductor layer above the first region is patterned to form a gate electrode of an MIS-type transistor.
US08980709B2 Resistive-switching memory element
A resistive-switching memory element is described. The memory element includes a first electrode, a porous layer over the first electrode including a point defect embedded in a plurality of pores of the porous layer, and a second electrode over the porous layer, wherein the nonvolatile memory element is configured to switch between a high resistive state and a low resistive state.
US08980706B2 Double treatment on hard mask for gate N/P patterning
The present disclosure provides a method that includes providing a semiconductor substrate having a first region and a second region, forming first and second gate stacks over the first and second regions, respectively, the first and second gate stacks each including a dummy gate electrode, removing the dummy gate electrodes from the first and second gate stacks, respectively, thereby forming trenches, forming a metal layer to partially fill the trenches, forming an oxide layer over the metal layer filling a remaining portion of the trenches, applying a first treatment to the oxide layer, forming a patterned photoresist layer on the oxide layer overlying the first region, applying a second treatment to the oxide layer overlying the second region, etching the oxide layer overlying the second region, etching the first metal layer overlying the second region, removing the patterned photoresist layer, and removing the oxide layer overlying the first region.
US08980700B2 Semiconductor device and method of manufacturing the same
A semiconductor device having a semiconductor element (a thin film transistor, a thin film diode, a photoelectric conversion element of silicon PIN junction, or a silicon resistor element) which is light-weight, flexible (bendable), and thin as a whole is provided as well as a method of manufacturing the semiconductor device. In the present invention, the element is not formed on a plastic film. Instead, a flat board such as a substrate is used as a form, the space between the substrate (third substrate (17)) and a layer including the element (peeled layer (13)) is filled with coagulant (typically an adhesive) that serves as a second bonding member (16), and the substrate used as a form (third substrate (17)) is peeled off after the adhesive is coagulated to hold the layer including the element (peeled layer (13)) by the coagulated adhesive (second bonding member (16)) alone. In this way, the present invention achieves thinning of the film and reduction in weight.
US08980699B2 Thyristor-based memory cells, devices and systems including the same and methods for forming the same
Semiconductor devices including a plurality of thyristor-based memory cells, each having a cell size of 4F2, and methods for forming the same are provided. The thyristor-based memory cells each include a thyristor having vertically superposed regions of alternating dopant types, and a control gate. The control gate may be electrically coupled with one or more of the thyristors and may be operably coupled to a voltage source. The thyristor-based memory cells may be formed in an array on a conductive strap, which may function as a cathode or a data line. A system may be formed by integrating the semiconductor devices with one or more memory access devices or conventional logic devices, such as a complementary metal-oxide-semiconductor (CMOS) device.
US08980697B2 Method of fabricating chip scale package
A reconfigured wafer of resin-encapsulated semiconductor packages is obtained by supporting with a resin, thereafter, a grinding process is performed on top and backside surfaces to expose only a bump interconnection electrode on a surface of a semiconductor chip. Further, a chip-scale package is obtained by a dicing process along a periphery of the chip.
US08980695B2 Manufacturing method of wafer level package
The present invention provides a method for manufacturing a semiconductor package structure, including (i) providing a carrier plate; (ii) disposing a die on the carrier plate; (iii) forming a plurality of bonding wires having a first end and a second end; (iv) forming an encapsulant covering the die and the bonding wires and exposing a portion of each of the bonding wires from a first surface thereof; (v) removing the carrier plate; (vi) forming a patterned conductive layer on a second surface of the encapsulant opposite to the first surface; (vii) electrically connecting the second ends of the bonding wires to the active surface of the die via the patterned conductive layer; and (viii) forming a plurality of first external connection terminals on the first surface of the encapsulant respectively covering the portions of the bonding wires exposed from the encapsulant.
US08980691B2 Semiconductor device and method of forming low profile 3D fan-out package
A semiconductor device includes a substrate having an insulating layer and a conductive layer embedded in the insulating layer. The conductive layer is patterned to form conductive pads or conductive pillars. The substrate includes a first encapsulant formed over the conductive layer. A first opening is formed through insulating layer and first encapsulant using a stamping process or laser direct ablation. The substrate is separated into individual units, which are mounted to a carrier. A semiconductor die is disposed in the first opening in the substrate. A second encapsulant is deposited over the semiconductor die and substrate. An interconnect structure is formed over the semiconductor die and substrate. An opening is formed through the second encapsulant and through the insulating layer to expose the conductive layer. A bump is formed in the second opening over the conductive layer outside a footprint of the semiconductor die.
US08980687B2 Semiconductor device and method of manufacturing thereof
A method of manufacturing a semiconductor device includes providing a transfer foil. A plurality of semiconductor chips is placed on and adhered to the transfer foil. The plurality of semiconductor chips adhered to the transfer foil is placed over a multi-device carrier. Heat is applied to laminate the transfer foil over the multi-device carrier, thereby accommodating the plurality of semiconductor chips between the laminated transfer foil and the multi-device carrier.
US08980686B2 Sputtering target and method for manufacturing semiconductor device
An object is to provide a deposition technique for depositing an oxide semiconductor film. Another object is to provide a method for manufacturing a highly reliable semiconductor element using the oxide semiconductor film. A novel sputtering target obtained by removing an alkali metal, an alkaline earth metal, and hydrogen that are impurities in a sputtering target used for deposition is used, whereby an oxide semiconductor film containing a small amount of those impurities can be deposited.
US08980682B2 Methods for fabricating ZnOSe alloys
Methods of forming absorber layers in a TFPV device are provided. Methods are described to provide the formation of metal oxide films and heating the metal oxide films in the presence of a chalcogen to form a metal-oxygen-chalcogen alloy. Methods are described to provide the formation of metal oxide films, forming a layer of elemental chalcogen on the metal oxide film, and heating the stack to form a metal-oxygen-chalcogen alloy. In some embodiments, the metal oxide film includes zinc oxide and the chalcogen includes selenium.
US08980681B2 Method for fabricating solar cell
The disclosure provides a method for fabricating a solar cell, including: providing a first substrate; forming a light absorption precursor layer on the first substrate; conducting a thermal process to the light absorption precursor layer to form a light absorption layer, wherein the light absorption layer includes a first light absorption layer and a second light absorption layer, and the first absorption layer is formed on the first substrate; forming a second substrate on the second light absorption layer; removing the first substrate to expose a surface of the first light absorption layer; forming a zinc sulfide (ZnS) layer on the surface of the first light absorption layer; and forming a transparent conducting oxide (TCO) layer on the zinc sulfide (ZnS) layer.
US08980680B2 Method for fabricating solar cell element
A method for fabricating a solar cell element, the method comprising a step (a) of preparing a laminate and a chamber, a step (b) of bringing the laminate into contact with the aqueous solution in such a manner that the second surface is immersed in the aqueous solution after the step (a); a step (c) of applying a voltage difference between an anode electrode and the laminate under an atmosphere of the inert gas to form a Zn layer on the second surface after the step (b); and a step (d) of exposing the Zn layer to oxygen so as to convert the Zn layer into a ZnO crystalline layer after the step (c).
US08980679B2 Apparatus and methods for forming phase change layer and method of manufacturing phase change memory device
Provided are apparatus and methods for forming phase change layers, and methods of manufacturing a phase change memory device. A source material is supplied to a reaction chamber, and purges from the chamber. A pressure of the chamber is varied according to the supply of the source material and the purge of the source material.
US08980672B2 Photovoltaic cell and method for manufacturing the same
According to one embodiment, there is provided a method for manufacturing a photovoltaic cell. The method includes forming a structure including a pair of electrodes which are arranged apart from each other, and a hetero-junction type photoelectric conversion layer interposed between the electrodes and containing a p-type semiconductor and a n-type semiconductor, and annealing the photoelectric conversion layer thermally while applying an AC voltage having a frequency of 0.01 kHz or more and less than 1 kHz to control a mixed state of the p-type semiconductor and n-type semiconductor in the photoelectric conversion layer.
US08980671B2 Semiconductor device and manufacturing method of semiconductor device
A manufacturing method of a semiconductor device according to embodiments includes forming a photodiode layer, which is an active region including a photodiode, on a main surface of a first substrate, forming a wiring layer, which includes a wire and a dielectric layer covering the wire, on the photodiode layer, and forming a dielectric film on the wiring layer. The manufacturing method of the semiconductor device according to the embodiments further includes bonding a second substrate to the dielectric film of the first substrate so that a crystal orientation of the photodiode layer matches a crystal orientation of the second substrate.
US08980668B2 Integrated getter area for wafer level encapsulated microelectromechanical systems
There are many inventions described and illustrated herein. In one aspect, present invention is directed to a thin film encapsulated MEMS, and technique of fabricating or manufacturing a thin film encapsulated MEMS including an integrated getter area and/or an increased chamber volume, which causes little to no increase in overall dimension(s) from the perspective of the mechanical structure and chamber. The integrated getter area is disposed within the chamber and is capable of (i) “capturing” impurities, atoms and/or molecules that are out-gassed from surrounding materials and/or (ii) reducing and/or minimizing the adverse impact of such impurities, atoms and/or molecules (for example, reducing the probability of adding mass to a resonator which would thereby change the resonator's frequency). In this way, the thin film wafer level packaged MEMS of the present invention includes a relatively stable, controlled pressure environment within the chamber to provide, for example, a more stable predetermined, desired and/or selected mechanical damping of the mechanical structure.
US08980664B2 Method for fabricating stacked nitride-compound semiconductor structure and method for fabricating nitride-compound semiconductor light emitting device
According to one embodiment, a method for fabricating a stacked nitride-compound semiconductor structure includes forming a first protection film on a second surface of a substrate, forming a first nitride-compound semiconductor layer on the first surface of the substrate, forming a second protection film on the first nitride-compound semiconductor layer, removing the first protection film to expose the second surface of the substrate, forming a second nitride-compound semiconductor layer on the second surface of the substrate, and removing the second protection film to expose the first surface of the second nitride-compound semiconductor layer.
US08980663B2 Organic light emitting diode display device and method of fabricating the same
An organic light emitting diode (OLED) display device and a method of fabricating the same are disclosed. The OLED display device includes a plurality of scan lines, a plurality of data lines, and a plurality of pixels disposed in a region in which the scan lines cross the data lines, where each pixel of the plurality of pixels includes: a switching transistor including a first gate electrode, a first semiconductor layer disposed over the first gate electrode, a first gate insulating layer interposed between the first gate electrode and the first semiconductor layer, a first source electrode and a first drain electrode, a driving transistor including a second semiconductor layer, a second gate electrode disposed over the second semiconductor layer, a second gate insulating layer interposed between the second gate electrode and the second semiconductor layer, a second source electrode and a second drain electrode, and an organic light emitting diode electrically connected with the second source and second drain electrodes of the driving transistor, where the first and second semiconductor layers are formed of the same material, and from the same processing.
US08980659B1 LED package and manufacturing process of same
A LED package is formed of a substrate, an LED chip, an insulated layer, and a fluorescent adhesive layer. The substrate includes a positive contact and a negative contact. The LED chip is fixed to the substrate and includes a positive terminal and a negative terminal, the former of which is electrically connected with the positive contact and latter is electrically connected with the negative contact. The insulated layer is mounted to the surface of the substrate and surrounds the LED chip. The fluorescent adhesive layer is mounted to a surface of the insulated layer and covers the LED chip. In this way, the LED package can reduce the production cost and the whole size.
US08980655B2 Test apparatus and test method
A test apparatus includes a foreign matter removal unit having a first slope provided with an abrasive coating or an adhesive sheet and a second slope provided with an abrasive coating or an adhesive sheet, the second slope facing the first slope in such a manner that an upper end of the second slope is spaced from an upper end of the first slope a greater distance than a lower end of the second slope is spaced from a lower end of the first slope, a test unit for testing electrical characteristics of a semiconductor chip, and a transfer unit for holding and releasing the semiconductor chip at a position above the first and second slopes and transferring the semiconductor chip to the test unit.
US08980650B2 Perpendicular MTJ stacks with magnetic anisotropy enhancing layer and crystallization barrier layer
Magnetic tunnel junctions (MTJ) suitable for spin transfer torque memory (STTM) devices, include perpendicular magnetic layers and one or more anisotropy enhancing layer(s) separated from a free magnetic layer by a crystallization barrier layer. In embodiments, an anisotropy enhancing layer improves perpendicular orientation of the free magnetic layer while the crystallization barrier improves tunnel magnetoresistance (TMR) ratio with better alignment of crystalline texture of the free magnetic layer with that of a tunneling layer.
US08980648B1 Semiconductor gallium arsenide compatible epitaxial ferroelectric devices for microwave tunable application
The presently claimed invention provides a barium strontium titanate/strontium titanate/gallium arsenide (BST/STO/GaAs) heterostructure comprising a gallium arsenide (GaAs) substrate, at least one strontium titanate (STO) layer, and at least one barium strontium titanate (BST) layer. The BST/STO/GaAs heterostructure of the present invention has a good temperature stability, high dielectric constant and low dielectric loss, which enable to fabricate tunable ferroelectric devices. A method for fabricating the BST/STO/GaAs heterostructure is also disclosed in the present invention, which comprises formation of at least one STO layer on the GaAs substrate by a first laser molecular beam epitaxial system, and formation of at least one BST layer on the STO layer by a second laser molecular beam epitaxial system.
US08980642B2 Use of cyanocinnamic acid derivatives as matrics in MALDI mass spectrometry
The present invention relates to the use of cyanocinnamic acid derivatives as a matrix in the MALDI mass spectrometry of an analyte.
US08980637B2 Breast cancer biomarkers and identification methods using NMR and gas chromatography-mass spectrometry
A method for the parallel identification of one or more metabolite species within a biological sample is provided. The method comprises producing a first spectrum by subjecting the sample to a nuclear magnetic resonance analysis, the first spectrum containing individual spectral peaks representative of the one or more metabolite species contained within the sample; producing a second spectrum by subjecting the sample to a mass spectrometry analysis, the spectrum containing individual spectral peaks representative of the one or more metabolite species contained within the sample; subjecting each of the individual spectral peaks to a statistical pattern recognition analysis to identify the one or more metabolite species contained within the sample; and identifying the one or more metabolite species contained within the sample by analyzing the individual spectral peaks of the mass and nuclear magnetic resonance spectra.
US08980635B2 Disposable cartridge for fluid analysis
A disposable blood analysis cartridge may include a sample collection reservoir, an absorbance measurement channel, and an optical light scattering measurement channel. One or more valves may be disposed between the sample collection reservoir and the absorbance measurement channel and/or the optical light scattering measurement channel. A negative pressure may be applied to the cartridge to pull sample from the sample collection reservoir through the one or more valves and into the absorbance measurement channel and/or the optical light scattering measurement channel. Once the sample is pulled into the absorbance measurement channel and/or the optical light scattering measurement channel, the one or more valves may be closed. With the one or more valves closed, and in some cases, a pusher fluid may be provided to push the fluid sample to other regions of the disposable fluid blood analysis cartridge.
US08980634B2 Reverse genetics of negative-strand RNA viruses in yeast
The present invention relates to a methodology for the generation of infectious ribonucleoparticles (RNPs) of negative-strand RNA viruses, and in particular of non-segmented negative-strand RNA viruses in yeast, especially in budding yeast. Accordingly, the patent application relates to a recombinant yeast strain suitable for the rescue of infectious non-segmented negative-strand RNA virus particles or infectious virus-like particles. The invention also relates to the use of the recombinant yeast to prepare vaccine seed and to the use of the produced RNPs or RNPs-like to prepare vaccine formulations. It also concerns the use of the recombinant yeast for the screening of libraries of DNA.
US08980630B2 Obtaining multipotent amnion-derived stem cell (ADSC) from amniotic membrane tissue without enzymatic digestion
The present invention relates to stem cells obtained from the amnion and their methods of obtaining and culturing. The present invention further relates to compositions comprising amnion-derived stems cells (ADSCs) and to methods of using ADSCs.
US08980627B2 Method for enabling stable expression of transgene
This invention relates to a method for stably expressing a transgene integrated into the genome of an animal cell or of an animal over a long period. Specifically, this invention provides: an approximately 2.5 kb XhoI-BamHI fragment (or XB fragment) derived from the Evx2-Hoxd13 intergenic region of the animal genome, or a homologue thereof; a DNA containing a foreign DNA wherein the DNA has been inserted between the two essentially identical XB fragments or homologues thereof; a vector, animal cell, or nonhuman mammalian animal containing said DNA; and use of the vector, animal cell, or nonhuman mammalian animal for production of a substance or therapy.
US08980625B2 Cell culture plate and method of manufacturing the same
A cell culture plate has a plurality of flow channels, uneven pattern areas and through holes. The flow channels are formed between the uneven pattern areas, and culture solution flows from the flow channels into the uneven pattern areas or from the uneven pattern areas into the flow channels. The uneven pattern area has uneven patterns that create a cell culture space.
US08980623B2 Cell culture and mixing vessel
A mixing vessel (10) for containing a liquid, comprises a chamber having a lower chamber portion and an upper chamber portion wider than the lower portion, gas inlet means (14) for supplying gas to the lower portion and means for redirecting rising gas (24), such that, in use, rising gas in the form of bubbles, initially rises substantially vertically and is redirected in a substantially horizontal direction by the means for redirecting rising gas.
US08980621B2 High-density multiwell-plate
A high-density multiwell-plate for performing thermocycled amplification reactions of polynucleotides in liquid samples comprising a plurality of reaction wells is disclosed. In order to provide a better thermal insulation, the plate comprises a rigid well-forming structure placed above a bottom layer, wherein substantially horizontal well-covering areas cover the liquid sample comprised in the wells, and a substantially plane cover placed above the well-forming structure providing a thermal insulating air distance between the well-covering areas and the cover.
US08980620B2 Petroleum bioconversion of organic acids to prevent refinery corrosion
The present invention relates to the use of microorganisms (biocatalysts), or catalysts derived from these organisms (enzymes), to improve the quality of crude oil and bitumen as an attractive alternative to current upgrading methods. The invention identifies and characterizes the microorganism species, in particular, N. muscorum (UTEX 2209) and Kocuria rhizophilia (ATCC533), that have the capability to biochemically convert organic acids into chemical species that do not possess corrosive properties.
US08980619B2 Method for bio-assisted treatment of hydrocarbon contaminated soil
Provided is a method of treating a hydrocarbon-contaminated soil/gravel with a blend of microbes by adding a first microbe selected from the group consisting of Pseudomonas aeruginosa strain IOCX, Pseudomonas aeruginosa strain IOC DHT and mixtures thereof to a hydrocarbon-contaminated soil/gravel to release the hydrocarbon; and adding a second microbe selected from the group consisting of Pseudomonas putida strain IOC5al, Pseudomonas putida strain IOCRl, Bacillus subtlis and a mixture thereof to degrade the released hydrocarbon.
US08980618B2 Algae aggregation and harvesting
Methods are provided for separating algae from an aqueous environment. An aqueous feed containing algae can be aged for a period of at least about 90 hours without purging or other aeration. The aging of the aqueous feed can result in formation of aggregated algae, which can then be filtered under pressure. Due to the larger size of the algae aggregates, the pressurized filtration can reduce/minimize the tendency to clog the filter, as the algae aggregates can generally be too large to fit within the pores of the filter. The filter can preferably be located at the bottom of the vessel holding the aqueous feed. This can assist in allowing the algae to remain in a cake above the filter, as opposed to having the algae dissolve back into the aqueous feed.
US08980609B2 Uniformly conjugated serine hydrolases
An organophosphate scavenger is provided, with extended residence time in the mammalian circulation, which can be used in preventive and therapeutic treatment of organophosphate poisoning. The scavenger is a uniformly pegylated serine hydrolase, in which a part of lysine residues were replaced with other residues by site-directed mutagenesis. One part of lysine residues in the hydrolase amino acid sequence is selected for the PEG-coupling, and the other part for the replacement, wherein the selection should ensure that the hydrolase surface shows at least one free amino acid for PEG coupling for all possible views obtained by rotating a 3-D model generated for the hydrolase.
US08980608B2 High molecular weight heparosan polymers and methods of production and use thereof
High molecular weight heparosan polymers are described, as are methods of producing and using the high molecular weight heparosan polymers.
US08980597B2 From carbon monoxide and hydrogen anaerobic fermentation processing using a pre-reactor/deep tank reactor system
Processes are disclosed for the low energy, anaerobic bioconversion of hydrogen and carbon monoxide in a gaseous substrate stream to oxygenated organic compounds such as ethanol by contact with microorganisms in a fermentation system with high conversion efficiency of both hydrogen and carbon monoxide. The processes of this invention use a pre-reactor and a deep, tank reactor in gaseous substrate flow sequence to obtain high conversion of gas substrate without undue risk of carbon monoxide inhibition.
US08980592B2 Process for the enantioselective enzymatic reduction of hydroxy keto compounds
In a process for the enantioselective enzymatic reduction of a hydroxy ketone of general formula I wherein R1=C1-C6 alkyl and R2=—Cl, —CN, —OH, —H or C1-C6 alkyl, into a chiral diol of general formula II wherein R1 and R2 have the same meaning as in formula I, the hydroxy ketone is reduced with an oxidoreductase in the presence of NADH or NADPH as a cofactor, wherein a) the hydroxy ketone is provided in the reaction at a concentration of ≧50 g/l, b) the oxidized cofactor NAD or NADP having formed is regenerated continuously by oxidation of a secondary alcohol of general formula RXRYCHOH, wherein RX, RY independently represent hydrogen, branched or unbranched C1-C8-alkyl and Ctotal≧3, and c) the reduction of the hydroxy ketone and the oxidation of the secondary alcohol are catalyzed by the same oxidoreductase.
US08980591B2 Protein having activity to promote fatty acid chain elongation, gene encoding same and use thereof
The present invention relates to a protein having an activity to promote fatty acid chain elongation, a polynucleotide encoding the same, etc. The present invention provides, for example, a polynucleotide containing the nucleotide sequence shown in SEQ ID NO: 1 or 4, a polynucleotide encoding a protein which consists of the amino acid sequence shown in SEQ ID NO: 2, an expression vector and a transformant, each containing such a polynucleotide, a method for preparing lipids or fatty acids by using such a transformant, or a food or the like containing lipids or fatty acids prepared by such a method.
US08980589B2 Mutant delta-9 elongases and their use in making polyunsaturated fatty acids
Mutant delta-9 elongases having the ability to convert linoleic acid [18:2, LA] to eicosadienoic acid [20:2, EDA] and/or α-linolenic [18:3, ALA] to eicosatrienoic acid [20:3, ETrA] are disclosed herein. Isolated nucleic acid fragments and recombinant constructs comprising such fragments encoding mutant delta-9 elongases, along with a method of making long chain polyunsaturated fatty acids [“PUFAs”] using these mutant delta-9 elongases in oleaginous yeast are also disclosed.
US08980586B2 Compound and method for producing same
An object of the present invention is to provide a novel compound useful as an antifungal substance and a method for producing the compound. The present invention relates to a compound represented by Formula (I0) or a salt thereof, and a method for producing the compound using a microorganism: wherein R1 is: and R2 is:
US08980584B2 System and methods for nucleic acid sequencing of single molecules by polymerase synthesis
This invention relates to improved methods for sequencing and genotyping nucleic acid in a single molecule configuration. The method involves single molecule detection of fluorescent labeled PPi moieties released from NTPs as a polymerase extension product is created.
US08980583B2 Cells deficient in CMP-N-acetylneuraminic acid hydroxylase and/or glycoprotein alpha-1,3-galactosyltransferase
The present invention provides non-human mammalian cell lines that are deficient in CMP-Neu5Ac hydroxylase (Cmah) and/or glycoprotein alpha-1,3-galactosyltransferase (Ggta1). Also provided are methods for using the cells disclosed herein for producing recombinant proteins with human-like patterns of glycosylation.
US08980576B2 Process to avoid inhibition of acetogens by CO
A process is provided for fermenting CO-containing gaseous substrates. The process is effective for decreasing lag times and maintaining a culture in steady state by controlling CO concentration and minimizing effects of high or low CO concentrations during fermentation. The process includes providing syngas to a first fermentation zone, fermenting the syngas, and determining a CO concentration in a fermentation medium in the first fermentation zone. If the CO concentration in fermentation medium in the first fermentation zone has a value of about 0.12 μM or greater, then at least a portion of the syngas being provided to the first fermentation zone is provided to one or more subsequent fermentation zones in an amount effective for providing a CO concentration in any subsequent fermentation zone of about 0.12 μM or less.
US08980573B2 Method for providing diagnosis of cancer using seprase as a marker
The present invention relates to a method aiding in the assessment of cancer. It discloses the use of the human fibroblast activation protein (FAP/seprase) as a universal marker of different cancer types. Seprase aids in the assessment of pulmonary or lung cancer (LC) or of colon cancer, e.g., of non-small cell lung carcinoma (NSCLC) or colorectal cancer (CRC), but also likely of other specific types of cancer. Such specific cancer types are, e.g., esophagus, head and neck cancer, stomach cancer, bile duct cancer, pancreas cancer, kidney cancer, cervix cancer, ovary cancer, breast cancer, bladder cancer, endometrium cancer or prostate cancer. Furthermore, it especially relates to a method for assessing cancer from a liquid sample, derived from an individual by measuring seprase in said sample. Measurement of seprase can, e.g., be used in the early detection of cancer or in the surveillance of patients who undergo surgery.
US08980565B2 Porphyrinic compounds for use in flow cytometry
The present invention provides a method of detecting (e.g., by flow cytometry) a target compound, cell or particle, wherein the target is labelled with a detectable luminescent compound. The method comprises utilizing as the detectable luminescent compound a compound comprising a porphyrinic macrocycle such as a porphyrin, chlorin, bacteriochlorin, or isobacteriochlorin. In particular embodiments, the detectable luminescent compound comprises a compound of the formula A-A′-Z—B′—B, wherein: A is a targeting group or member of a specific binding pair that specifically binds the detectable luminescent compound to the target compound, cell or particle; A′ is a linker group or covalent bond; B′ is a linker group or covalent bond; B is a water-soluble group; and Z is the porphyrinic macrocycle.
US08980554B2 Genetic make-up modifies cancer outcome
A frequent SNP A259G (K87E) genotype switch in the MMP8 gene in has been found to modify the clinical behavior of cancers. The modification varies based on the patient's genotype for the SNP, and whether homozygous or heterozygous. One particular genotype for this SNP leads to more aggressive tumor behavior and worst clinical outcome than the others.
US08980549B2 MicroRNA patterns for the diagnosis, prognosis and treatment of melanoma
The present invention relates to methods for diagnosing, staging, prognosticating and treating melanoma based on evaluating the expression of specific patterns of oncogenic or suppressive microRNA (miR) molecules in a patient in need thereof.
US08980538B2 Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
A method of forming a layered substrate comprising a self-assembled material is provided. The method includes forming a first layer of material on a substrate, forming a layer of a radiation sensitive material on the first layer of material, imaging the layer of the radiation sensitive material with patterned light, heating the layer of the radiation sensitive material to a temperature at or above the cross-linking reaction temperature, developing the imaged layer, and forming the block copolymer pattern. The radiation sensitive material comprises at least one photo-sensitive component selected from (a) a photo-decomposable cross-linking agent, (b) a photo-base generator, or (c) a photo-decomposable base; and a cross-linkable polymer, wherein imaging by the patterned light provides a pattern defined by a first region having substantial portions of a decomposed photo-sensitive component surrounded by regions having substantial portions of intact photo-sensitive component.
US08980537B2 Photolithography method using a chemically-amplified resist
A photolithography method, including the steps of: S1) depositing, on the upper surface of a wafer, a chemically-amplified resist; S2) exposing the resist to a sensitizing radiation through a mask, to generate acid compounds in the exposed regions; S3) heating the resist, to have the acid compounds react with dissolution-inhibiting groups; and S5) developing the resist; and including, after step S3, a step of neutralization, S4, of the acid compounds which have not reacted at step S3.
US08980536B2 Developer compositions and methods of forming photolithographic patterns
Provided are photoresist developer compositions that include a mixture of organic solvents. Also provided are methods of forming photolithographic patterns using negative tone development, coated substrates and electronic devices formed by the methods. The methods find particular applicability in the manufacture of electronic devices.
US08980523B2 Imaging particulates, paper and process, and imaging of paper using dual wavelength light
The present invention provides dual wavelength imaging compositions, processes for forming dual wavelength imaging compositions, methods for forming images using dual wavelength imaging compositions and substrate (e.g., paper web) treated (e.g., coated) on one or both sides with dual wavelength imaging compositions. Also provided is a dual wavelength imaging particulate comprising a matrix of polymer material and containing: one or more image-forming agents; a photo-oxidizing agent which is activated at a first wavelength of light to cause the one or more image-forming agents to form one or more images; and a reducing agent which is activated at a second wavelength of light to cause termination of the formation of the one or more images.
US08980521B2 Method of producing toner
The present invention provides a toner excellent in low-temperature fixability and also excellent in heat-resistant storage property, offset resistance, and durability. In a process for producing a toner containing toner particles by emulsion aggregation, each toner particle includes a binder resin of which a main component is a block polymer having a crystal structure, a colorant, and a release agent; the binder resin includes polyester as a main component; the rate of a portion capable of forming a crystal structure to the binder resin is 50 to 80 mass %; a peak temperature Tp of a maximum endothermic peak attributed to the binder resin is 50 to 80° C. in endothermic amount measurement of the toner with a differential scanning calorimeter (DSC); and fused particles are heated at a heating temperature t (° C.) satisfying Tp′−15.0≦t≦Tp′−5.0 for at least 0.5 hr.
US08980519B2 Toner composition
A toner composition includes a resin, optionally a wax, a colorant, and an acicular surface additive. The toner composition is suitable for use in a single component development system and which composition possesses excellent charging, stability, and flow characteristics.
US08980518B2 Liquid electrophotographic inks
The present disclosure provides for a liquid electrophotographic (LEP) ink comprising a carrier fluid, a pigment, a high melt viscosity ethylene acrylic acid copolymer resin, and a high acid ethylene acrylic acid copolymer resin. The high acid ethylene acrylic acid copolymer resin can have an acid content of at least 15 wt % and a viscosity of at least 8,000 poise. Additionally, the LEP ink can have a total resin acidity of at least 15 wt % and a total resin melt viscosity of at least 20,000 poise.
US08980517B2 Toner
A toner having good development durability, storage stability, environmental stability, and low-temperature fixability is provided. The toner contains toner particles each including a surface layer that contains an organic silicon polymer. The organic silicon polymer contains a unit having a specific structure. The average thickness Dav. of the surface layers is a specific value and the silicon concentration determined by ESCA is 2.5% or more. The toner has a shape factor SF-2 of 140 or more and 260 or less and an average circularity of 0.970 or more and 0.990 or less.
US08980512B2 Electrophotographic photoreceptor, and method for producing electrophotographic photoreceptor
An electrophotographic photoreceptor is disclosed. The electrophotographic photoreceptor includes a resin substrate including a carbon nanotube; and a photosensitive layer located overlying the substrate. In addition, a method for producing an electrophotographic photoreceptor is disclosed. The method includes forming a resin substrate by molding a resin forming material including a carbon nanotube; and forming a photosensitive layer overlying the resin substrate.
US08980508B2 Electrophotographic photosensitive member, process cartridge, electrophotographic apparatus and method of manufacturing the electrophotographic photosensitive member
A charge-transporting layer, which is a surface layer of an electrophotographic photosensitive member, has a matrix-domain structure having a matrix containing constituent β (a polyester resin having a predetermined repeating structural unit) and a charge-transporting substance, and a domain containing constituent α (a polycarbonate resin having a repeating structural unit having a predetermined siloxane moiety).
US08980503B2 Binary photomask blank and binary photomask making method
A binary photomask blank has on a transparent substrate a light-shielding film including substrate-side and surface-side compositionally graded layers, having a thickness of 35-60 nm, and composed of a silicon base material containing a transition metal and N and/or O. The substrate-side compositionally graded layer has a thickness of 10-58.5 nm , and a N+O content of 25-40 at % at its lower surface and 10-23 at % at its upper surface. The surface-side compositionally graded layer has a thickness of 1.5-8 nm, and a N+O content of 10-45 at % at its lower surface and 45-55 at % at its upper surface.
US08980494B2 Water management for a fuel cell
A fuel cell is provided that includes a water transport plate separating an air flow field and a water flow field. The driving force for moving water across the water transport plate into the water flow field is produced by a differential pressure across a restriction. The restriction is arranged between an air outlet of the cathode water transport plate and a head of a reservoir that is in fluid communication with the water flow field.
US08980491B2 Fuel cell system to power a portable computing device
The disclosed embodiments relate to the design of a portable and cost-effective fuel cell system for a portable computing device. This fuel cell system includes a fuel cell stack which converts fuel into electrical power. It also includes a fuel source for the fuel cell stack and a controller which controls operation of the fuel cell system. The fuel system also includes an interface to the portable computing device, wherein the interface comprises a power link that provides power to the portable computing device, and a bidirectional communication link that provides bidirectional communication between the portable computing device and the controller for the fuel cell system.
US08980484B2 Monitoring electrolyte concentrations in redox flow battery systems
Methods, systems and structures for monitoring, managing electrolyte concentrations in redox flow batteries are provided by introducing a first quantity of a liquid electrolyte into a first chamber of a test cell and introducing a second quantity of the liquid electrolyte into a second chamber of the test cell. The method further provides for measuring a voltage of the test cell, measuring an elapsed time from the test cell reaching a first voltage until the test cell reaches a second voltage; and determining a degree of imbalance of the liquid electrolyte based on the elapsed time.
US08980477B2 Lithium metal dispersion in secondary battery anodes
The present invention is a secondary battery having a high specific capacity and good cycleability, and that can be used safely. The secondary battery is manufactured to include an anode formed from a host material capable of absorbing and desorbing lithium in an electrochemical system such as a carbonaceous material, and lithium metal dispersed in the host material. The anodes of the invention are combined with a cathode including an active material, a separator that a separates the cathode and the anode, and an electrolyte in communication with the cathode and the anode. The present invention also includes a method of preparing an anode and a method of operating a secondary battery including the anode of the invention.
US08980476B2 Active material for battery, battery, and method for production of active material for battery
An active material for a battery contains a Y2Ti2O5S2 crystalline phase, and has an IB/IA value of 0.3 or smaller and an IC/IA value of 0.15 or smaller, wherein IA, IB and IC are the peak intensity of the Y2Ti2O5S2 crystalline phase at 2θ=34.5°, the peak intensity of a Y2T2O7 crystalline phase at 2θ35.6°, and the peak intensity of TiS2 at 2θ=34.1°, respectively, that are measured by X-ray diffraction using CuKα radiation. The active material is synthesized by preparing a raw material composition containing TiS2, TiO2 and Y2O3 and having a molar ratio of TiS2 to Y2O3 of higher than 1 or containing TiS2, TiO2 and Y2O3 and having a molar ratio of TiO2 to Y2O3 of lower than 1, and heating the raw material composition. A positive or negative-electrode active material layer included in the battery may be contain the active material.
US08980464B2 Seal tape and secondary battery using the same
A seal tape capable of preventing an electrode assembly from moving in a secondary battery, and a secondary battery using the same are disclosed. The seal tape for a secondary battery is attached to the outer surface of the electrode assembly which is received in a battery case, and includes a first adhesive layer having an adhesive surface adhered to the outer surface of the electrode assembly, and a second adhesive layer having an adhesive layer at a side opposite to the first adhesive layer so as to adhere to the inner surface of the battery case.
US08980463B2 Secondary battery and cover assembly employed therein
Disclosed is a secondary battery including an electrode assembly, a casing and a cover assembly. In the electrode assembly, a cathode plate and an anode plate are arranged to face each other through a separator. The casing is adapted to house the electrode assembly therein. The cover assembly includes a metallic cover welded to an open end of the casing.
US08980462B2 Cable-type secondary battery and method for manufacturing the same
A cable-type secondary battery includes an electrode assembly, which has a first polarity current collector having a long and thin shape, at least two first polarity electrode active material layers formed on the first polarity current collector to be spaced apart in the longitudinal direction, an electrolyte layer filled to surround at least two first polarity electrode active material layers, at least two second polarity electrode active material layers formed on the electrolyte layer to be spaced apart at positions corresponding to the first polarity electrode active material layers, the electrode assembly being continuously bent into a serpentine configuration by a space between the first polarity electrode active material layers; a second polarity current collector configured to surround at least one side of the electrode assembly bent into a serpentine configuration; and a cover member configured to surround the second polarity current collector and the electrode assembly.
US08980458B2 Battery casing
A battery casing for receiving a battery for driving an electric vehicle, including: a tray member made from a resin and supporting the battery; a support member provided under a lower face of the tray member and supporting the tray member from a bottom thereof; a standing wall provided so as to stand in the tray member; and a sheet metal tray member made from a sheet metal and placed on the tray member. The sheet metal tray member includes a plurality of sheet metal recesses that receive the battery and a sheet metal flange placed on an upper face of the standing wall. The standing wall includes a through hole formed through the standing wall in a standing direction of the standing wall. The support member and the sheet metal flange are fastened to the tray member by a sheet metal fastening member provided through the through hole.
US08980457B2 Battery module
A battery module including a plurality of battery cells each having a terminal surface accommodating at least one electrode terminal and a vent, and a bottom surface generally opposite from the terminal surface; and an end plate on the terminal surface of each of the battery cells, the end plate having an exhausting hole generally corresponding to the vent and a guide unit covering a portion of the exhausting hole.
US08980453B2 Formation process for lithium-ion batteries
A method for producing a battery includes providing a battery having a positive electrode, a negative electrode, and an electrolyte that includes a solvent and a salt. The capacity of the negative electrode is less than that of the positive electrode and the negative electrode includes an active material having an average potential versus a lithium reference electrode of greater than approximately 0.2 volts. The method also includes applying an initial charge to the battery at a voltage that is greater than a fully charged voltage of the battery for a sufficient amount of time to cause at least a portion of the solvent to undergo a reduction reaction. The step of applying an initial charge to the battery acts to increase the irreversible capacity loss of the battery during the initial charge and provides the battery with enhanced tolerance to deep discharge conditions.
US08980452B2 Battery case and battery pack using the same
A battery unit and a battery module having stack battery units. The battery unit includes a battery cell including electrode terminals and a case for housing the battery cell. The case further includes: a spacer that is disposed on facing portions of an edge of the case and protrudes in a thickness direction of the case, an inlet guide portion for guiding air for cooling the battery cell, and an outlet guide portion for guiding air to be discharged toward the electrode terminals.
US08980446B2 PVD hybrid method for depositing mixed crystal layers
The present invention concerns a method for depositing mixed crystal layers with at least two different metals on a substrate by means of PVD methods. To provide a method of depositing mixed crystal layers with at least two different metals on a substrate by means of PVD methods, which gives mixed crystal layers which are as free as possible of macroparticles (droplets) and which have a proportion as high as possible of a desired crystalline phase and which are highly crystalline, it is proposed according to the invention that deposition of the mixed crystal layer is effected with simultaneous application of i) the cathode sputtering method of dual magnetron sputtering or high power impulse magnetron sputtering and ii) arc vapour deposition.
US08980442B2 Aromatic amine derivative and organic electroluminescent element using same
There are provided an aromatic monoamine derivative having a fluorene structure-containing organic group and an aromatic hydrocarbon group-containing organic group, and an organic electroluminescent element containing an organic thin film layer composed of a single layer or plural layers while including at least a light emitting layer, the organic thin film layer being between a cathode and an anode, wherein at least one layer of the organic thin film layer, particularly a hole transport layer, contains the aromatic amine derivative alone or as a component of a mixture. An organic electroluminescent element which maintains high luminous efficiency even if exposed to a high temperature environment, and has a low driving voltage and a long emission lifetime, and an aromatic amine derivative capable of realizing the organic electroluminescent element are provided.
US08980441B2 Organic electroluminescent device having specific diamine compound
An organic electroluminescent device is provided and includes: a cathode; an anode; and a light-emitting layer between the cathode and the anode. The light-emitting layer includes a compound represented by formula (1). In formula (1), L represents a linking group; A1, A2, A3, A4, A5, A6, A7, A8, A9, and A10 each independently represent a carbon atom or a nitrogen atom, provided that at least two of A1, A5, A6, and A10 each represent a carbon atom having R′; R′ represents a substituent having a carbon atom at a bonding position thereof; a plurality of Rs each independently represent a substituent; m represents an integer; and n represents an integer of 2 to 10.
US08980440B2 Light-emitting material
This invention pertains to light emitting materials comprising novel ortho-metalated transition metal complexes [C^N]2M(T)(L), comprising chelate dialkylamino-substituted C^N ligands, monodentate neutral ligand (L) having sp2 hybridized N atom and a monodentate anionic ligand (T) chosen among CN−, CNO−, CNS−. It has been surprisingly found that when the metal has bound thereto in addition to substituted orthometalated ligands, both an anion chosen among cyanide, thiocyanate, cyanate, possessing strong C donor and π acceptor properties, and a monodentate neutral ligand L, as above defined, said ligands advantageously participate in the emission process, significantly shifting emission towards higher energies (blue-shift) and enabling appreciable improvement of the emission efficiency of complexes [C^N]2M(T)(L).Still objects of the invention are the use of said light emitting materials and organic light emitting device comprising said light emitting material.
US08980439B2 Bimetallic forging and method
A method of forming a bimetallic forging includes providing a blank comprising at least a first element and a second element of a first metal, and an insert of a second metal. A blank is configured such that the insert may be substantially encapsulated by a shell defined by the first element and the second element. The blank is forged to form a bimetallic forging including an outer portion defined by the shell, an inner portion defined by the insert, and an interface layer therebetween. In a non-limiting example, the first metal is substantially comprised of aluminum and the second metal is substantially comprised of magnesium. In a non-limiting example, the blank may be forged to form a vehicle wheel including an aluminum skin substantially encapsulating a magnesium inner portion, providing wheel with a high strength to weight ratio and improved corrosion performance.
US08980437B2 Use of polyelectrolyte complexes for producing polymer foils with oxygen-barrier properties
The use of polyelectrolyte complexes is described, for providing an oxygen barrier to packaging materials made of polymer foils. Polymeric components of the polyelectrolyte complex are applied in polymerized form to the polymer foil. The polymer foil is either coated with an aqueous dispersion comprising a dispersed polyelectrolyte complex previously produced by water-in-water emulsion polymerization, or is coated with a composition comprising a polyelectrolyte complex produced from anionic polymer and from cationic surfactant, or the polymer foil is coated with at least three alternating layers, where respectively one of two adjacent layers comprises an anionic polyelectrolyte component and the other of two adjacent layers comprises a cationic polyelectrolyte component, and polyelectrolyte complexes form at the opposite, adjacent interfaces of the alternating layers.
US08980432B2 Multilayer film and molded body
A first multilayer film is a multilayer film which contains a layer A on at least one surface of a base film, in which the layer A has (1) a polycaprolactone segment, (2) a polysiloxane segment and/or a polydimethyl siloxane segment, and (3) a urethane bond, and the layer A has a glass transition temperature of from −30 to 0° C. A second multilayer film contains a layer A on at least one surface of a base film, in which the layer A has a scratch recovery time of 3 seconds or less at a temperature of 10° C. A third multilayer film contains a layer B on at least one surface of a base film, in which the layer B has a polycaprolactone segment and a urethane bond, and the layer B has average elongation at break of 65% or more at 80° C. to 150° C.
US08980430B2 PCTFE film with extrusion coating of EVA or EVA with UV absorbers
Multilayer fluoropolymer-containing films having improved interlayer adhesion. More particularly, multilayer films including a fluoropolymer layer attached to a thermoplastic polymer layer via an intermediate poly(ester-urethane) copolymer containing adhesive layer, articles produced therefrom, and products which are encased by the multilayer films. The films are suitable for encasing the sensitive luminescent components of electroluminescent lamps.
US08980423B2 Charging member, process for its production, process cartridge
Concerned with a charging member in the conductive surface layer of which the conductive particles are so kept from agglomerating as to make charging performance not easily change even where the surface layer expands and contracts repeatedly in various environments. The charging member is a charging member having a conductive substrate and formed on the substrate a conductive elastic layer and a conductive surface layer. The elastic layer contains a polymer having a unit coming from ethylene oxide, and the surface layer contains a binder resin and graphitized particles. The binder resin contains a resin having in the molecule a urethane linkage or a siloxane linkage, or a urethane linkage and a siloxane linkage, and the graphitized particles have a graphite (002) plane lattice spacing of from 0.3362 nm or more to 0.3449 nm or less.
US08980420B2 Composite material comprising silicon matrix and method of producing the same
Proposed are a composite material, wherein non-penetrating pores that are formed in a silicon surface layer are filled up with a metal or the like without leaving any voids by using the plating technique, and a method of producing the composite material. A composite material, which has been packed at a high accuracy, or in other words, in which little voids are left, can be obtained by filling up non-penetrating pores that are formed from a silicon surface (100) substantially with a second metal or an alloy of the second metal (106) with the use of the autocatalytic electroless plating technique wherein a first metal located at the bottom of the non-penetrating pores as described above serves as the starting point.
US08980419B2 Battery grid
A battery grid is provided having a frame having a top element, a bottom element, a first side element, and a second side element. A current collection lug is coupled to the top element. The battery grid includes a plurality of wires provided within the frame and defining a plurality of open areas. The plurality of wires includes a vertical grid wire continuously extending from the bottom element toward the top element, and a plurality of horizontal grid wires continuously extending from the first side element or second side element, wherein the vertical grid wire intersects the plurality of horizontal grid wires, but does not intersect the first side element, second side element; or top element.
US08980418B2 Sequential infiltration synthesis for advanced lithography
A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned using photolithography, electron-beam lithography or a block copolymer self-assembly process.
US08980415B2 Antistatic films and methods to manufacture the same
In embodiments herein, the invention relates to a multilayer printable polymeric film including: (a) at least one layer A including one or more polyolefins and having a first side and a second side; (b) a layer B including one or more polyolefins and having a first side and a second side, where the first side of layer B is located on the second side of layer A; and (c) a printable coating located on the first side of layer A; wherein at least one of layer A or B comprises 0.01 to 50.0 wt. % of at least one polyether-polyolefin block copolymer, based on the weight of the polymers comprising the layer; and wherein the multilayer printable polymeric film has a kinetic coefficient of friction less than 0.65; and a surface tension of greater than about 35 mN/m; on one or both sides of the film.
US08980412B2 Resilient pad composite and process for making same
The present application discloses a composite pad structure that includes a substrate bonded to a plurality of discrete, spaced-apart, resilient elements engaged to at least one reinforcing structure.
US08980409B2 Laminate, method for producing same, and method for producing device structure using same
The invention provides a laminate of a substrate, a polyimide film, and a coupling treatment layer interposed therebetween, which provides different delamination strengths between the substrate and the polyimide film to form a prescribed pattern. The invention also provides a method for producing such a laminate formed from at least a substrate and a polyimide film, whereby, using a film obtained by plasma treatment of at least the surface facing the substrate as the polyimide film, coupling agent treatment is performed on at least one of the surfaces facing the substrate and the polyimide film to form a coupling treatment layer, deactivation treatment is performed on a portion of the coupling treatment layer to form a pre-determined pattern, and then pressing and heating are performed with the substrate and polyimide film overlapping.
US08980408B2 Liquid composition, recording method, and recorded matter
A liquid composition containing: a water-soluble cationic polymer obtained by polymerizing monomers containing epihalohydrin and at least one of amine and amide; and water, wherein the liquid composition is for agglutinating negatively charged particles which are dispersed in a dispersion liquid.
US08980403B2 Cutting method and manufacturing method of sheet member, sheet member, optical element, and image display device
An end face of a sheet member is cut while rotating a cutting member having a rotation axis extending along a vertical direction of the end face of the sheet member and a cutting blade projecting to a side of the end face of the sheet member around the rotation axis. The cutting member is moved relatively to the sheet member along a longitudinal direction of the end face to be cut. The part separated from a virtual line parallel to the sheet face and passing the rotation center in a cutting region formed by the rotating cutting blade is made to contact the end face of the sheet member.
US08980402B2 Glazing with very little double imaging
The invention relates to a curved glass pane, made of float glass, the area of a main face of which is greater than 1.5 m2 and the product of its two depths of bending is greater than 3000 mm2, and such that its point located on the normal to its surface passing through its center of gravity has a radius of curvature of less than 3 m in any direction, the variation in its thickness in the longitudinal float direction being less than 10 μm over 500 mm. This pane may be assembled into laminated glazing of the automobile windshield type. Such a windshield has a very small amount of double imaging even when it is fitted into the vehicle so as to be close to the horizontal.
US08980401B2 Optical member and method of producing the same
Provided is an optical member where at least a layer having polyimide as a main component and a layer having a textured structure arising from a crystal containing aluminum oxide as a main component are stacked in this order. The polyimide includes a silane group in a side chain via an amide bond.
US08980400B2 Light-scattering fluororesin film for agricultural applications, and method for producing same
The present invention provide a light-scattering fluororesin film for agricultural applications which can be used as a covering material for an agricultural house or the like, is excellent in uniformity of color or the like of crops cultivated, can reduce the occurrence of leaf scorch, seedling blight or the like, and can cultivate the crops at high productivity. Specifically, the invention provides a light-scattering fluororesin film for agricultural applications that gives a ratio of scattered light with a scattering angle of 5.5 to 10° being 5% or higher relative to the total transmitted light.
US08980395B2 Composite parts joined by a flexible construct
A composite part includes a first composite section and a second composite section connected by a flexible section. The first composite section has a laminated structure including multiple layers of reinforcement material supported by a matrix material. The second composite section has a laminated structure including multiple layers of reinforcement material supported by a matrix material, and a flexible composite section joins the first composite section to the second composite section. The flexible composite includes multiple layers of a fabric material supported by a flexible elastomer. The multiple layers of the fabric material overlap with the first composite section and the second composite section by being layered in between at least a portion of the multiple layers of reinforcement material forming the laminated structures of the first composite section and the second composite section.
US08980393B2 Printable book binding structure
A book binding structure which includes at least one adhesive binder strip and a pair of supporting sheets for supporting the binder strip during a binder strip printing process. Opposite ends of the binder strip are supported on separate support sheets, which are coupled together only by way of the binder strip to allow independent movement of the ends of the binder strip. The sheets are arranged to support the binder strip as is passes along the paper path of a conventional printer.
US08980390B2 Synthetic resin bottle and process for manufacturing the same
A biaxially stretched, blow molded bottle made of a resin comprising at least one of polyethylene terephthalate and a copolymerized polyester comprising ethylene terephthalate. The bottle neck is formed, just as the bottle body is formed, by expanding a body of a preform in a shape of a test tube in a stretching step of the molding process. The bottle neck has an average thickness in a range of 0.6 to 1.8 mm, and at the bottle neck, the resin is partially crystallized and is in an oriented crystallized state. A ratio of an absolute value of crystallization enthalpy, ΔHc, to melting enthalpy, ΔHm (|ΔHc|/ΔHm) of the bottle neck is a value less than 0.1, and a rate of dimensional change in the outer diameter of the bottle neck is 0.2% or less.
US08980382B2 Oxygen-doping for non-carbon radical-component CVD films
Methods of forming silicon oxide layers are described. The methods include the steps of concurrently combining both a radical precursor and a radical-oxygen precursor with a carbon-free silicon-containing precursor. One of the radical precursor and the silicon-containing precursor contain nitrogen. The methods result in depositing a silicon-oxygen-and-nitrogen-containing layer on a substrate. The oxygen content of the silicon-oxygen-and-nitrogen-containing layer is then increased to form a silicon oxide layer which may contain very little nitrogen. The radical-oxygen precursor and the radical precursor may be produced in separate plasmas or the same plasma. The increase in oxygen content may be brought about by annealing the layer in the presence of an oxygen-containing atmosphere and the density of the film may be increased further by raising the temperature even higher in an inert environment.
US08980381B2 Coating for sensing thermal and impact damage
Coating compositions containing resins with dispersed nanoparticle precursors and methods for using said coatings as visual indicators of thermal and impact damage. The nanoparticle precursor/resin system reduces the nanoparticle precursor to its nanoparticle state when subjected to heat and/or physically impacted. The nanoparticles formed impart a color upon the coating at the point of exposure due to surface plasmon resonance. Microencapsulated leuco dyes are utilized to impart color when the coating is struck. The dye within the microcapsule is released as the microcapsule wall bursts or melts. Solubilizing agents can be utilized to improve the solubility of the nanoparticle precursor in the resin.
US08980380B2 Fabrication of 3-dimensional micro-assemblies
Disclosed herein is a method of: depositing an actuating material onto a bendable component; and applying heat or an electromagnetic force to the actuating material, such that the volume of the actuating material changes, causing the component to bend.
US08980379B2 Gas distribution showerhead and method of cleaning
During a deposition process, material may deposit not only on the substrate, but also on other chamber components. In a MOCVD chamber, one of those components is the gas distribution showerhead. The showerhead may be cleaned by bombarding the showerhead with radicals generated by a plasma that includes an inert gas and chlorine. In order to generate the plasma, the showerhead may be negatively biased or floating relative to the substrate support. The showerhead may comprise stainless steel and be coated with a ceramic coating.
US08980375B1 Catalyst dip
The present invention relates to a method for forming a golf ball. The method comprises mixing a polyisocyanate crosslinker with a base TPU cover material, wherein the polyisocyanate crosslinker is aliphatic, miscible with the base TPU cover material and has functionalities greater than or equal to 2. The method further comprises dipping a golf ball in a catalyst solution, wherein the catalyst solution is allowed to absorb into a cover of the golf ball and heating the golf ball to allow continued diffusion of the catalyst solution into the cover of the golf ball.
US08980374B2 Method for producing functional film
A functional film having a particular organic film and a particular inorganic film is produced. The functional film is consistently produced and exhibits the intended performance. The functional film production method includes forming an organic film on a surface of a substrate, handling the substrate having the organic film formed thereon so that no member comes in contact with an organic film surface in vacuum until formation of an inorganic film, and forming the inorganic film by vacuum deposition on the organic film surface.
US08980372B2 Process for coating a component having partially closed holes and process for opening the holes
During the coating of a component including cooling-air holes, it is necessary, when remachining the coated cooling-air holes, to determine the correct alignment of the cooling-air row. A process is provided in that at least two cooling-air holes are kept clear by a masking material during the coating and the alignment of the cooling-air row is effected on the basis of these two unclosed cooling-air hole.
US08980369B2 Accelerated aging of phosphorus-doped optical fibers
Adverse hydrogen aging limitations in multiply-doped optical fibers are overcome by passivating these optical fibers using a deuterium passivation process. This treatment essentially pre-reacts the glass with deuterium so that the most active glass sites are no longer available to react with hydrogen in service. Optical fibers of main interest are doped with mixtures of germanium and phosphorus. Optimum passivating process conditions are described.
US08980364B2 Method of drying bioabsorbable coating over stents
Various embodiments of methods for coating stents are described herein. Applying a composition including polymer component and solvent to a stent substrate followed by exposing the polymer component to a temperature equal to or greater than a Tg of the polymer component is disclosed. Repeating the applying and exposing one or more times to form a coating with the result that the solvent content of the coating after the final exposing step is at a level suitable for a finished stent is further disclosed.
US08980358B2 Frozen aerated confections and methods for production thereof
A frozen aerated confection is provided, comprising water; a fat component in an amount of 1 to 15% by weight of the frozen aerated confection wherein greater than 20% and less than 35% by weight of the fatty acids in the fat component are polyunsaturated, and less than 65% by weight of the fatty acids are saturated; mammalian milk protein; and sweetener; characterized in that the frozen confection contains less than 0.04% emulsifier by weight of the frozen confection. A process for manufacturing such a frozen aerated confection is also provided.
US08980357B2 Foaming compositions and methods of making the same
A foaming composition is provided comprising a particulate ingredient having a plurality of internal voids containing entrapped supercritical fluid having a critical temperature of at least about 10° C. Additionally, a method is provided for preparing such a foaming composition. A supercritical fluid having a critical temperature of at least about 10° C. is contacted with a particulate ingredient having a glass transition temperature above ambient temperature at a temperature above the glass transition temperature of the particulate ingredient, wherein the particulate ingredient comprises a plurality of internal voids. The particulate ingredient is held at the temperature above the glass transition temperature of the particulate ingredient for a period of time effective to allow transfer of the supercritical fluid into the plurality of internal voids of the particulate ingredient. Subsequently, the temperature is reduced to below the glass transition temperature of the particulate ingredient, thereby entrapping at least a portion of the supercritical fluid in the plurality of internal voids of the particulate ingredient.
US08980351B2 Method of treating hot cooking oil
A method of treating used cooking oil provides for exposing agitated oil for up to five minutes to a mixture of sodium silicate and silica xerogel in powder form, and filtering resulting oil powder mixture to remove the powder. The ratio of sodium silicate to silica xerogel is 30-70% to 70-30%, preferably 50-50%. The sodium silicate comprises 26-28% of Na2O, 53-55% of SiO2, and 15-20% of H2O, with bulk density of about 29-37 lbs/ft3, whereas the silica xerogel comprises: 96-99% of SiO2, and 1-4% of Na2SO4, with bulk density of about 24-27 lbs/ft3, the particle size being between 35 and 50 microns. Alternative embodiments provide the exposure of the oil first to one component of the mixture and then to another or the exposure to a single treating agent comprising 8-19% of Na2O, 66-83% of SiO2, 8-14% of H2O, and 0.1-2% of Na2SO4.
US08980350B2 Fried food products having reduced fat content
Methods of making reduced-fat or fat-free fried food products, and products made according to the methods, in which food pieces are coated with an aqueous adhesion mixture including a protein, and an oil absorption minimizing agent including an edible lipophilic material, where the coating is adhered to the food pieces, in order to provide for food products having the texture, flavor, and other characteristics of conventional full-fat fried food products. According to a preferred embodiment, the aqueous adhesion mixture includes from about 65 to about 95% by weight water, from about 4 to about 25% by weight protein, from 0 to about 2% by weight hydrocolloid, and from 0 to about 10% by weight protein stabilizing agent.
US08980349B2 Food product having a casing
The present invention is directed to a process for preparing a food product having a casing, the process comprising the step of applying a casing paste comprising alginate and a sparingly soluble calcium salt by co-extrusion to the exterior of a material to be cased to form a co-extruded product, and contacting the co-extruded product with a solution comprising calcium ions, thereby causing the alginate to gel.
US08980347B2 Method for applying a liquid seal rubber to a capsule
The present invention proposes a method for providing a rubber-elastic sealing member onto a capsule (1) intended for producing a beverage in a beverage production device, wherein the capsule has a body portion (3) having a side wall (3a) and a flange-like rim portion (2), wherein the rim portion (2) extends from the side wall (3a) of the body portion (3), the method comprising the steps of: —applying an uncured sealing composition (4) in a liquid or viscous state onto the rim portion (2) of the capsule (1), —heating said applied sealing composition (4) such that the sealing composition at least partially migrates towards the side wall (3a) until it reaches the side wall, and—curing the sealing composition (4) after the migration towards the side wall (3a).
US08980346B2 Process for preparing hard butter
The present invention relates to a process for preparing a hard butter, which comprises conducting transesterification between one or more selected from the group consisting of straight-chain saturated C16-22 fatty acids and lower alcohol esters thereof and a triglyceride having oleoyl group and/or linoleoyl group at the 2-position with an action of a granulated powder lipase comprising a lipase derived from Rhizopus oryzae and/or Rhizopus delemar and a soybean powder, and removing the granulated powder lipase after the transesterification. The process is industrially suitable process for preparing a hard butter, which have excellent properties as a cacao butter equivalent.
US08980345B2 Fermented bakery dough tolerant to proofing
A yeast, Saccharomyces chevalieri and/or Saccharomyces cerevisiae var. boulardii stabilizes bread fermentation during proofing. A bakery dough composition includes the yeast Saccharomyces chevalieri and/or Saccharomyces cerevisiae var. boulardii. A method for preparing a cooked or fresh product from the bakery dough composition that includes the yeast.
US08980341B2 Insecticidal compositions and methods of using the same
Provided are mosquito larvicide compositions comprising a mineral oil and a methylated coconut oil. The compositions may further comprise a silicone and at least one surfactant. The compositions can kill mosquito larvae in swamps, floodwater areas, and other areas where mosquitoes develop. Further provided are methods for mosquito control. The methods may comprise coating the surface of a body of water.
US08980338B2 Sceletium extract and uses thereof
A composition including as an active ingredient an extract of a plant of the family Mesembryanthemaceae with mesembrenol and mesembrenone as the two major alkaloids present. The invention also relates to the use of the composition as a PDE4 inhibitor and as a serotonin-uptake inhibitor, preferably applied in formulations for the use of the composition as a dual serotonin-uptake inhibitor and PDE4 inhibitor. The invention extends to compositions, such as pharmaceutical compositions or compositions used as dietary supplements, the total alkaloid content of which includes at least 80% (w/w) combined content of mesembrenol and mesembrenone, less than 5% (w/w) mesembrine, and at least 7% (w/w) mesembranol.
US08980337B2 Tablet composition containing kampo extract
Provided is a tablet composition containing Kampo extract having more excellent disintegration and dissolution properties than ever before. The tablet composition containing Kampo extract contains a Kampo extract powder, croscarmellose sodium, and sodium hydrogen carbonate. The Kampo extract powder is preferably at least one extract powder selected from the group consisting of Kakkonto, Kakkontokasenkyushin'i, Shoseiryuto, Tokishakuyakusan, Kamishoyosan, Keishibukuryogan, Hochuekkito, Bofutushosan, Goshajinkigan, Maobushisaishinto, Keishikajutsubuto, Juzentaihoto, Sokeikakketsuto, Shakuyakukanzoto, Keishininjinto, Tokakujokito, Yokukansan, Goreisan, and Eppikajutsuto.
US08980335B2 Use of organic acids and essential oils in animal feeding
The present invention relates to a novel feed composition for animals, for example poultry, comprising as active ingredient benzoic acid, derivatives or metabolites thereof, in combination with a mixture of at least two active compounds selected from the group consisting of thymol, eugenol and piperine. The inventors found that in addition to the well known function of benzoic acid, this compound can be used as a potential growth promoter when it is combined with a mixture of at least two active compounds selected from the group consisting of thymol, eugenol and piperine. In particular the inventors have been able to demonstrate that a mixture of these chemical compounds present in different parts of plants, used in synergy and in combination with an appropriate amount of benzoic acid, exhibits, in totally unexpected manner, the effects sought by the present invention of improving the digestibility of poultry feed, i.e. for improving feed conversion ratio and/or daily weight gain in animal.
US08980334B2 Double-layered absorbable solid compositions for the topical treatment of oral mucosal disorders
Bioadhesive sticker tablets which are applied directly to vaginal, rectal and/or oral mucosa are described herein. In one embodiment, the sticker tablets are applied directly to ulcers or lesions in the oral cavity. The compositions adhere immediately upon administration, swell over time, and remain adherent to the ulcer or lesion for at least 60 minutes. The compositions can be in the form of single layer, double layer, or multilayer sticker tablets. The compositions provide immediate pain relief to the patient and promote rapid healing of the ulcer or lesion. The sticker tablet compositions contain one or more bioadhesive polymers. In one embodiment, the polymers are crosslinked polycarboxylic acids and polyols. The compositions contain at least one herbal agent and/or irritating compound, and optionally, a non-herbal active agent. The compositions can deliver an non-irritating effective dose of the agent for at least 60 minutes. The compositions described herein are stable upon storage for six months or longer.
US08980333B2 Development of novel detergents for use in PCR systems
This disclosure relates to novel detergents for use in various procedures including, for example, nucleic acid amplification reactions such as polymerase chain reaction (PCR). Methods for preparing the modified detergents are also described.
US08980329B2 Therapeutic uses of allogeneic myeloid progenitor cells
Myeloid function is enhanced by transplantation or infusion of allogeneic myeloid progenitor cells, including CMP, GMP, MEP and MKP cell subsets. Myeloid progenitors ameliorate sequelae of anemia and thrombocytopenia, and can prevent or treat gastrointestinal mucositis associated with chemotherapy, radiotherapy, and the like. The transplantation or infusion may be performed in the absence of HLA typing, and the cells may be mismatched at one or more Class I HLA loci. The transplantation may provide for treatment of ongoing disease, or prevention of disease in high risk patients.
US08980328B2 Method of preparing porous carbonate apatite from natural bone
A method for preparing carbonate apatite from natural bones. The method includes obtaining cancellous bone particles; treating the bone particles with hot water and an organic solvent; repeating the treating step at least once; drying the bone particles; and heating the bone particles at 500° C. to 620° C. for 10 to 50 hours.
US08980326B2 Hybrid block copolymer micelles with mixed stereochemistry for encapsulation of hydrophobic agents
The present invention relates to the field of polymer chemistry and more particularly to multiblock copolymers and micelles comprising the same.
US08980324B2 Silicon dioxide nanoparticles and the use thereof for vaccination
The invention relates to ultrasmall, monodisperse nanoparticles comprising silicon dioxide to the surface of which at least one antigen is attached. The nanoparticles can be used for the immunoprophylaxis or immunotherapy of cancer. The invention also relates to a method for the targeting of antigens at antigen-presenting cells and for the activation of the immune system, where the efficiency of targeting and/or immunoactivation are set via the particle characteristics. The invention also relates to a method for the active and passive immunization of a mammal.
US08980323B2 Hydrophilic matrix containing poorly water-soluble compound and method for producing the same
It is an object of the present invention to solve the problem of precipitation of a poorly water-soluble compound in a hydrophilic matrix upon inclusion of the poorly water-soluble compound in the hydrophilic matrix. The present invention provides a composition wherein a poorly water-soluble compound is contained in a hydrophilic matrix in a finely-dispersed state.
US08980322B2 Controlled release composition
The present invention provides a controlled release composition showing release of an active ingredient (proton pump inhibitor) controlled in two or more steps at different release rates, which contains 1) a release-controlled part A capable of controlling release of the active ingredient to occur at a predetermined rate, 2) a release-controlled part B capable of controlling release of the active ingredient to occur at a predetermined rate lower than the release rate of the release-controlled part A, and where necessary, 3) a release-controlled part C capable of controlling release of the active ingredient to occur at a predetermined rate faster than the release rate of the release-controlled part B, wherein the release of the active ingredient from the release-controlled part B precedes the release of the active ingredient from the release-controlled part A (when release-controlled part C is contained, the release of the active ingredient from the release-controlled part C precedes the release of the active ingredient from the release-controlled part B).
US08980319B2 Methods of producing stabilized solid dosage pharmaceutical compositions containing morphinans
Methods for producing stabilized solid dosage form pharmaceutical compositions are provided. In particular, methods for preparing protected granules containing morphinans, and solid dosage form pharmaceutical compositions produced using the morphinan-protected granules are provided.
US08980317B2 Methods and compositions for treating infections comprising a local anesthetic
The present invention is directed to a drug depot useful for reducing, preventing or treating an infection in a patient in need of such treatment. The drug depot includes a polymer and a therapeutically effective amount of a local anesthetic or pharmaceutically acceptable salt thereof. The drug depot is administered at a site to reduce, prevent or treat an infection. The drug depot is capable of releasing (i) a bolus dose of the local anesthetic or pharmaceutically acceptable salt thereof at the site and (ii) a sustained release dose of an effective amount of the local anesthetic or pharmaceutically acceptable salt thereof over a period of at least 4 days at the site.
US08980312B2 Seamless alginate capsules
The present invention is directed to a dried seamless capsule comprising an alginate shell membrane encapsulating fill material, wherein: (i) the alginate shell membrane comprises a polyvalent metal ion alginate having: (a) an average M content of from 50%-62% by weight based on the weight of the M and G content, and (b) a viscosity of 35 to 80 cps; (ii) the alginate shell membrane encapsulates an oil present in an amount of at least 50% by weight of the fill material; (iii) the dried seamless capsule has a disintegration time of less than 12 minutes in an intestinal buffer after pretreatment for 20 minutes; and (iv) the dried seamless capsule has a dry break force strength of at least 7 kg.
US08980311B2 Liposome-mediated ligation
Chemoselective ligation of hydrophobic reactants in a lipid phase.
US08980310B2 Non-pegylated long-circulating liposomes
The present invention provides a long circulating non-pegylated liposomal doxorubicin hydrochloride composition for parenteral administration and a process for its preparation. The circulation time in Swiss albino mice is at least 25 times longer than conventional non-liposomal formulations. The non-pegylated liposomes are stable, exhibit low toxicity and have been found to be efficacious in different tumor models.
US08980305B2 Non-abusable pharmaceutical composition comprising opioids
There is provided pharmaceutical compositions for the treatment of pain comprising a pharmacologically-effective amount of an opioid analgesic, or a pharmaceutically-acceptable salt thereof, presented in particulate form upon the surfaces of carrier particles comprising a pharmacologically-effective amount of an opioid antagonist, or a pharmaceutically-acceptable salt thereof, which carrier particles are larger in size than the particles of the opioid analgesic. The compositions are also useful in prevention of opioid abuse by addicts.
US08980304B2 Barrel-shaped vaginal ring
The present invention relates to annular intravaginal devices comprising an aperture, methods of making, and uses thereof. The annular devices comprise an aperture extending into the device, the aperture having: (a) an interior diameter, and (b) an exterior entry diameter, wherein the exterior entry diameter of the aperture is less than the interior diameter of the aperture.
US08980303B2 Antimycotic and prebiotic pharmaceutical composition and a method for treating candidal vaginitis
The invention relates to medicine and pharmacology, more particularly to a pharmaceutical composition in the form of vaginal suppositories for treating candidal vaginitis and vulvovaginitis, containing antimycotics and prebiotics. The contents of the composition for therapeutic use make it possible to achieve the synergistic effect of stimulating the growth of the normal vaginal microflora through the presence of prebiotics and inhibiting the growth and eliminating pathogenic Candida fungi through the presence of modern antimycotics. Furthermore, the composition substantially contains such antimycotics to which representatives of the normal flora, i.e. lactobacilli and bifidobacteria, are insensitive. The method for treating mycetogenous or bacterial vaginosis, vaginitis and vulvovaginitis is carried out with the aid of the antimycotic and prebiotic pharmaceutical composition in a medicinal form for local application.
US08980302B2 Therapeutic implant
Surgical implants of the present disclosed include a film comprising a first therapeutic agent and a mesh comprising a second therapeutic agent. The surgical implant includes a film in direct contact with a mesh. The first therapeutic agent may be released at a first rate and the second therapeutic agent may be released at a second rate.
US08980301B2 Multilayered blood product
A blood product (10), a method for preparing the blood product, a blood product obtainable by the method and a blood product preparing container means. The blood product comprises components from whole blood, especially fibrin, thrombocytes and leukocytes. The blood product (10) comprises a first layer (21), a second layer (22) and a third layer (23). The second layer (22) is adjacent to the first layer (21) and the third layer (23). The first layer (21) defines a first outer surface (24) of the blood product (10) and the third layer (23) defining a second outer surface (25) of the blood product (10). The first layer (21) comprises a majority of fibrin, the second layer (22) comprises a majority of thrombocytes and the third layer (23) comprises a majority of leukocytes.
US08980300B2 Plasticizers for coating compositions
A biocompatible plasticizer useful for forming a coating composition with a biocompatible polymer is provided. The coating composition may also include a biobeneficial polymer and/or a bioactive agent. The coating composition can form a coating on an implantable device. The implantable device can be used to treat or prevent a disorder such as atherosclerosis, thrombosis, restenosis, hemorrhage, vascular dissection or perforation, vascular aneurysm, vulnerable plaque, chronic total occlusion, claudication, anastomotic proliferation for vein and artificial grafts, bile duct obstruction, ureter obstruction, tumor obstruction, or combinations thereof.
US08980298B2 Implantable tizanidine compositions and methods of treatment thereof
A method of treating the symptoms of spasticity comprises implanting a reservoir-based drug delivery composition into a subject to systemically deliver a therapeutically effective amount of tizanidine to the subject for a long period of time (e.g., one month or one year). The drug delivery composition may include a rate-controlling excipient (e.g., an elastomeric polymer) defining a reservoir containing at least one discrete solid dosage form (e.g., one or more pellets), which includes tizanidine free base and optionally, a sorption enhancer.
US08980296B2 Compositions and methods for preventing cardiac arrhythmia
Disclosed herein are compositions and methods for treating or preventing cardiac arrhythmia in a subject.
US08980294B2 Methods for controlling pests
Ready-to-use foamable pesticide compositions that contain a particulate pesticide suspended therein and applicators for dispensing such compositions. Methods for treating pests such as arthropods by contacting pests with such compositions are also provided.
US08980291B2 Controlled release hydrocodone formulations
A solid oral controlled-release oral dosage form of hydrocodone is disclosed. The dosage form comprising an analgesically effective amount of hydrocodone or a pharmaceutically acceptable salt thereof, and a sufficient amount of a controlled release material to render the dosage form suitable for twice-a-day administration to a human patient, the dosage form providing a C12/Cmax ratio of 0.55 to 0.85, said dosage form providing a therapeutic effect for at least about 12 hours.
US08980285B2 Vaccines for broad spectrum protection against Neisseria meningitidis
The present invention generally provides methods and vaccines for the prevention of diseases caused by Neisseria meningitidis bacteria, particularly serogroup B strains.
US08980277B2 Hybrid and tandem expression of neisserial proteins
Two or more Neisserial proteins are joined such that they are translated as a single polypeptide chain. Hybrid proteins are represented by the formula NH2-A-[-X-L-]n-B—COOH where X is an amino acid sequence, L is an optional linker amino acid sequence, A is an optional N-terminal amino acid sequence, B is an optional C-terminal amino acid sequence, and n is an integer greater than 1. Proteins where each of the n -X- moieties shares sequence identity to each other -X- moiety, the protein is a ‘tandem protein’.
US08980274B2 Ehrlichia canis DIVA (differentiate infected from vaccinated animals)
The invention provides Ehrlichia canis antigens that can be used to detect E. canis infected animals regardless of whether the animals have been vaccinated for E. canis. The invention also provides compositions and methods for determining the presence of E. canis antigens and antibodies.
US08980272B2 Antibody targeting osteoclast-associated protein
The present invention relates to a method of detecting metabolic bone disorders using a gene expressed at a high level in osteoclasts, a method of screening for a compound effective for treatment and/or prevention of metabolic bone disorders, and a pharmaceutical composition for treatment and/or prevention of metabolic bone disorders. Specifically, the present invention relates to a method of detecting metabolic bone disorders using expression of the human DC-STAMP gene as an indicator, a pharmaceutical composition containing an antibody which is capable of specifically recognizing human DC-STAMP and suppressing formation of osteoclasts, and so forth.
US08980266B2 Serpin fusion polypeptides and methods of use thereof
This invention relates to molecules, particularly polypeptides, more particularly fusion proteins that include a serpin polypeptide or an amino acid sequence that is derived from a serpin and second polypeptide comprising of at least one the following: an Fc polypeptide or an amino acid sequence that is derived from an Fc polypeptide; a cytokine targeting polypeptide or a sequence derived from a cytokine targeting polypeptide; a WAP domain containing polypeptide or a sequence derived from a WAP containing polypeptide; and an albumin polypeptide or an amino acid sequence that is derived from a serum albumin polypeptide. This invention also relates to methods of using such molecules in a variety of therapeutic and diagnostic indications, as well as methods of producing such molecules.
US08980263B2 Pharmaceutical composition comprising antibody composition which specifically binds to CCR4
A pharmaceutical composition, comprising an antibody composition which specifically binds to human CC chemokine receptor 4 (hereinafter also referred to as CCR4) and at least one medicament; and a pharmaceutical composition for administering in combination of a recombinant antibody against CCR4 and at least one medicament are required. The present invention can provide a pharmaceutical composition comprising a recombinant antibody against CCR4 and at least one medicament; and a pharmaceutical composition for administering in combination of a recombinant antibody against CCR4 and at least one medicament.
US08980261B2 Treatment of angiogenesis disorders
This invention concerns pathological angiogenesis and cancer, related treatment methods, and related compositions. Also disclosed are related diagnosis kits and methods.
US08980259B2 Combination therapy
The present invention relates to a pharmaceutical combination comprising an alpha-isoform specific phosphatidylinositol 3-kinase inhibitor compound, such as (S)-Pyrrolidine-1,2-dicarboxylic acid 2-amide 1-({4-methyl-5-[2-(2,2,2-trifluoro-1,1-dimethyl-ethyl)-pyridin-4-yl]-thiazol-2-yl}-amide), or pharmaceutically acceptable salt thereof, and an insulin-like growth factor-1 receptor (IGF1R) inhibitor (e.g., the IGF1R inhibitor ANTIBODY A, or a variant or derivative thereof), a pharmaceutical composition comprising such combination, methods for treating cancer comprising administration of therapeutically effective amounts of such inhibitors to a subject in need thereof, and uses of such combination for the treatment of cancer.
US08980252B2 Methods of treatment of schizophrenia
Described herein are compositions which include digestive enzymes and which are formulated to reduce one or more symptoms of a neuropsychiatric disorder. Also described herein is a method for treating an individual with a neuropsychiatric disorder using digestive enzymes and their derivatives to alleviate the symptoms of neuropsychiatric disorders. The method comprises administering to the individual an effective amount of digestive enzymes that are either naturally or recombinantly derived, or their derivatives, in an amount effective to reduce one or more symptoms of the neuropsychiatric disorder.
US08980251B2 Methods for preventing and treating hemorrhoids
The invention is directed to methods for treating hemorrhoids. The invention is also directed to methods for preventing hemorrhoids. The invention is further directed to reducing inflammation associated with hemorrhoids. The invention is further directed to methods for preventing hemorrhoids and/or treating hemorrhoids and/or reducing inflammation associated with hemorrhoids by administering to a subject suffering from such conditions, or at risk of developing such conditions, novel cellular factor-containing solution compositions (referred to herein as “CFS” compositions), including novel sustained-release cellular factor-containing solution compositions (referred to herein as “SR-CFS” compositions).
US08980244B2 Method of treating type 1 diabetes by administering NKp46 polypeptide
The invention relates to use of the natural cytotoxicity receptor NKp46 for preventing and treating diabetes, including type I diabetes (TID) and type 2 diabetes. In particular, the invention provides compositions comprising a fragment of the extracellular region of NKp46 for preventing the onset and progression of diabetes.
US08980241B2 Polymerized cyclic nitroxide radical compound and use thereof
A method of covalently bonding a cyclic nitroxide radical compound to a hydrophobic block of a specific hydrophylic-phobic block copolymer, and polymerized cyclic nitroxide radical compound copolymerized in this manner, as well as use of such a compound, for instance, in the medical field are provided. The compound demonstrates long term stability in vivo under reductive environment.
US08980237B2 Hair care compositions
Hair care compositions are disclosed which comprise a silicone polyurethane polymer, an ester, and a fluorosilicone. The compositions are useful for improving color retention of artificially colored hair.
US08980233B2 Dentifrice composition
Disclosed is a dentifrice composition comprising an orally acceptable vehicle, an abrasive particulate and a surfactant system comprising an anionic surfactant comprising a C8-C16 fatty acid glutamate salt and a nonionic surfactant comprising a C8-C16 alkyl glucoside.
US08980231B2 Stable tooth whitening gel
Stable tooth bleaching gels with a high concentration of peroxide are disclosed. The present invention also provides a method for treating one or more teeth. The method includes steps of applying a therapeutically effective amount of a tooth bleaching gel with a high concentration of peroxide to one or more teeth, leaving the gel in contact with the one or more teeth such that the gel may whiten the tooth or teeth.
US08980229B2 Dentifrice compositions and methods for treating and preventing damage to tooth surfaces
The invention encompasses compositions and methods for treating and modifying surfaces of teeth, which compositions include calcium and magnesium containing silicates or silica, cationic polymer modified silica or combinations thereof. The composition when applied to the teeth or a tooth surface deposit on such surfaces to repair acid damaged enamel and prevent further erosion of a tooth surface.
US08980225B2 Magnetic resonance imaging and/or spectroscopy contrast agents and methods of use thereof
The presently disclosed subject matter demonstrates that a spin state which has zero magnetic resonance signal, but an extremely long lifetime, can be used to store magnetization, which can then be recovered into an observable transition. Coupled with hyperpolarization techniques, this permits the preparation of a wide range of contrast agent molecules for use in magnetic resonance imaging (MRI) techniques that have long effective relaxation time.
US08980223B2 Methods of preventing ischemic injury using peripheral nociceptive stimulation
Methods of inhibiting ischemia-related and ischemia-reperfusion-related injury are provided. Remote administration of a C-fiber activator or TRPV1 agonist or remote electrical stimulation and activation of TRPV1 reduces ischemia-related tissue damage in subjects at risk for ischemia-related tissue damage. In aspects of the invention, remote application of a TRPV1 agonist inhibits ischemia-related cardiac tissue damage. Methods of inhibiting cardiac tissue damage by topically administering the TRPV1 agonist, capsaicin are provided.
US08980222B2 Diagnostic use of endothelin ETB receptor agonists and ETA receptor antagonists in tumor imaging
Methods of imaging tumors are disclosed. The methods utilize an endothelin ETB receptor agonist or an endothelin ETA receptor antagonist, in combination with an imaging agent, to detect a tumor in mammals, including humans.
US08980221B2 Fluoride processing method
The invention relates to methods for processing [18F]-fluoride target water using a solid-support bound Cryptand of formula (I) and to apparatus for performing such methods. The resultant [18F]-fluoride is useful for preparation of radiopharmaceuticals by nucleophilic fluorination, specifically for use in Positron Emission Tomography (PET).
US08980220B2 Molecular probe for imaging of pancreatic islets and use of the same
A molecular probe for use in imaging of pancreatic islets is provided. The molecular probe comprises a polypeptide represented by the following formula (1), (2), or (3), or a polypeptide having homology with the foregoing polypeptide, (SEQ ID NO. 1) Z-HGEGTFTSDLSXQMEEEAVRLFIEWLKNGGPSSGAPPPS-NH2 (1) (SEQ ID NO. 2) Z-HGEGTFTSDLSKQMEEEAVRLFIEWLXNGGPSSGAPPPS-NH2 (2) (SEQ ID NO. 3) B-HGEGTFTSDLSKQMEEEAVRLFIEWLKNGGPSSGAPPPS-NH2 (3) where, in the formulae (1) and (2), “X” represents a lysine residue, an amino group of a side chain of the lysine residue being labeled with a radioactive nuclide, and “Z—” indicates that an α-amino group at an N-terminus is not modified, or is modified with a modifying group having no electric charge; in the formula (3), “B—” indicates that an α-amino group at an N-terminus is labeled with a radioactive nuclide; and in the formulae (1), (2), and (3), “—NH2” indicates that a carboxyl group at a C-terminus is amidated.
US08980219B1 Stable complexes of zero-valent metal and hydride as novel reagents
A composition and its method of production are provided. The composition includes at least one zero-valent metal atom in complex with at least one hydride molecule. The method of production includes ball-milling an elemental metal in a high-surface area form, with a hydride. The composition can be useful as a reagent for the synthesis of zero-valent metallic nanoparticles.
US08980217B2 Method of manufacturing graphene substrate, and graphene substrate
Provided is a graphene substrate, which is manufactured by: bringing a metal layer into contact with a carbon-containing layer and heating the metal layer to dissolve carbon in the carbon-containing layer into the metal layer; and cooling the metal layer to precipitate the carbon in the metal layer as graphene on any substrate surface.
US08980214B2 Method for producing difluorophosphate, non-aqueous electrolyte for secondary cell and non-aqueous electrolyte secondary cell
A difluorophosphate effective as an additive for a nonaqueous electrolyte for secondary battery is produced by a simple method from inexpensive common materials.The difluorophosphate is produced by reacting lithium hexafluorophosphate with a carbonate in a nonaqueous solvent. The liquid reaction mixture resulting from this reaction is supplied for providing the difluorophosphate in a nonaqueous electrolyte comprising a nonaqueous solvent which contains at least a hexafluorophosphate as an electrolyte lithium salt and further contains a difluorophosphate. Also provided is a nonaqueous-electrolyte secondary battery employing this nonaqueous electrolyte.
US08980210B2 Capture and release of acid-gasses with acid-gas binding organic compounds
A system and method for acid-gas capture wherein organic acid-gas capture materials form hetero-atom analogs of alkyl-carbonate when contacted with an acid gas. These organic-acid gas capture materials include combinations of a weak acid and a base, or zwitterionic liquids. This invention allows for reversible acid-gas binding to these organic binding materials thus allowing for the capture and release of one or more acid gases. These acid-gas binding organic compounds can be regenerated to release the captured acid gasses and enable these organic acid-gas binding materials to be reused. This enables transport of the liquid capture compounds and the release of the acid gases from the organic liquid with significant energy savings compared to current aqueous systems.
US08980207B1 Method and system for removal of mercury from a flue gas
Processes and methods exist for decreasing emissions of mercury upon combustion of fossil fuels such as coal. Halide salts can be effective when used at locations where they are thermally decomposed to form reactive halogen species, or in combination with an adsorbent material such as activated carbon. Halide salts, such as calcium bromide and sodium bromide, are not typically used at locations downstream of the economizer, where the temperature is typically below around 500° C., because these salts are non-thermolabile and do not decompose to produce reactive halogen species. However, in flue gas streams that certain flue gas constituents, such as sulfur trioxide or sulfuric acid, reactive halogen species can be produced via chemical reaction. These species react with elemental mercury through various means to form an oxidized form of mercury that is more easily captured in downstream pollution control devices such as particulate control devices or SO2 scrubbers.
US08980206B2 Method and multi-component nozzle for reducing unwanted substances in a flue gas
A method is illustrated and described for reducing unwanted substances by injecting a reactant into a flue gas of a steam generator. In order that the reactant can also be used in larger steam generators and/or combustion chambers, a method is proposed, in which the reactant is injected into the combustion chamber of the steam generator via a reactant opening of a multi-component nozzle, in which an enveloping medium is injected into the combustion chamber through at least one enveloping medium opening arranged outside the reactant opening, and in which the enveloping medium at least partly envelops the reactant in the combustion chamber and in this way at least partly shields the reactant from the flue gas.
US08980204B2 Process and system for syngas treatment
A process for the clean-up of a crude syngas stream having widely varying composition and particulate load. The process includes quenching the crude syngas stream with a liquid stream to cool the syngas stream and remove particulates, tars and heavier hydrocarbon compounds. The process further includes co-scrubbing the syngas stream to remove both HCl and NH3 from the syngas stream, removing particulate matter from the syngas stream, and removing sulfur from the syngas stream. A syngas treatment system is also disclosed.
US08980197B2 Cold sterilizer
A cold sterilizer, for deterging/decontaminating, sterilizing, drying and storing until the time of use medical devices, optionally the thermo-labile ones, in particular flexible and rigid endoscopes for surgical and diagnostic use, suitable for operating with sterilizing agents effective in the range 20-35° C., including the following parts in combination, a chamber, containing the tanks for deterging and sterilizing chemical agents, with closure means thereof; a room—which is or contains the container for flexible devices—equipped with optionally see-through closure means; a plurality of compartments substantially parallel thereamong and arranged substantially parallel to the side walls and to the support base, equipped with individual or common closure means and containing the casings in which the rigid devices are stored; means for the circulation of the above chemical agents among the tanks, the container, the casings and the medical devices contained therein; means for the automatic collection of the deterging and sterilizing chemical agents; means for assuring the circulation under pressure of said agents; means for detecting and controlling in real time the pressures exerted on the channels of the fluxed medical devices; means for allowing the purging of the channels of said medical devices; hydraulic and electrical connections; optionally, means for recording and printing the reprocessing data; optionally, means for facilitating the access to the mechanics thereof. FIG. 1 shows an embodiment of the cold sterilizer according to the invention.
US08980196B2 Fluid-sparged helical channel reactor and associated methods
A method of reacting compounds can include directing a liquid into a helical constrained flow (37) having an inner circumferential flow surface and an outer circumferential flow surface. The helical constrained flow (37) can be formed around an axial interior volume (38). At least a portion of the helical constrained flow can be exposed to a sparging portion (35) to allow a fluid to be sparged into the liquid along the helical constrained flow (37). The fluid reactant can be sparged through the helical constrained flow so as to form a fluid product.
US08980180B2 Gel particle measurement device
To measure a starting point of production of gel particles with high sensitivity in measurement of a target substance in a sample through a gelation reaction while minimizing light attenuation in a solvent in which a phenomenon occurs, provided is a gel particle measurement device including: a sample cuvet (1) accommodating a sample (S) and a solution containing a reagent (R); stirring means (2) for stirring a mixed solution (W); an incident light source (3) for irradiating the mixed solution (W) with coherent light (Bm); backscattered light detecting means (4) provided outside the sample cuvet (1) on the same side on which the incident light source (3) is provided, the backscattered light detecting means (4) detecting a backscattered light component, which returns toward the incident light source, in the light scattered in the mixed solution (W) in the sample cuvet (1); scattered light fluctuation measuring means (5) for measuring a fluctuation component of backscattered light based on a detection output from the backscattered light detecting means (4); and gel particle production determining means (6) for determining, based on a result of measurement by the scattered light fluctuation measuring means (5), a production state of gel particles, which includes at least a starting point of production of the gel particles, which leads to timing of phase transition of the mixed solution (W) from a sol phase to a gel phase.
US08980179B2 Angular-dependent metal-enhanced fluorescence
The present invention relates to detection of fluorescence, and more particularly, to the use of fluorescent moieties in proximity to metallic surfaces to change the spatial distribution of fluorescence in an angular dependent manner and detecting emissions at a determined optimal detection angle thereby increasing sensitivity of the detection.
US08980176B2 Blood processing unit with cross blood flow
A blood processing apparatus may include a heat exchanger and a gas exchanger. The heat exchanger may be configured to provide a cross-flow or radially directed blood flow through the heat exchanger.
US08980170B2 Nanowire preparation methods, compositions, and articles
Methods of producing metal nanowires, compositions, and articles are disclosed. Such methods allow production of metal nanowires with reproducibly uniform diameter and length, even in the presence of catalyst concentration variation. Such metal nanowires are useful for electronics applications.
US08980165B2 Tuyere stock arrangement of a blast furnace
Tuyere stock arrangement of a blast furnace includes a tuyere body configured for installation in a shaft furnace wall. The tuyere body includes a front face facing an interior of the shaft furnace and an opposite rear face and a tuyere channel extending between the rear and front faces (24). The stock arrangement includes a blowpipe between the rear face and a hot blast air supply system, the blowpipe having a front portion connecting to the tuyere body and an opposite rear portion connecting to the hot blast air supply system. A fuel injection lance is arranged through the tuyere body and feeds fuel into the shaft furnace. A gas injection lance feeds an oxidizing gas to the shaft furnace and is arranged in the rear portion of the blowpipe to feed oxidizing gas into a central portion of a stream of hot blast air fed through the blowpipe.
US08980164B2 Spray quench systems for heat treated metal products
A method of quenching a workpiece is provided with one or more spray quench rings that eject a controlled variable volume of spray quench onto a workpiece passing through the quench rings by dynamically adjusting the axially adjustable distance between the inner and outer ring elements of each quench ring while the workpiece passes through the quench rings in response to mass cooling requirements of the workpiece passing through the quench rings. The quench rings can also be axial adjusted relative to each other in response to the mass cooling requirements. Dynamically adjustable reflected spray guards can be provided to prevent quench spray pattern interference between adjacent quench rings.
US08980163B2 Process for producing plastic bottle
Provided in a method of producing a plastic bottle in which molding cycle is improved and a highly transparent plastic bottle rarely having a problem of discoloration such as whitening is produced. The method according to the present invention is a method of producing a plastic bottle comprising 0.005 to 0.025 parts by mass of a 1,2-benzisothiazol-3(2H)-one 1,1-dioxide compound with respect to 100 parts by mass of a polyester resin, the method comprising preparing a masterbatch containing 0.01 to 0.5 parts by mass of the above-described 1,2-benzisothiazol-3(2H)-one 1,1-dioxide compound with respect to 100 parts by mass of the polyester resin, subsequently mixing the masterbatch and polyester resin to produce a mixture, and molding the mixture into the shape of a bottle. Further, it is preferred that the above-described polyester resin be a polyethylene terephthalate resin.
US08980161B2 Method for making a reservoir
A process for making a reservoir including steps of positioning a hollow extrudate at an elevated temperature in a mold cavity, inserting a fitting into an end of the extrudate, sealing an outer surface of the fitting with an interior surface of the extrudate using latent heat within the extrudate, and forming at least a portion of the extrudate against the mold cavity forming a reservoir with the fitting sealed into the reservoir.
US08980154B2 Making twist-on wire connectors
A method of making a twist-on wire connector including a method of making a sealant containing twist-on wire connector by in situ formation of a shell around the coil wherein a sealant may be injected into a cavity in the coil prior to removing the shell from the mold to form a ready-to-use sealant containing twist on wire connector without further steps outside the mold.
US08980153B2 Manufacturing method
A method for making products made of plastics comprises the steps of molding a piece made of polyethylene PE, or made of polypropylene PP, and mechanically folding in a guided manner a flap portion of the aforesaid piece at a folding temperature that is greater than ambient temperature ed less than the alpha-type intermediate physical transition temperature of the material. The method reduces the spring-back of the folded portion and the yield in the folding zone.
US08980151B2 Method for compression molding a dual core for a golf ball
A method and system for compression molding a dual core of a golf ball is disclosed. The method may include a first cycle in which a top mold plate, a middle mold plate, and a bottom mold plate may be used to compression mold concave shells. During the first cycle, the top mold plate and the bottom mold plate may be held at a first temperature T1 and the middle mold plate may be held at a second temperature T2. During the first cycle, the mold plates may be pressed together with a first pressure P1 for a first time t1. A second cycle may include compression molding the concave shells about a solid core. During the second cycle, the top mold plate and the bottom mold plate may be held at the first temperature T1 and pressed together with a second pressure P2 for a second time t2.
US08980150B2 Three-dimensional shaping method and shaped object complex as well as three-dimensional shaping apparatus
A three-dimensional shaping method includes forming a combination to be each level of a three-dimensional shaped object and also forming a combination to be each level of a shaped object support member in each of a plurality of powder material layers having an uncured powder material in accordance with hierarchical shape data corresponding to each of the powder material layers.
US08980148B2 Method of manufacturing a track membrane
Method of manufacturing track membranes by penetration of working substances into and through the membrane matrix of polymer material is disclosed. The matrix is placed into holder that is inserted into one end of a tubular shell, the other end of which contains a cartridge with an explosive material and a working substance in the form of a supersaturated solution of a water-soluble salt. When the explosive material is detonated, the particles of the water-soluble salt interact with the matrix in the form of a high-speed jet with the velocity of particles in the range of 3800 to 4200 m/sec. As a result of penetrating of the particles into and through the material of the matrix, a plurality of holes is formed in the matrix. The track membranes are produced by slicing the membrane matrix after removal of the residue of the particles by washing the pierced membrane with water.
US08980140B2 Method for making electrode composite material
The present disclosure relates to a method for making an electrode composite material. In the method, a trivalent aluminum source, a doped element source, and electrode active material particles are provided. The trivalent aluminum source and the doped element source are dissolved in a solvent to form a solution having trivalent aluminum ions and doped ions. The electrode active material particles are mixed with the solution having the trivalent aluminum ions and doped ions to form a mixture. A phosphate radical containing solution is added to the mixture to react with the trivalent aluminum ions and doped ions, thereby forming a number of electrode composite material particles. The electrode composite material particles are heated.
US08980134B2 Stabilization and surface modification of organic materials
Organic materials which possess outstanding stability to oxidative, thermal or light-induced degradation and/or are able to reduce the surface energy of organic materials comprise at least one compound of the formula (I) wherein the general symbols are as defined in claim 1.
US08980130B2 Submicron rare-earth borates and preparation/luminoshors thereof
Liquid phase suspensions of substantially monocrystalline rare-earth borate particles, the mean size thereof ranging from 100 to 400 nm, are prepared by roasting a rare earth borocarbonate or hydroxyborocarbonate at a temperature which is sufficient for forming a borate and obtaining a product whose specific surface area is equal to or greater than 3 m2/g and then wet grinding the roasted product; such borates are useful in the form of luminophors, in particular, as luminescent transparent materials.
US08980128B2 Adsorbent for water adsorption and desorption
The present invention relates to methods for absorbing and/or desorbing water by contacting water with hybrid inorganic-organic material having high surface area, and pores with the size of molecules or nanometers. More specifically, the water adsorbent has facile adsorption-desorption even below 100° C., having high adsorption capacity, and having high desorption capacity when it is heated up to the temperature below 100° C. The adsorbent of the present invention can be applied to a humidifier, dehumidifier, cooler and heater. The present invention also relates to a technology to control humidity using the adsorbent.
US08980125B2 Active material, battery, and method for manufacturing electrode
An active material for a nonaqueous electrolyte secondary battery includes first particles and second particles provided to coat the first particles so as to be scattered on the surfaces of the first particles. The circularity of the first particles coated with the second particles is 0.800 to 0.950, and the ratio r1/r2 of the average particle diameter r1 of the second particles to the average particle diameter r2 of the first particles is 1/20 to 1/2.
US08980122B2 Contact release capsule useful for chemical mechanical planarization slurry
The invention relates to a contact release capsule comprising a particle, a chemical payload, and a polymer coating, wherein the particle is impregnated with the chemical payload, and the chemical payload is held inside the particle by the polymer coating until the contact release capsule contacts a surface and a shearing force removes the polymer coating allowing the chemical payload to release outside the particle. The contact release capsule is useful in chemical mechanical planarization slurries. Particularly, the contact release capsule may comprise a glycine impregnated silica nanoparticle coated with a polymer, wherein the contact release capsule is dispersed in an aqueous solution and used in the copper chemical mechanical planarization process. Use of the contact release capsule in a slurry for copper chemical mechanical planarization may significantly improve planarization efficiency, decrease unwanted etching and corrosion, and improve dispersion stability.
US08980114B2 Film removing method, nozzle for removing film, and film removing device
A film in a dry state is efficiently dissolved and removed. A film removing method includes steps of moving a nozzle head (10B) close to a soluble film (201) formed on a substrate (200), forming a liquid pool (302) of chemical liquid (300) between the nozzle head (10B) and the film (201) by continuously and simultaneously discharging and sucking the chemical liquid (300) from the nozzle head (10B), and horizontally moving the substrate (100) in a state in which the nozzle head (10B) and the surface of the film (201) are not contacted so as to relatively move the liquid pool (302) of the chemical liquid on the substrate (100).
US08980112B2 Method for renewing organic solvent, method for using organic solvent, and method for producing inkjet recording head
A method for renewing an organic solvent includes an ultraviolet irradiation step in which an organic solvent containing a resin is irradiated with ultraviolet rays so as to enhance the ability of the organic solvent to dissolve the resin. A method for using an organic solvent and a method for producing an inkjet recording head utilize the method for renewing an organic solvent.
US08980107B2 Spin filter
Provided herein is technology relating to filtration and particularly, but not exclusively, to filters and methods for filtering by means of centrifugation.
US08980106B2 Apparatus and method for separation of whole blood into plasma or serum and cells
A microfluidic device comprising a flow channel that utilizes various principles of fluid dynamics to simplify the processes of preparing a sample prior to in vitro diagnostic analysis. A flow channel wherein flow conditions result in a Reynolds number no greater than about 2000, preferably no greater than about 1000, provides enhanced separation of blood cells from a liquid medium, i.e., serum or plasma.
US08980100B2 Low energy reverse osmosis process
We provide a system and method for reverse osmosis treatment of water, including seawater and brackish water. Methods and systems of embodiments of the invention may include, for example, ultrafiltration followed by biofoulant removal, both of which precede reverse osmosis. In preferred embodiments the system is run at a low flux. For example, a flux of 6-8 GFD may be used with seawater. Additional embodiments may provide the above process in conjunction with a reverse-osmosis membrane cleaning system. The membrane cleaning system is a “clean in place” system that includes use of the natural pressure differential in the reverse osmosis system to remove biofoulants and their precursors.
US08980095B2 Process and system for treating water
A process for producing ultrapure water, in which a stream of water is purified in a reverse osmosis device, wherein the water stream is subject to pretreatment including splitting the water stream into at least two partial streams, partial exchange of cations present in at least one of the partial streams for H+ ions by a cation exchanger operated in the H+ mode, and complete exchange of the anions present in at least one further of the partial streams for OH− ions by a softener operative in parallel to the cation exchanger, and treating the partial streams treated by the cation exchanger and the softener by at least one anion exchanger operating in the OH− mode, and wherein the water stream obtained from the pretreatment is fed into the reverse osmosis device.
US08980092B2 Septic system
A system and method includes an aeration subsystem that excites enzymes in the liquid waste passing through the septic system. The aeration subsystem includes a compressor section that compresses the liquid waste. The method includes mixing enzymes into the fluid waste material, compressing the fluid waste material with the compressor, injecting air into the compressed fluid waste material, and determining whether the fluid waste material is at a desired cleanliness, and if not, recirculating the fluid waste material through the compressor.
US08980089B2 High-rate anaerobic pool bioreactor
The present application relates to a high-rate anaerobic pool bioreactor (“BLAAT”) as an improved processing unit for treating biodegradable wastewater, which allows the conversion of a low-performance rate traditional system, such as the anaerobic pool, into an efficient, compact system that enables clean energy to be recovered in the from of biogas. The application also describes a process for the anaerobic treatment of wastewater that uses said bioreactor, which optimizes the processes of mixing and contact between the biomass and the substrate and presents a novel process for separating out the biomass by means of improved sedimentation in horizontal laminar flow.
US08980088B2 Fluid treatment arrangements and methods of making fluid treatment arrangements
A fluid treatment arrangement may include a fluid treatment unit having a multilayer structure. The multilayer structure may include at least one feed layer, at least one permeate layer, and at least one layer of a permeable fluid treatment medium between the feed layer and the permeate layer. The fluid treatment unit may further include a thermoset which holds the layers together and forms at least a portion of a first end surface of the fluid treatment unit. The fluid treatment arrangement may also include a thermoplastic sheet which overlies the first end surface of the fluid treatment unit. The thermoset directly bonds to the thermoplastic sheet.
US08980083B2 Water treatment apparatus
A water treatment apparatus comprises a chamber divided by a partition into a treatment section and an outlet section. The treatment section may, for example, contain a filter media including organic material which supports a shrub or tree. Runoff water enters the treatment section over an inlet chamber which forms a bridge over the outlet section. During heavy flow conditions, some of the incoming water overflows inlet bypass weirs to pass directly to the outflow section, bypassing the filter media in the treatment section.
US08980080B2 System and process for integrated oxidative desulfurization, desalting and deasphalting of hydrocarbon feedstocks
A system and process for integrated desulfurizing, desalting and deasphalting of hydrocarbon feedstocks is provided. A hydrocarbon feedstock, a water soluble oxidant, and a water soluble catalyst can be introduced in a oxidation zone and retained for a period of time sufficient to achieve the desired degree of desulfurization, or introduced directly into the desalting zone along with wash water. Catalyst and dissolved salt are discharged along with the wastewater effluent from the desalting zone. A hydrocarbon stream including converted hydrocarbons and oxidation by-products is passed to a deasphalting zone. In the deasphalting zone, phase separation occurs, whereby a light phase including desulfurized hydrocarbons are produced, and a heavy phase including asphaltenes and oxidation by-products are discharged, e.g., passed to an asphalt pool.
US08980077B2 Plating bath and method
Silver electroplating baths having certain sulfide compounds and methods of electrodepositing a silver-containing layer using these baths are disclosed. Such electroplating baths are useful to provide silver-containing solder deposits having reduced void formation and improved within-die uniformity.
US08980074B2 Biosensor, thin film electrode forming method, quantification apparatus, and quantification method
A biosensor is disclosed comprising a support; a conductive layer composed of an electrical conductive material such as a noble metal, for example gold or palladium, and carbon; slits parallel to and perpendicular to the side of the support; working, counter, and detecting electrodes; a spacer which covers the working, counter, and detecting electrodes on the support; a rectangular cutout in the spacer forming a specimen supply path; an inlet to the specimen supply path; a reagent layer formed by applying a reagent containing an enzyme to the working, counter, and detecting electrodes, which are exposed through the cutout in the spacer; and a cover over the spacer. The biosensor can be formed by a simple method, and provides a uniform reagent layer on the electrodes regardless of the reagent composition.
US08980073B2 Nanopore device for reversible ion and molecule sensing or migration
Disclosed are methods and devices for detection of ion migration and binding, utilizing a nanopipette adapted for use in an electrochemical sensing circuit. The nanopipette may be functionalized on its interior bore with metal chelators for binding and sensing metal ions or other specific binding molecules such as boronic acid for binding and sensing glucose. Such a functionalized nanopipette is comprised in an electrical sensor that detects when the nanopipette selectively and reversibly binds ions or small molecules. Also disclosed is a nanoreactor, comprising a nanopipette, for controlling precipitation in aqueous solutions by voltage-directed ion migration, wherein ions may be directed out of the interior bore by a repulsing charge in the bore.
US08980071B2 Apparatus and method for detecting a state of a deposition apparatus
Apparatuses for deposition of one or more layers. In one aspect, an apparatus for deposition of one or more layers includes an anode; a cathode; a vacuum chamber including the anode and the cathode; a sensor configured to detect an electric potential between a section of the at least one anode and a section of the chamber. Furthermore, methods to monitor a device for deposition of one or more layers are also described.
US08980069B2 High temperature electrolysis cell refractory system, electrolysis cells, and assembly methods
A high temperature electrolysis cell refractory system comprises at least one precast and predried monolithic refractory flooring module, precast and predried monolithic refractory wall modules, and at least one precast and predried monolithic refractory ceiling module, wherein the flooring module(s), wall modules and ceiling module(s) are configured for assembly to form a sealable electrolysis cell in which adjacent modules have interlocking surfaces. The refractory system is assembled within a steel containment shell to provide a high temperature electrolysis cell.
US08980068B2 Nickel pH adjustment method and apparatus
An electrolytic cell for adjusting pH and replenishing nickel in a nickel plating solution of a nickel plating bath and a method of using the same is disclosed. The electrolytic cell comprises an inlet for receiving nickel plating solution from the nickel plating bath; a cooled cathode connected to a first bus bar connected to a negative terminal of a power supply; a plurality of nickel anodes capable of creating hydrogen gas on the cooled cathode when current is applied, connected to at least a second bus bar, the at least the second bus bar connected to a positive terminal of the power supply; and an outlet for returning nickel plating solution in the electrolytic cell to the nickel plating bath.
US08980067B2 Method and apparatus for etching the surfaces of integrally bladed rotors
For electrolytically etching the surfaces of integrally bladed rotors (blisks) (6) made of nickel-base material for aircraft gas turbines, auxiliary cathodes (10), in addition to the main cathodes (3) provided in the electrolyte (1), are arranged in the area of the blades (9) remote from the electric contact points at the rotor disk (7). The auxiliary cathodes are adaptable in shape, arrangement and size to the blade and disk geometry, so that a uniform current flow from all parts of the rotor connected as anode via the electrolyte to the main and auxiliary cathodes is produced and an intense and uniform etching effect is achieved. This ensures a reliable structural assessment in all rotor areas and in particular also in the area of the integral blading.
US08980065B2 Method of making coated articles
A method of making a coated article includes providing a substrate; forming a nickel layer on the substrate by magnetron sputtering; forming a titanium layer on the nickel layer by magnetron sputtering; and applying a thermal oxidative treatment to the nickel and titanium layered substrate to form a catalyst layer and a self-cleaning layer. The self-cleaning layer includes metallic titanium, metallic nickel, nickel oxide and titanium dioxide.
US08980063B2 Air proportioning system for secondary air in coke ovens depending on the vault vs. sole temperature ratio
A device for proportioning of secondary combustion air into the secondary air soles of coke oven chamber ovens is shown. The device is formed by a slide gate or a parallelepiped device or by plates moved by means of a thrust bar, the thrust bar being moved longitudinally in parallel to the coke oven chamber wall so that the plates move away from the secondary air apertures and open or close these. The thrust bar is moved by means of a positioning motor, with the power transmission being effected hydraulically or pneumatically. Via suitable measuring parameters, it is thus possible to optimize secondary heating so that heating is provided evenly from all sides, thus achieving an improvement in coke quality.