Document Document Title
US08890312B2 Heat dissipation structure with aligned carbon nanotube arrays and methods for manufacturing and use
A heat dissipation structure with aligned carbon nanotube arrays formed on both sides. The carbon nanotube arrays in between a heat source and a cooler are used as thermal interface material extending and dissipating heat directly from a heat source surface to a cooler surface. In some embodiments, an adhesive material can be used to dispense around carbon nanotube arrays and assemble the heat dissipation structure in between a heat source and a cooler. In some other embodiments, carbon nanotube arrays are formed on at least one of a heat source surface and a cooler surface and connect them together by further growing. The carbon nanotube arrays can be exposed to the environment instead of being in between a heat source and a solid cooler, and can serve as fins to enlarge heat dissipation area and improve thermal convection.
US08890311B2 Power conversion device
A power conversion device is provided with a plurality of semiconductor modules. Each semiconductor module includes a heat dissipation member, an insulating substrate, a semiconductor element, an external connection terminal, and a resin portion. The insulating substrate is fixed to the heat dissipation member. The semiconductor element is mounted on the insulating substrate. The external connection terminal includes a first end, which is electrically connected to the semiconductor element, and an opposite second end. The resin portion is molded to the insulating substrate, the semiconductor element, the first end, and at least part of the heat dissipation member. The semiconductor modules each form a unit.
US08890310B2 Power module package having excellent heat sink emission capability and method for manufacturing the same
A power module package includes a power circuit element, a control circuit element, a lead frame, an aluminum oxide substrate having a heat sink and an insulation layer, and a sealing resin. The control circuit element is electrically connected with the power circuit element to control chips within the power circuit element. The lead frame has external connection terminal leads in its edge and has a first surface to which the power circuit element and the control circuit element are attached and a second surface which is used as a heat transmission path. The heat sink is a plate made of metal such as aluminum and the electrical insulation layer is formed at least on an upper surface of the heat sink and made of aluminum oxide. The electrical insulation layer may be formed over an entire surface of the heat sink. Here, the insulation layer is attached to the second surface by an adhesive, on a region below where the power circuit element is attached, to the first surface of the lead frame. In addition, the sealing resin encloses the power circuit element and the control circuit element, the lead frame, and the metal oxide substrate and exposes the external connection terminals of the lead frame.
US08890306B2 Light-emitting diode
A light-emitting diode includes a carrier with a mounting face and includes a metallic basic body and at least two light-emitting diode chips affixed to the carrier at least indirectly at the mounting face, wherein an outer face of the metallic basic body includes the mounting face, the at least two light-emitting diode chips connect in parallel with one another, the at least two light-emitting diode chips are embedded in a reflective coating, the reflective coating covering the mounting face and side faces of the light-emitting diode chips, and the light-emitting diode chips protrude with their radiation exit surfaces out of the reflective coating, and the radiation exit surfaces face away from the carrier.
US08890304B2 Fan-out microelectronic unit WLP having interconnects comprising a matrix of a high melting point, a low melting point and a polymer material
A microelectronic package includes a microelectronic unit and a substrate. The microelectronic unit includes a microelectronic element having contacts on a front face. A dielectric material has a first surface substantially flush with the front face of the microelectronic element. Conductive traces have at least portions extending along the front face away from the contacts, at least some of which also extend along the first surface of the dielectric material. Contacts are connected with the traces, at least some of which are disposed at the first surface of the dielectric material. The substrate has first and second opposed surfaces and an edge extending therebetween, the first surface facing the front face of the microelectronic unit, and the second surface having a plurality of terminals thereon configured for electrical connection with at least one external component. Masses of conductive matrix material join the terminals with the redistribution contacts.
US08890299B2 Bonded semiconductor structures and methods of forming same
Embodiments of the invention include methods and structures for fabricating a semiconductor structure and, particularly, for improving the planarity of a bonded semiconductor structure comprising a processed semiconductor structure and a semiconductor structure.
US08890296B2 Wafer level chip scale package
A semiconductor device, a method of manufacturing semiconductor devices and a circuit package assembly are described. A semiconductor device can have a semiconductor substrate with first and second surfaces and a sidewall between them. First and second conductive pads on the first and second surfaces are in electrical contact with corresponding first and second semiconductor device structures in the substrate. An insulator layer on the first surface and sidewall covers a portion of the first conductive pad on the first surface. An electrically conductive layer on part of the insulator layer on the first conductive pad and sidewall is in electrical contact with the second conductive pad. The insulator layer prevents the conductive layer from making electrical contact between the first and second conductive pads.
US08890285B2 Vertically integrated systems
Embodiments of the present invention provide an integrated circuit system including a first active layer fabricated on a front side of a semiconductor die and a second pre-fabricated layer on a back side of the semiconductor die and having electrical components embodied therein, wherein the electrical components include at least one discrete passive component. The integrated circuit system also includes at least one electrical path coupling the first active layer and the second pre-fabricated layer.
US08890282B2 Integrated circuit devices including through-silicon via (TSV) contact pads electronically insulated from a substrate
An integrated circuit device includes a substrate having a plurality of device patterns thereon. A device isolation layer is provided on the substrate, an interlayer dielectric layer is provided on the device isolation layer and the substrate, and a conductive via extends through the interlayer dielectric layer and the device isolation layer and into the substrate. A conductive via contact pad is provided on the interlayer dielectric layer in electrical contact with the conductive via. In plan view, the conductive via contact pad is confined within an area of the interlayer dielectric layer and/or an area of the device isolation layer that electrically insulates the conductive via contact pad from the substrate. Related methods and devices are also discussed.
US08890273B2 Methods and apparatus for an improved reflectivity optical grid for image sensors
An improved reflectivity optical grid for image sensors. In an embodiment, a backside illuminated CIS device includes a semiconductor substrate having a pixel array area comprising a plurality of photosensors formed on a front side surface of the semiconductor substrate, each of the photosensors forming a pixel in the pixel array area; an optical grid material disposed over a backside surface of the semiconductor substrate, the optical grid material patterned to form an optical grid that bounds each of the pixels in the pixel array area and extending above the semiconductor substrate, the optical grid having sidewalls and a top portion; and a highly reflective coating formed over the optical grid, comprising a pure metal coating of a metal that is at least 99% pure, and a high-k dielectric coating over the pure metal coating that has a refractive index of greater than about 2.0. Methods are also disclosed.
US08890269B2 Optical sensor package with through vias
A wafer-level camera sensor package includes a semiconductor substrate with an optical sensor on a front surface. Through-silicon-vias (TSV) extend through the substrate and provide I/O contact with the sensor from the back side of the substrate. A glass cover is positioned over the front surface, and the cover and substrate are embedded in a molding compound layer (MCL), the front surface of the MCL lying coplanar with the front of the cover, and the back surface lying coplanar with the back of the substrate. Surface-mount devices, electromagnetic shielding, and through-wafer-connectors can be embedded in the MCL. A redistribution layer on the back surface of the MCL includes bottom contact pads for mounting the package, and conductive traces interconnecting the contact pads, TSVs, surface-mount devices, shielding, and through-wafer-connectors. Anisotropic conductive adhesive is positioned on the front of the MCL for physically and electrically attaching a lens array.
US08890266B2 Fabrication process and layout for magnetic sensor arrays
A magnetic sensor includes a plurality of groups, each group comprising a plurality of magnetic tunnel junction (MTJ) devices having a plurality of conductors configured to couple the MTJ devices within one group in parallel and the groups in series enabling independent optimization of the material resistance area (RA) of the MTJ and setting total device resistance so that the total bridge resistance is not so high that Johnson noise becomes a signal limiting concern, and yet not so low that CMOS elements may diminish the read signal. Alternatively, the magnetic tunnel junction devices within each of at least two groups in series and the at least two groups in parallel resulting in the individual configuration of the electrical connection path and the magnetic reference direction of the reference layer, leading to independent optimization of both functions, and more freedom in device design and layout. The X and Y pitch of the sense elements are arranged such that the line segment that stabilizes, for example, the right side of one sense element; also stabilizes the left side of the adjacent sense element.
US08890264B2 Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
A high-k gate dielectric interface with a group III-V semiconductor surface of a non-planar transistor channel region is non-directionally doped with nitrogen. In nanowire embodiments, a non-directional nitrogen doping of a high-k gate dielectric interface is performed before or concurrently with a conformal gate electrode deposition through exposure of the gate dielectric to liquid, vapor, gaseous, plasma, or solid state sources of nitrogen. In embodiments, a gate electrode metal is conformally deposited over the gate dielectric and an anneal is performed to uniformly accumulate nitrogen within the gate dielectric along the non-planar III-V semiconductor interface.
US08890262B2 Semiconductor device having a metal gate recess
Provided is a semiconductor device (e.g., transistor such as a FinFET or planar device) having a a liner layer and a metal layer (e.g., Tungsten (W)) in a trench (e.g., via CVD and/or ALD). A single chamber (e.g., an extreme fill chamber) will be utilized to separately etch back the liner layer and the metal layer. In general, the liner layer may be etched back further than the metal layer to provide for larger contact and lower resistance. After etching is complete, a bottom-up fill/growth of metal (e.g., W) will be performed (e.g., via CVD in a W chamber or the like) to increase the presence of gate metal in the trench.
US08890256B2 Structure for heavy ion tolerant device, method of manufacturing the same and structure thereof
The invention relates to a design structure, and more particularly, to a design structure for a heavy ion tolerant device, method of manufacturing the same and a structure thereof. The structure includes a first device having a diffusion comprising a drain region and source region and a second device having a diffusion comprising a drain region and source region. The first and second device are aligned in an end-to-end layout along a width of the diffusion of the first device and the second device. A first isolation region separating the diffusion of the first device and the second device.
US08890254B2 Airgap structure and method of manufacturing thereof
A process for fabricating a gate structure, the gate structure having a plurality of gates defined by a network of spaces. The word line (WL) spaces within a dense WL region having airgaps and those spaces outside of the dense WL being substantially free of airgaps. A gate structure having a silicide layer dispose across the plurality of gates is also provided.
US08890250B2 Electrostatic discharge protection structure
An electrostatic discharge protection structure includes a semiconductor substrate, a first well region, a gate structure, a second well region, a second well region, a second conductive region, and a deep well region. The first well region contains first type conducting carriers. The second well region is disposed within the first well region, and contains second type conducting carriers. The first conductive region is disposed on the surface of the first well region, and contains the second type conducting carriers. The deep well region is disposed under the second well region and the first conductive region, and contacted with the second well region. The deep well region contains the second type conducting carriers.
US08890247B2 Extremely thin semiconductor-on-insulator with back gate contact
A structure is provided in which the back gate regions are physically separated from one another as opposed to using reversed biased pn junction diodes. In the present disclosure, the back gate regions can be formed first through a buried dielectric material of an extremely thin semiconductor-on-insulator (ETSOI) substrate. After dopant activation, standard device fabrication processes can be performed. A semiconductor base layer portion of the ETSOI substrate can then be removed from the original ETSOI to expose a surface of the back gates.
US08890243B2 Semiconductor device
In the interior of a semiconductor substrate having a main surface, a first p− epitaxial region is formed, a second p− epitaxial region is formed on the main surface side, and an n-type drift region and a p-type body region are formed on the main surface side. An n+ buried region is formed between the first p− epitaxial region and the second p− epitaxial region in order to electrically isolate the regions. A p+ buried region having a p-type impurity concentration higher than that of the second p− epitaxial region is formed between the n+ buried region and the second p− epitaxial region. The p+ buried region is located at least immediately under the junction between the n-type drift region and the p-type body region so as to avoid a site immediately under a drain region which is in contact with the n-type drift region.
US08890241B2 Semiconductor device
Disclosed is a semiconductor device comprising a semiconductor substrate including first, second and third surfaces, the second surface being placed above the first surface, the third surface having first and second edges connecting to the first and second surfaces, respectively; an isolation region including an insulator and formed on the first and third surfaces; an active region including the second surface and fenced with the insulator of the isolation region; and first and second semiconductor pillars each protruding upwardly from the second surface in the active region, wherein the first semiconductor pillar is thinner than the second semiconductor pillar.
US08890239B2 Semiconductor device and method for producing the same
In a vertical semiconductor device including a channel in an opening, a semiconductor device whose high-frequency characteristics can be improved and a method for producing the semiconductor device are provided. The semiconductor device includes n-type GaN-based drift layer 4/p-type GaN-based barrier layer 6/n-type GaN-based contact layer 7. An opening 28 extends from a top layer and reaches the n-type GaN-based drift layer. The semiconductor device includes a regrown layer 27 located so as to cover the opening, the regrown layer 27 including an electron drift layer 22 and an electron supply layer 26, a source electrode S, a drain electrode D, and a gate electrode G located on the regrown layer. Assuming that the source electrode serving as one electrode and the drain electrode serving as the other electrode constitute a capacitor, the semiconductor device includes a capacitance-decreasing structure that decreases the capacitance of the capacitor.
US08890238B2 Power semiconductor devices, structures, and related methods
Power semiconductor devices, and related methods, where majority carrier flow is divided into paralleled flows through two drift regions of opposite conductivity types.
US08890234B2 Nonvolatile semiconductor memory device
A nonvolatile semiconductor memory device of an embodiment includes: a semiconductor layer; a tunnel insulating film formed on the semiconductor layer; an organic molecular layer that is formed on the tunnel insulating film, and includes first organic molecules and second organic molecules having a smaller molecular weight than the first organic molecules, the first organic molecules each including a first alkyl chain or a first alkyl halide chain having one end bound to the tunnel insulating film, the first organic molecules each including a charge storage portion bound to the other end of the first alkyl chain or the first alkyl halide chain, the second organic molecules each including a second alkyl chain or a second alkyl halide chain having one end bound to the tunnel insulating film; a block insulating film formed on the organic molecular layer; and a control gate electrode formed on the block insulating film.
US08890227B1 Memory cell
Implementations disclosed herein may relate to a memory cell, such as a DRAM memory cell, for example.
US08890225B2 Structure and method for single gate non-volatile memory device having a capacitor well doping design with improved coupling efficiency
The NVM device includes a semiconductor substrate having a first region and a second region. The NVM device includes a data-storing structure formed in the first region and designed operable to retain charges. The NVM device includes a capacitor formed in the second region and coupled with the data-storing structure for data operations. The data-storing structure includes a first doped well of a first-type in the semiconductor substrate. The data-storing structure includes a first gate dielectric feature on the first doped well. The data-storing structure includes a first gate electrode disposed on the first gate dielectric feature and configured to be floating. The capacitor includes a second doped well of the first-type. The capacitor includes a second gate dielectric feature on the second doped well. The capacitor also includes a second gate electrode disposed on the second gate dielectric feature and connected to the first gate electrode.
US08890222B2 Meander line resistor structure
A meander line resistor structure comprises a first resistor formed on a first active region, wherein the first resistor is formed by a plurality of first vias connected in series, a second resistor formed on a second active region, wherein the second resistor is formed by a plurality of second vias connected in series and a third resistor formed on the second active region, wherein the third resistor is formed by a plurality of third vias connected in series. The meander line resistor further comprises a first connector coupled between the first resistor and the second resistor.
US08890221B2 Backside illuminated image sensor pixels with dark field microlenses
A backside illuminated image sensor with an array of image sensor pixels is provided. Each image pixel may include a photodiode and associated pixel circuits formed in a front surface of a semiconductor substrate. Silicon inner microlenses may be formed on a back surface of the semiconductor substrate. In particular, positive inner microlenses may be formed over the photodiodes, whereas negative inner microlenses may be formed over the associated pixel circuits. Buried light shielding structures may be formed over the negative inner microlenses to prevent pixel circuitry that is formed in the substrate between two neighboring photodiodes from being exposed to incoming light. The buried light shielding structures may be lined with absorptive antireflective coating material to prevent light from being reflected off the surface of the buried light shielding structures. Forming buried light shielding structures with antireflective coating material can reduce optical pixel crosstalk and enhance global shutter efficiency.
US08890219B2 UTBB CMOS imager having a diode junction in a photosensitive area thereof
An image sensor device is provided, including at least one transistor lying on a semiconductor-on-insulator substrate that includes a semi-conducting layer, in which a channel area of the transistor is disposed in a portion thereof, and an insulating layer separating the semi-conducting layer from a semi-conducting support layer, wherein the semi-conducting layer and the insulating layer extend beyond the channel area, and extend under at least a portion of source/drain regions of the transistor, wherein the semi-conducting support layer includes at least one photosensitive area including at least one P-doped region and at least one N-doped region forming a junction, the photosensitive area being disposed facing the transistor on a side of the channel area thereof and opposite a side of a gate electrode thereof, and wherein the insulating layer is configured to provide a capacitive coupling between the photosensitive area and the semi-conducting layer.
US08890218B2 Semiconductor device
A semiconductor device is disclosed. The semiconductor device includes: a substrate; a gate structure disposed on the substrate; a first spacer disposed on a sidewall of the gate structure; a second spacer disposed around the first spacer, wherein the second spacer comprises a L-shaped cap layer and a cap layer on the L-shaped cap layer; a source/drain disposed in the substrate adjacent to two sides of the second spacer; and a CESL disposed on the substrate to cover the gate structure, wherein at least part of the second spacer and the CESL comprise same chemical composition and/or physical property.
US08890217B2 Electronic device
An electronic device including an insulating substrate, a chip and a patterned conductive layer is provided. The insulating substrate has an upper surface and a lower surface opposite to each other. The chip is disposed above the upper surface of the insulating substrate. The patterned conductive layer is disposed between the upper surface of the insulating substrate and the chip. The chip is electrically connected to an external circuit via the patterned conductive layer. Heat generated by the chip is transferred to external surroundings via the patterned conductive layer and the insulating substrate.
US08890216B2 Methods and apparatus for measuring analytes using large scale FET arrays
Methods and apparatus relating to very large scale FET arrays for analyte measurements. ChemFET (e.g., ISFET) arrays may be fabricated using conventional CMOS processing techniques based on improved FET pixel and array designs that increase measurement sensitivity and accuracy, and at the same time facilitate significantly small pixel sizes and dense arrays. Improved array control techniques provide for rapid data acquisition from large and dense arrays. Such arrays may be employed to detect a presence and/or concentration changes of various analyte types in a wide variety of chemical and/or biological processes. In one example, chemFET arrays facilitate DNA sequencing techniques based on monitoring changes in hydrogen ion concentration (pH), changes in other analyte concentration, and/or binding events associated with chemical processes relating to DNA synthesis.
US08890208B2 Group III nitride epitaxial substrate for semiconductor device, semiconductor device, and process for producing group III nitride epitaxial substrate for semiconductor device
Provided is an epitaxial substrate capable of manufacturing a HEMT device that has excellent two-dimensional electron gas characteristics and is capable of performing normally-off operation. A channel layer is formed of a first group III nitride represented by Inx1Aly1Gaz1N (x1+y1+z1=1) so as to have a composition in a range determined by x1=0 and 0≦y1≦0.3. A barrier layer is formed of a second group III nitride represented by Inx2Aly2Gaz2N (x2+y2+z2=1) so as to have a composition, in a ternary phase diagram with InN, AlN and GaN being vertices, in a range surrounded by four straight lines determined in accordance with the composition (AlN molar fraction) of the first group III nitride and to have a thickness of 5 nm or less.
US08890207B2 FinFET design controlling channel thickness
System and method for controlling the channel thickness and preventing variations due to formation of small features. An embodiment comprises a fin raised above the substrate and a capping layer is formed over the fin. The channel carriers are repelled from the heavily doped fin and confined within the capping layer. This forms a thin-channel that allows greater electrostatic control of the gate.
US08890204B2 Light emitting device
To provide a light emitting device in which generation of cross talk between adjacent light emitting elements is suppressed, even when the light emitting device uses a light emitting element having high current efficiency. Also, to provide a light emitting device having high display quality even when the light emitting device uses a light emitting element having high current efficiency. The light emitting device has a pixel portion including a plurality of light emitting elements, wherein each of the plurality of light emitting elements includes a plurality of light emitting bodies provided between a first electrode and a second electrode and a conductive layer formed between the plurality of light emitting bodies, wherein the conductive layer is provided for each light emitting element, and wherein an edge portion of the conductive layer is covered with the plurality of light emitting bodies.
US08890203B2 LED module
A lead 1 includes a die-bonding portion 11 with an opening 11a penetrating in a thickness direction. Another lead 2 is spaced from the lead 1. An LED unit 3 includes an LED chip 30 with a electrode terminal 31 connected to the lead 1 and another electrode terminal 32 connected to the lead 2. The LED unit 3, mounted on a surface of the die-bonding portion 11 on a first side in z direction, overlaps the opening 11a. A wire 52 connects the lead 2 and the electrode terminal 32. A support member 4 supporting the leads 1-2 is held in contact with another surface of the die-bonding portion 11 on a second side in z direction. These arrangements ensure efficient heat dissipation from the LED chip 30 and efficient use of light emitted from the LED chip 3.
US08890200B2 Light emitting device and lighting apparatus having the same
A light emitting device includes a substrate including a plurality of convex portions, and a first semiconductor layer over the substrate. A plurality of first pits is provided in a top surface of the first semiconductor layer, and a plurality of second pits is provided in the top surface of the first semiconductor layer. A first metallic compound is provided in the first pits, and a second metallic compound is provided in the second pits. A second semiconductor layer is provided over the first semiconductor layer, and a light emitting structure is provided over the second semiconductor layer. The light emitting structure includes a first conductive semiconductor layer, an active layer, and a second conductive semiconductor layer.
US08890198B2 Conversion structure, image sensor assembly and method for fabricating conversion structure
An electromagnetic wave conversion structure consists of a substrate, a plurality of electromagnetic wave conversion units forming a two-dimensional array, a reflective film and a plurality of reflective layers. The substrate has a first surface and a second surface disposed opposite to the first surface. The second surface consists of a plurality of trenches formed in the body of the substrate. Each electromagnetic wave conversion units is disposed in each trench, is used to absorb first electromagnetic waves with a first wavelength and is used to emit second electromagnetic waves with a second wavelength. The first wavelength is shorter than the second wavelength. The reflective film covers the first surface of the substrate and is used to reflect the second electromagnetic wave. Each of the reflective layers is disposed on the sidewall of each trench of the corresponding electromagnetic wave conversion unit.
US08890196B2 Lightweight self-cooling light sources
A solid-state light source has light emitting diodes embedded in a thermally conductive translucent luminescent element. The thermally conductive translucent luminescent element has optically translucent thermal filler and at least one luminescent element in a matrix material. A leadframe is electrically connected to the light emitting diodes. The leadframe distributes heat from the light emitting diodes to the thermally conductive translucent luminescent element. The thermally conductive translucent luminescent element distributes heat from light emitting diodes and the thermally conductive translucent luminescent element.
US08890190B2 Light-emitting diode element in which an optical semiconductor element is encapsulated by an encapsulating resin layer containing a light reflection component
A light-emitting diode element includes an optical semiconductor layer, an electrode unit to be connected to the optical semiconductor layer, and an encapsulating resin layer that encapsulates the optical semiconductor layer and the electrode unit, the encapsulating resin layer containing a light reflection component.
US08890189B2 Wafer for LED mounting, method for manufacturing same, and LED-mounted structure using the wafer
Provided is a wafer for LED mounting having a small difference in thermal expansion coefficient from an LED and having excellent heat conductivity, a method for manufacturing the wafer for LED mounting, and an LED-mounted structure manufactured by using the wafer for LED mounting. The wafer for LED mounting (6) is constituted of a metal infiltrated ceramic composite (61) and a protective layer (62) that is formed therearound. The metal infiltrated ceramic composite (61) preferably has a thin metal layer (63) on a surface thereof. The method for manufacturing the wafer is characterized by comprising filling at least one selected from the group consisted of porous ceramic bodies, ceramic powder compacts and ceramic powders into a tubular body made of metal or ceramic, then impregnating a metal into the void of at least one selected from the group consisted of porous ceramic bodies, ceramic powder compacts and ceramic powders, and thereafter performing a process.
US08890186B2 Molded resin product, semiconductor light-emitting source, lighting device, and method for manufacturing molded resin product
A molded resin product or the like that is provided with a phosphor layer made of gel-like or rubber-like resin that can maintain its shape for a long period and that can be implemented easily. The molded resin product (phosphor layer 7) includes a resin member 17 made of a gel-like or rubber-like translucent resin including a phosphor material. The resin member 17 includes a shape maintaining member 19 that is formed in a lattice shape by line-like members 20 that are made of a material having a higher elasticity modulus than the resin member 17. The molded resin product (phosphor layer 7) is in the shape of a dome. The translucent resin is made of, for example, silicon resin, and the resin member 17 is gel-like.
US08890181B2 Display panel and display device
A display panel includes an array substrate, an opposite substrate, and at least one closed sealing element. The array substrate has a first through hole, and the array substrate includes a plurality of pixel units, a plurality of scan lines, and a plurality of data lines. The scan lines are electrically connected to the pixel units. The data lines intersect with the scan lines, and the data lines are electrically connected to the corresponding pixel units. The opposite substrate is disposed opposite to the array substrate, and the opposite substrate has a second through hole. The closed sealing element is disposed between the array substrate and the opposite substrate, and the closed sealing element has a third through hole. The first through hole, the second through hole, and the third through hole form an opening.
US08890178B2 Light-emitting element
A light-emitting element includes a monolithic understructure including a first surface and a second surface different from the first surface; a plurality of light-emitting structure units disposed on the second surface; and a trench formed on a portion of the first surface and between the plurality of light-emitting structure units, wherein a height of the portion of the first surface is greater than a height of the second surface measured from a bottom of the monolithic understructure, and the portion of the first surface is exposed by the trench.
US08890170B2 Silicon carbide substrate, semiconductor device and method for manufacturing silicon carbide substrate
There is provided a silicon carbide substrate composed of silicon carbide, including encapsulated regions inside, which form incoherent boundaries between the silicon carbide and the encapsulated regions, wherein propagation of stacking faults in the silicon carbide is blocked.
US08890167B2 Array substrate for display device including signal lines with reduced resistance
An array substrate for a display device and manufacturing method thereof is disclosed. The device comprises: a substrate; a gate line formed on the substrate along a first direction; a data line formed over the substrate along a second direction, wherein the data line and the gate line cross each other to define a pixel region; a thin film transistor formed in the pixel region, and having a gate electrode connected to the gate line, a source electrode connected to the data line, and a drain electrode; a pixel electrode formed in the pixel region and connected to the drain electrode; a first auxiliary gate pattern formed over the gate line and contacting the gate line; and a first auxiliary data pattern formed over the data line and contacting the data line.
US08890165B2 Method of forming polycrystalline silicon layer, thin film transistor, organic light emitting diode display device having the same, and methods of fabricating the same
A method of forming a polycrystalline silicon layer, a thin film transistor (TFT), an organic light emitting diode (OLED) display device having the same, and methods of fabricating the same. The method of forming a polycrystalline silicon layer includes providing a substrate, forming a buffer layer on the substrate, forming an amorphous silicon layer on the buffer layer, forming a groove in the amorphous silicon layer, forming a capping layer on the amorphous silicon layer, forming a metal catalyst layer on the capping layer, and annealing the substrate and crystallizing the amorphous silicon layer into a polycrystalline silicon layer.
US08890159B2 Oxide semiconductor stacked film and semiconductor device
An oxide semiconductor stacked film which does not easily cause a variation in electrical characteristics of a transistor and has high stability is provided. Further, a transistor which includes the oxide semiconductor stacked film in its channel formation region and has stable electrical characteristics is provided. An oxide semiconductor stacked film includes a first oxide semiconductor layer, a second oxide semiconductor layer, and a third oxide semiconductor layer which are sequentially stacked and each of which contains indium, gallium, and zinc. The content percentage of indium in the second oxide semiconductor layer is higher than that in the first oxide semiconductor layer and the third oxide semiconductor layer, and the absorption coefficient of the oxide semiconductor stacked film, which is measured by the CPM, is lower than or equal to 3×10−3/cm in an energy range of 1.5 eV to 2.3 eV.
US08890158B2 Semiconductor device
An object is to provide a highly reliable transistor and a semiconductor device including the transistor. A semiconductor device including a gate electrode; a gate insulating film over the gate electrode; an oxide semiconductor film over the gate insulating film; and a source electrode and a drain electrode over the oxide semiconductor film, in which activation energy of the oxide semiconductor film obtained from temperature dependence of a current (on-state current) flowing between the source electrode and the drain electrode when a voltage greater than or equal to a threshold voltage is applied to the gate electrode is greater than or equal to 0 meV and less than or equal to 25 meV, is provided.
US08890150B2 Semiconductor device
A highly reliable semiconductor device is manufactured by giving stable electric characteristics to a transistor in which an oxide semiconductor film is used. A p-type oxide semiconductor material is contained in an n-type oxide semiconductor film, whereby carriers which are generated in the oxide semiconductor film without intention can be reduced. This is because electrons generated in the n-type oxide semiconductor film without intention are recombined with holes generated in the p-type oxide semiconductor material to disappear. Accordingly, it is possible to reduce carriers which are generated in the oxide semiconductor film without intention.
US08890146B2 Semiconductor device and electronic device
An object is to improve the drive capability of a semiconductor device. The semiconductor device includes a first transistor and a second transistor. A first terminal of the first transistor is electrically connected to a first wiring. A second terminal of the first transistor is electrically connected to a second wiring. A gate of the second transistor is electrically connected to a third wiring. A first terminal of the second transistor is electrically connected to the third wiring. A second terminal of the second transistor is electrically connected to a gate of the first transistor. A channel region is formed using an oxide semiconductor layer in each of the first transistor and the second transistor. The off-state current of each of the first transistor and the second transistor per channel width of 1 μm is 1 aA or less.
US08890144B2 High voltage semiconductor device
A high voltage semiconductor device includes a substrate, an insulating layer positioned on the substrate, and a silicon layer positioned on the insulating layer. The silicon layer further includes at least a first doped strip, two terminal doped regions formed respectively at two opposite ends of the silicon layer and electrically connected to the first doped strip, and a plurality of second doped strips. The first doped strip and the terminal doped regions include a first conductivity type, the second doped strips include a second conductivity type, and the first conductivity type and the second conductivity type are complementary. The first doped strip and the second doped strips are alternately arranged.
US08890138B2 Optical touch panel and method of fabricating the same
An optical touch panel may be used remotely to control a large-sized display device. According to a method of fabricating the optical touch panel, an optical sensor transistor for sensing light and a switch transistor for drawing data can be formed together on the same substrate by using a relatively simple process. The optical touch panel may include an optical sensor transistor and a switch transistor. The optical sensor transistor may be configured to sense light and the switch transistor may be configured to draw data from the optical sensor transistor. The optical sensor transistor may include a light sensitive oxide semiconductor material as a channel layer. The switch transistor may include a non-light sensitive oxide semiconductor material as a channel layer.
US08890135B2 Organic light emitting display device with insulating layer formed as multi-layered structure
Provided is an organic light emitting display device, including a substrate, an insulating layer on the substrate, and a display element layer on the insulating layer, in which the insulating layer includes one or more low refractive layers and one or more high refractive layers.
US08890131B2 Deuterated compounds for electronic applications
This invention relates to deuterated aryl-anthracene compounds that are useful in electronic applications. It also relates to electronic devices in which the active layer includes such a deuterated compound.
US08890129B2 Light emitting device, light emitting apparatus provided with a light emitting device, and method of manufacturing a light emitting device
The present disclosure aims to provide a light-emitter having a favorable luminescence property, a light-emitting device having the light-emitter, and a method of manufacturing the light-emitter. Specifically, the light-emitter has the following structure. A hole injection layer and a light-emitting layer are layered between a first electrode and a second electrode which are transparent, and a light-emitting layer exists in an area defined by a bank. Thus, organic EL elements are formed. The hole injection layer has a recess in an upper surface of the area defined by the bank. An upper peripheral edge of the recess in the hole-injection layer is covered with a portion of the bank.
US08890126B2 Compound for optoelectronic device, organic light emitting diode including the same, and display including the organic light emitting diode
A compound for an optoelectronic device, an organic light emitting diode, and a display device, the compound for an optoelectronic device being represented by the following Chemical Formula 1:
US08890125B2 Display apparatus and organic light emitting display apparatus
A display apparatus includes a plurality of first wirings extending in a first direction and a plurality of second wirings extending in a second direction crossing the first direction. Differing first identification patterns are present on the plurality of corresponding first wirings to identify the plurality of first wirings, and differing second identification patterns are present on the plurality of corresponding second wirings to identify the plurality of second wirings.
US08890124B2 Organic EL device and electronic apparatus
An organic EL device includes a reflecting layer which has at least light reflectivity, a first electrode which is arranged on the reflecting layer through a first insulating layer, an organic functional layer which is arranged on the first electrode and includes at least a light emitting layer, a second electrode which is arranged on the organic functional layer and has at least light reflectivity, and a holding capacitance. In the organic EL device, an optical resonator which resonates light from the organic functional layer is formed by the reflecting layer and the second electrode, and the holding capacitance is formed using the reflecting layer, the first insulating layer, and the first electrode.
US08890118B2 Tunnel field effect transistor
The present disclosure relates to the field of microelectronic transistor fabrication and, more particularly, to the fabrication of a tunnel field effect transistor having an improved on-current level without a corresponding increasing the off-current level, achieved by the addition of a transition layer between a source and an intrinsic channel of the tunnel field effect transistor.
US08890116B2 Vertical stacking of carbon nanotube arrays for current enhancement and control
Transistor devices having vertically stacked carbon nanotube channels and techniques for the fabrication thereof are provided. In one aspect, a transistor device is provided. The transistor device includes a substrate; a bottom gate embedded in the substrate with a top surface of the bottom gate being substantially coplanar with a surface of the substrate; a stack of device layers on the substrate over the bottom gate, wherein each of the device layers in the stack includes a first dielectric, a carbon nanotube channel on the first dielectric, a second dielectric on the carbon nanotube channel and a top gate on the second dielectric; and source and drain contacts that interconnect the carbon nanotube channels in parallel. A method of fabricating a transistor device is also provided.
US08890114B2 Light-emitting device
A light-emitting device comprises a first semiconductor layer; a second semiconductor layer; an active layer formed between the first semiconductor layer and the second semiconductor layer; a first electron blocking layer formed between the first semiconductor layer and the active layer; and a second electron blocking layer formed between the second semiconductor layer and the active layer, wherein the thickness of the second electron blocking layer is not equal to that of the first electron blocking layer, and/or the band gap energy of the second electron blocking layer is not equal to that of the first electron blocking layer.
US08890113B2 Optoelectronic device with a wide bandgap and method of making same
A light-emitting device epitaxially-grown on a GaAs substrate which contains an active region composed of AlxGa1-xAs alloy or of related superlattices of this materials system is disclosed. This active region either includes tensile-strained GaP-rich insertions aimed to increase the forbidden gap of the active region targeting the bright red, orange, yellow, or green spectral ranges, or is confined by regions with GaP-rich insertions aimed to increase the barrier height for electrons in the conduction band preventing the leakage of the nonequilibrium carriers outside of the light-generation region.
US08890112B2 Controlling ferroelectricity in dielectric films by process induced uniaxial strain
A method of controlling ferroelectric characteristics of integrated circuit device components includes forming a ferroelectrically controllable dielectric layer over a substrate; and forming a stress exerting structure proximate the ferroelectrically controllable dielectric layer such that a substantially uniaxial strain is induced in the ferroelectrically controllable dielectric layer by the stress exerting structure; wherein the ferroelectrically controllable dielectric layer comprises one or more of: a ferroelectric oxide layer and a normally non-ferroelectric material layer that does not exhibit ferroelectric properties in the absence of an applied stress.
US08890111B2 Method for manufacturing a very-high-resolution screen using a nanowire-based emitting anisotropic conductive film
A method for producing an emissive pixel screen includes forming an active pixel matrix along which an electrode forming layer runs and having pixels arranged according to a distribution, forming an anisotropic substrate that includes a set of light emitting diodes constituted by parallel nanowires and arranged in an insulating matrix transversely with respect to a substrate thickness and having a density higher than a density of the pixels irrespective of the pixel distribution, connecting the substrate to the active pixel matrix by connecting only sub-groups of the parallel nanowires by a first end to separate pixel electrodes defined in the electrode forming layer according to the distribution of the pixels in the matrix, and connecting the sub-groups, by another end, to a common electrode, and delimiting the sub-groups by rendering the nanowires of the substrate that are arranged between the sub-groups emissively inactive.
US08890109B2 Resistive random access memory access cells having thermally isolating structures
Provided are resistive random access memory (ReRAM) cells including resistive switching layers and thermally isolating structures for limiting heat dissipation from the switching layers during operation. Thermally isolating structures may be positioned within a stack or adjacent to the stack. For example, a stack may include one or two thermally isolating structures. A thermally isolating structure may directly interface with a switching layer or may be separated by, for example, an electrode. Thermally isolating structures may be formed from materials having a thermal conductivity of less than 1 W/m*K, such as porous silica and mesoporous titanium oxide. A thermally isolating structure positioned in series with a switching layer generally has a resistance less than the low resistance state of the switching layer. A thermally isolating structure positioned adjacent to a switching layer may have a resistance greater than the high resistance state of the switching layer.
US08890108B2 Memory device having vertical selection transistors with shared channel structure and method for making the same
The present invention relates to resistive memory devices incorporating therein vertical selection transistors and methods for making the same. A memory device comprises a semiconductor substrate having a first type conductivity and a plurality of parallel trenches therein; a plurality of parallel common source lines having a second type conductivity opposite to the first type conductivity formed in the trench bottoms; a plurality of parallel gate electrodes formed on the trench sidewalls with a gate dielectric layer interposed therebetween, the gate electrodes being lower in height than the trench sidewalls; and a plurality of drain regions having the second type conductivity formed in top regions of the trench sidewalls, at least two of the drain regions being formed in each of the trench sidewalls and sharing a respective common channel formed in the each of the trench sidewalls and a respective one of the source lines.
US08890105B2 Nonvolatile memory
A nonvolatile memory according to an embodiment includes a first wiring line; a second wiring line arranged above the first wiring line and extending in a direction crossing the first wiring line; and a resistance change layer arranged in an intersection region of the first wiring line the second wiring line, the second wiring line including a first member extending in the direction in which the second wiring line extends, and an electrode layer containing a metal element arranged on a side surface of the first member along the direction in which the second wiring line extends, a lower surface of the electrode layer being in contact with an upper surface of the resistance change layer.
US08890103B2 Semiconductor substrate suitable for the realisation of electronic and/or optoelectronic devices and relative manufacturing process
A semiconductive substrate that is suitable for realising electronic and/or optoelectronic devices that include at least one substrate, in particular of single crystal silicon, and an overlying layer of single crystal silicon. Advantageously, the semiconductive substrate comprises at least one functional coupling layer suitable for reducing the defects linked to the differences in the materials used. The functional coupling layer can comprise a corrugated portion made in the layer of single crystal silicon and suitable for reducing the defects linked to the differences in lattice constant of such materials used. Alternatively, the functional coupling layer can comprise a porous layer arranged between the substrate of single crystal silicon and the layer of single crystal silicon, and suitable for reducing the stress caused by the differences between the thermal expansion coefficients of the materials used. A manufacturing process of such a semiconductive substrate is also described.
US08890100B2 Internally mounted collimators for stereotactic radiosurgery and stereotactic radiotherapy
A beam filter positioning device includes a first and a second axes operable to move a body supporting one or more collimators, one or more photon flattening filters, one or more electron foils, and field light mirror etc. The collimators may be configured to collimate radiation to define a treatment beam suitable for radiosurgery. A controller is programmed to control the servo motor of the first and second axes to accurately position the beam filters. Radiation apparatuses and systems incorporating the beam filter positioning device or assembly are also provided.
US08890096B2 Measuring/inspecting apparatus and measuring/inspecting method enabling blanking control of electron beam
Technique capable of achieving shortening of settling time, which is caused by ringing, etc. of a blanking control signal is provided. A measuring/inspecting apparatus is configured to have a main blanking unit and a correction blanking control unit as a high-speed switching control unit of an electron beam. During the period of switching of a main blanking control signal from ON to OFF, a correction blanking control signal is applied in real time in synchronization with the switching. The ringing caused by the main blanking are corrected so as to be cancelled out by that, the settling time is shortened as a result.
US08890093B2 Charged particle beam apparatus and method for forming observation image
A focused ion beam apparatus includes a lens interferometer configured to detect a relative position of an ion beam column and a sample. An image forming section includes an irradiation position specifying section configured to specify an irradiation position of an ion beam based on the detected relative position of the ion beam column and the sample, and a luminance setting section configured to set luminance of a pixel of an observation image based on the specified irradiation position of the ion beam and a detected amount of secondary particles.
US08890089B2 Faecal markers
Described are methods for analyzing an animal carcass, meat obtained therefrom, or product produced by or obtained from an animal for the presence or absence of faecal matter. The methods comprise analyzing an animal carcass, meat obtained therefrom, or product produced by or obtained from the animal for the presence or absence of a detectable marker, the presence of the detectable marker being indicative of the presence of faecal matter and the absence of the detectable marker being indicative of the absence of faecal matter, wherein the animal carcass, meat obtained therefrom, or product produced by or obtained from the animal has been obtained from an animal fed a composition comprising a supplement of the detectable marker and/or a precursor thereof. Also described are compositions for feeding to an animal and for use in the methods.
US08890087B2 Water purification apparatus comprising an UV source
Water purification apparatus, comprising an elongate UV source and a conduit for water to be purified formed of a UV transmissive material, wherein the conduit has an inlet and an outlet and positioned so that part of it is wrapped around at least part of the UV source thereby to sterilize water within the conduit, further comprising reflective means for UV radiation to be reflected onto one or more parts of the conduit which extends beyond the part which is wrapped around the UV source.
US08890084B1 Method for analyzing circuit pattern
A method for analyzing circuit pattern is disclosed. The method includes the steps of: providing a plurality of monitor metal line structures formed on discrete locations of a substrate corresponding to different values of variable factors; performing a defect review to identify failure locations of the monitor metal line structures; determining a failure tendency of the monitor metal line structures so as to determine a boundary of the variable factors; and determining whether adjustment is to be made to product metal line structures.
US08890082B2 Radiation detection
A method and apparatus for correction of detected radiation data from a semiconductor device are described. The method comprising the steps of measuring a pulse energy reading from radiation incident at the semiconductor device; filtering the signal and determining the time that the filtered signal exceeds a predetermined threshold energy; if the determined time is within predetermined parameter(s) comprising at least a predetermined maximum, storing the pulse energy reading in a first, pulse energy data register; if the determined time is above a predetermined maximum, discarding the pulse energy reading and incrementing a count in a second, discard data register; repeating the above steps to acquire a dataset of pulse energy readings of a desired size in the first data register; and on completion of such acquisition; using the discard data register to supplement the dataset of pulse energy readings by numerically correcting discarded counts and adding back into the dataset of pulse energy readings.
US08890079B2 Radiation detection device and radiation tomographic apparatus, and method for assembling radiation detection device
A radiation detection device is provided. The radiation detection device includes a pair of rails spaced in a slice direction and extending parallel to a channel direction, and a plurality of collimator modules arranged along the channel direction on a radiation exit side of the pair of rails, wherein at least one of the pair of rails extending along the channel direction includes a plate member with a plurality of notches formed along the radiation exit side, and wherein the collimator modules each include a first pin protruding on a radiation incidence side and attached to the pair of rails with the first pin fitted in one of the notches of the plate member.
US08890078B2 Radiation detector
Provided is a radiation detector 1 capable of improving reliability associated with radiation detection. The radiation detector 1 includes: a supporting substrate 2 that can transmit radiation there-through; a scintillator layer 3 formed on one principal surface 2a of the supporting substrate 2, the scintillator layer 3 including an incident surface 3a on which radiation is incident and an emission surface 3b emitting light generated by the incident radiation and on a side opposite to the incident surface 3a; and a light detection portion 5 disposed on an emission surface side of the scintillator layer 3 for detecting light emitted from the emission surface 3b.
US08890077B2 Remote detection of radiation
Various embodiments of the present invention provide a method of detecting inaccessible radiation sources by measuring corresponding ions and excited molecules created by radiation, using LIDAR technology. The LIDAR system of the present invention employs a pulsed laser transmitter, a telescope receiver, and associated control and acquisition systems. Light propagates out from the laser transmitted and is directed into the volume surrounding the radioactive source, or the “ion cloud.” The ion cloud absorbs the transmitted light, which induces the non-fluorescing ions to fluoresce. Light from the ion cloud is then backscattered and the telescope receiver subsequently collects the photons from the backscattered light. The intensity of the fluorescence (determined by the photon count) is measured, which provides an indication of the number density of the ionized atoms. Algorithms can then be used to relate the measured ionization rates to the source activity.
US08890066B1 Sharp scattering angle trap for electron beam apparatus
One embodiment relates to an electron beam apparatus. The apparatus includes a source for generating an incident electron beam, an electron lens for focusing the incident electron beam so that the beam impinges upon a substrate surface and interacts with surface material so as to cause secondary emission of scattered electrons, and a detector configured to detect the scattered electrons. The apparatus further includes an advantageous device configured to trap the scattered electrons which are emitted at sharp angles relative to the sample surface plane of the substrate surface. Other embodiments are also disclosed.
US08890056B2 Light guide module having dual reflecting layer wherein light beam being partly absorbed by the first reflecting layer and optical detection device thereof
A light guide module is disclosed in the present invention. The light guide module includes a light guide plate, and an optical reflecting structure disposed on a bottom of the light guide plate. A beam is transmitted into the light guide plate through its side surface. Total internal reflection characters of the light guide plate is interfered by the optical reflecting structure, so that the beam can emit out of the light guide plate through a light emitting surface of the light guide plate. The optical reflecting structure includes a first reflecting layer disposed on the bottom, and a second reflecting layer formed above the first reflecting layer. The beam is absorbed by the first reflecting layer. The beam is reflected out of the light emitting surface via the second reflecting layer, and the second reflecting layer is between the first reflecting layer and the light guide plate.
US08890055B2 Solid-state imaging device, method of producing the same, and camera
A method of producing a solid-state imaging device includes the steps of forming on a substrate a photoelectric conversion portion that receives light on a light-receiving surface and that photoelectrically converts the received light to generate a signal charge, forming above the light-receiving surface an optical waveguide that guides light to the light-receiving surface, and forming above the optical waveguide a color filter which colors light and from which colored light is emitted to the optical waveguide, wherein, in forming the color filter, the color filter is formed from a photosensitive resin film containing a dye by performing an exposure process and then performing a development process on the film, and in forming the optical waveguide, a core portion of the optical waveguide is formed so that the core portion absorbs exposure light radiated onto the photosensitive resin film when the exposure process is performed.
US08890052B2 Shift register with two-phase non-overlapping clocks
According to one embodiment, a method includes generating a first clock signal and a second clock signal with non-overlapping clock phases. The method may further include latching, by a plurality of master latches of a shift register, a plurality of values at a plurality of inputs of the master latches in response to a particular type of logical transition of the first clock signal. The method also includes latching, by a plurality of slave latches of the shift register, a plurality of output values of the plurality of master latches at a plurality of inputs of the slave latches in response to a particular type of logical transition of the second clock signal.
US08890051B2 Integrating circuit and light-detection device
A photodetecting device 1 includes a photodiode PD and an integrating circuit 10. The integrating circuit 10 includes an amplifier circuit 20, a capacitive element C, a first switch SW1, and a second switch SW2. The second switch SW2 is provided between a reference potential input terminal to which a reference potential Vref is input and a terminal of the capacitive element C on the inverting input terminal side of the amplifier circuit 20, and the second switch is opened or closed according to the level of a second reset signal Reset2, and is capable of applying the reference potential Vref to the terminal of the capacitive element. Thus, an integrating circuit and a photodetecting device capable of achieving both low power consumption and high speed can be realized.
US08890050B2 Photosensor circuits including a regulated power supply comprising a power circuit configured to provide a regulated power signal to a comparator of a pulse-width modulator
Photosensor circuits include a relay coil configured to control application of an alternating current (AC) power source to a load. The circuit includes a pulse width modulator circuit configured to generate a pulse width modulated signal having a pulse width that varies responsive to an average voltage across the relay coil. A drive transistor coupled to the relay coil controls the average voltage across the relay coil responsive to the pulse width modulated signal. A photo control circuit is configured to control application of the pulse width modulated signal to the drive transistor responsive to a detected light level. A power circuit coupled to the power source is configured to provide a regulated power signal to a comparator of the pulse width modulator circuit.
US08890044B2 Solar cell system
An automated method to monitor performance of a terrestrial solar cell array tracking the sun. The solar cell system includes drive means that adjust a position of the array along different respective axes with respect to the sun using the drive means. The techniques include predicting the position of the sun during a time period, and sampling an output parameter of the array indicative of performance. The sampled data may be used to identify a fault in the solar cell array, for example a misalignment or a failure of one or more solar cells, in which case a notification of that fault may be generated for the operator or a control signal may be output for correcting the fault. Alternatively, an output signal may be sent to an external system associated with the solar cell system. Various alignment testing routines for checking the solar tracking are described. These routines may involve moving a solar cell array to a reference position at the start of, or during, an alignment routine in order to improve accuracy of position measurement during the routine.
US08890041B2 Device for warming food by means of inductive coupling and device for transferring energy
A device wherein food can be warmed by means of induction, said device comprising at least one secondary coil which is formed from a current conductor, whereon at least one heating element is connected. The invention also relates to a device which is used to transfer energy in a device in order to warm food by means of induction, said device comprising a primary coil which is connected to a voltage source and which is formed from a current conductor. According to the invention, the primary and secondary coil is cast into a coil body by casting means, and the insulating casting means exhibits a coefficient of thermal expansion which essentially corresponds to the coil body.
US08890040B2 Elongate heater for an electrically heated aerosol-generating system
An electrically heated aerosol-generating system for receiving an aerosol-forming substrate includes a heating element including a first electrically conductive element electrically insulated from a second electrically conductive element by an electrically insulating portion. The first and second elements are elongate and are electrically connected to each other by an electrically resistive portion. At least one electrically conductive element and the electrically resistive portion are arranged such that they are at least partially in contact with the aerosol-forming substrate.
US08890039B2 Method and heating system for heating a fluid line system, particularly in a motor vehicle
A method for heating a fluid line system with at least two electric heating elements (R1, R2, R3), which are operated electrically in parallel and each heating element (R1/R2/R3) is separately supplied with a controlled operating current (I1/I2/I3) for adjusting its heating power. Furthermore, the invention relates to a heating system for such a fluid line system (1), in particular for the application of the method according to the present invention. The heating system has heating elements (R1-R3) which are electrically connected in parallel and are each connected to a separate control element (T1, T2, T3). Each heating element (R1/R2/R3) can be controlled via its associated control element (T1, T2, T3) for the individual adjustment of its heating power.
US08890037B2 Radiant tube broiler
An emitter tube has an outer surface and an inner surface, wherein the inner surface defines a cavity of the emitter tube, and the cavity of the emitter tube is adapted for receiving food. An outer tube has an inner surface that defines a cavity of the outer tube, and the emitter tube is positioned in the cavity of the outer tube. A heating unit is for heating the outer surface of the emitter tube so that heat energy is transferred by conduction from the outer surface of the emitter tube to the inner surface of the emitter tube, and the inner surface of the emitter tube emits infrared radiant energy into the cavity of the emitter tube so that a majority of the energy that is transferred to the food within the emitter tube is in the form of infrared radiant energy.
US08890034B2 Fire prevention device using sensor input parameters
‘Firevoider’ is a set of apparatus that combine and analyze electronic signals from Hall Effect sensors, current transformer, Pyroelectric infrared sensor, ionization chamber smoke sensor, to determine the imminence of fire hazard. On determination of the imminence of fire ‘Firevoider’ turns OFF the power to the range after pausing and sounding an alarm long enough to allow the cook to intervene. The various electronic circuitries are provided with stored charge powers back up to retain memory during power failures. In addition to the above features ‘Firevoider’ has a ‘Timer Mode Cooking’ feature that can automate cooking and save up to 40% power. ‘Firevoider’ does not interfere with cooking if the situation is safe or the cooking is attended. The apparatus for carrying out the various functions include, a smoke sensor to measure smoke level, a motion sensor that detects horizontal motion in the near vicinity of the range, a Hall sensor that measures power consumption by stove(s), a current transformer that determines the lower cut off level of power consumption by the range, a solid state relay to control power supply to the range, electronic circuitry to process signals, a set of two piezoelectric alarms to alarm the hazard status and indicate actions, a set of LED lamps to indicate various situations and status and suitable enclosure to accommodate the circuitry and sensing elements spread over four locations.
US08890032B2 Propeller heater lead
Heater leads for a leading edge resistive heating element are configured to accommodate the relative movement of the propeller blades to adjust propeller blade pitch. The heater leads each include a static portion and a dynamic portion. The static portion remains fixed relative to the rotating propeller during operation and the dynamic portion moves with movements of the individual blades to adjust pitch. The length of the dynamic portion accommodates the limits of movement and includes features that provide the desired performance and operational life.
US08890029B2 Welding consumables and consumable holders
A welding torch with a welding electrode and a welding electrode holder is provided. One welding torch includes a securing mechanism and an insulated grip. The securing mechanism is configured to secure an exposed central portion of a welding rod bounded by flux covered first and second sides. The securing mechanism is also configured to make electrical contact with the central portion of the rod for arc welding with the first side. The insulated grip is configured to cover the second side of the welding rod.
US08890028B2 Laser processing system having auxiliary controller
A laser processing system includes: a numerical control device (1) outputting a laser output signal and a digital signal; a converter (231) converting the laser output signal to an analog signal; a pulse signal generator (22) generating a pulse signal for controlling the analog signal; an auxiliary controller (7) generating a logic signal which forcedly controls transmission/stop of a laser beam; a logical operation unit (28, 29) outputting a result of the logical operation between the pulse signal and the logic signal; a switching device (27) generating a laser drive signal for alternately transmitting/stopping the laser output on the basis of the logical operation result; and a sensor (6) for measuring intensity of light radiated or reflected from a workpiece irradiated with a laser beam. The auxiliary controller (7) generates the logic signal in accordance with the intensity of the light measured by the sensor (6).
US08890014B1 Luminous keyboard and light guide plate module thereof
A luminous keyboard includes a light-emitting element, a membrane wiring board, plural keys, and a light guide plate module. The plural keys are used for correspondingly triggering the plural membrane switches. The light-emitting element is used for providing the light beam to the luminous keyboard. The light guide plate module includes a first reflective layer, a second reflective layer, and a light-guiding layer between the first reflective layer and the second reflective layer. The light-guiding layer includes plural light-outputting regions and a spacing region. The plural light-outputting regions are aligned with the plural keys, respectively. The spacing region is arranged around the plural light-outputting regions. Moreover, plural light-guiding dots are located at the plural light-outputting regions, and at least one light diffusion structure is located at the spacing region.
US08890013B2 Sub-membrane keycap indicator
Sub-membrane keycap indicators for keyboard assemblies may be implemented by positioning an indicator light element beneath a switch membrane circuit and within the baseplate of a key device. The indicator light element may be so positioned to direct light upward in a substantially narrow cone to an aperture or lightguide molded into a keycap in order to illuminate or light the indicator aperture or lightguide to indicate the current status of at least one component of an information handling system.
US08890012B2 Portable terminal having side key
Provided is a portable terminal including a switch button member having binding rings extending from both end portions thereof, respectively, and a case member having a seating groove on an outer circumferential surface of a side portion thereof, in which the button member is disposed on the seating groove and the binding rings are inserted into an inner side of the case member, respectively, so as to bind the switch button to said case member.
US08890008B2 Keyboard
An exemplary keyboard includes a keyboard shell; a supporting panel arranged to the keyboard shell and having a plurality of elastomer holes therein; a plurality of keycaps arranged on the corresponding elastomer holes; a plurality of keycap supports between the supporting panel and the corresponding keycaps; a plurality of elastomers through the corresponding elastomer holes and being exposed out of the supporting panel; light emitting members arranged on the light emitting substrate and corresponding to each of the keycap; and an FPC sheet arranged between the light emitting substrate and the supporting panel, the FPC sheet comprising a key signal pads corresponding to each of the elastomer holes; light emitting substrate arranged under the supporting panel; the cross-section area of the elastomer hole is greater than that of the elastomer.
US08890003B2 Multiple-layered electromagnetic shielding
An apparatus for electromagnetic compatibility (EMC) shielding, the apparatus comprising a first EMC shield with a plurality of substantially parallel interconnected finger elements spaced apart from one another. A second EMC shield with a plurality of substantially parallel interconnected finger elements spaced apart from one another. The first EMC shield coupled to the second EMC shield, wherein at least one finger element of the plurality of finger elements of the first EMC shield is situated between at least two finger elements of the plurality of finger elements of the second EMC shield and in parallel with the at least two finger elements such that a space is formed between the at least one finger element and at least one of the at least two finger elements.
US08890000B2 Printed wiring board having through-hole and a method of production thereof
A printed wiring board has an insulating resin substrate having a first surface and a second surface, the insulating resin substrate having one or more penetrating-holes passing through the insulating resin substrate from the first surface to the second surface, a first conductor formed on the first surface of the insulating resin substrate, a second conductor formed on the second surface of the insulating resin substrate, and a through-hole conductor structure formed in the penetrating-hole of the insulating resin substrate and electrically connecting the first conductor and the second conductor. The penetrating-hole has a first portion having an opening on the first surface and a second portion having an opening on the second surface. The first portion and the second portion are connected such that the first portion and the second portion are set off from each other.
US08889995B2 Wire bond pad system and method
To reduce the RF losses associated with high RF loss plating, such as, for example, Ni/Pd/Au plating, the solder mask is reconfigured to prevent the edges and sidewalls of the wire-bond areas from being plated in some embodiments. Leaving the edges and sidewalls of the wire-bond areas free from high RF loss plating, such as Ni/Pd/Au plating, provides a path for the RF current to flow around the high resistivity material, which reduces the RF signal loss associated with the high resistivity plating material.
US08889994B2 Single-layered printed circuit board and manufacturing method thereof
A single layered printed circuit board and a method of manufacturing the same are disclosed. In accordance with an embodiment of the present invention, the method can include forming a bonding pad, a circuit pattern and a post on a surface of an insulation film, in which one end part of the post is electrically connected to at least a portion of the circuit pattern, pressing an insulator on the surface of the insulation film, in which the circuit pattern and the post are buried in the insulator, selectively etching the insulator such that the other end part of the post is exposed, and opening a portion of the insulation film such that at least a portion of the bonding pad is exposed.
US08889992B2 Strippable insulation shield for cables
Strippable semiconductive shields comprise a composition comprising in weight percent (wt %) based on the weight of the composition: (A) 20 to 80% of an interpolymer of an olefin and an α,β-unsaturated carbonyl comonomer, (B) 1 to 90% chlorinated polyolefin, (C) 20 to 45% carbon black, (D) 0.1 to 5% antioxidant, (E) 0.01 to 5% acid scavenger stabilizer; (F) Optionally free radical initiator, (G) Optionally silane functionality, (H) Optionally a sulfur-containing curative, and (I) Optionally a radiation-cure catalyst.
US08889991B2 Flexible electrical connection
A flexible electrical connection (28) for electrically contacting a sensor (10) or a sensor module (12) includes at least one electrical conductor (14) extending in an axial length between a moveable component (18) and a stationary component (20). The at least one electrical conductor (14) is fixed to a sensor housing (22) via a rigid coupling (46) and is connected to a housing (16) of the sensor (10) or sensor module (12) via a movable, rotatable coupling (54). The at least one electrical conductor (14) includes first sections (32) and second sections (34), the second sections (34) including an injected coating. The first sections (32) have a higher deformation property than the second sections (34), and the first sections (32) do not include an injected coating, or they include an injected coating having the thickness of a film hinge. The first and second sections extend along an axial length of the at least one electrical conductor (14), The first sections (32) have a thickness (36) that is less than the thickness (38) of the second sections (34). The first and second sections define a hinge of the electrical conductor (14) by their lengths, such that in a bent position (42), a bend (48) of the at least one electrical conductor (14) of at least 90° is formed within one of said first sections (32).
US08889990B2 Varied wire insulation using both foamed and unfoamed sections
The present invention provides a twisted pair of insulated wires that comprises a first wire that has a first insulation. The first insulation includes at least one foamed section and at least one unfoamed section. A second wire has a second insulation. The second insulation includes at least one foamed section and at least one unfoamed section. The at least one foamed section of the first insulation is spaced from and not adjacent to the at least one foamed section of the second insulation.
US08889988B2 Household appliance
An appliance having a cable harness including a ground cable, the ground cable electroconductively connected to an electrically conductive component of the appliance. The cable harness is at least partially accommodated in a cable duct of the appliance. In an exemplary embodiment, a first contact element held on the cable duct and including an integrated first contact element is electroconductively connected to the ground cable of the cable harness, which comes into electrical contact with the electrically conductive component of the household appliance using an assembly movement.
US08889987B2 Wire harness assembly with rod member
A wire harness assembly includes an electric wire including: a fixed-side connecting portion to be fixed to a fixed structure; a movable-side connecting portion to be fixed to a movable structure which is movable with respect to the fixed structure; and an extension portion extended and flexed from the fixed-side connecting portion to the movable-side connecting portion in a substantially U-shape or arc shape, and having an extra length for allowing the movement of the movable structure. The wire harness assembly also includes an elastic rod member which is provided along the extension portion of the electric wire.
US08889985B2 Protective housing for electronic device
A protective housing for an electronic device includes a main body, a protective cover, and a connecting mechanism. The protective cover is rotatably and detachably mounted to the main body by the connecting mechanism. The connecting mechanism includes a first magnetic assembly, a second magnetic assembly, and a mounting base. The first magnetic assembly is assembled within the main body, the second magnetic assembly is assembled within the mounting base. The mounting base is pivotally hinged to the protective cover, and the first magnetic assembly and the second magnetic assembly attract each other, thereby connecting the main body and the protective cover together.
US08889982B2 Concentrator for solar radiation and use thereof
The invention relates to a concentrator arrangement for solar radiation which has a beam splitter for deflecting solar radiation by means of total reflection. Furthermore, the invention relates to a device for concentrating and converting solar energy which has such a concentrator and at least one device for the conversion of solar energy. Such devices for the conversion of solar energy are for example solar cells, solar modules or thermal solar collectors. The concentrators according to the invention are used for concentrating solar radiation just as in sun protection panels.
US08889980B2 Thick-film pastes containing lead—tellurium—lithium—oxides, and their use in the manufacture of semiconductor devices
The present invention provides a thick-film paste for printing the front side of a solar cell device having one or more insulating layers. The thick-film paste comprises an electrically conductive metal, and a lead-tellurium-lithium-oxide dispersed in an organic medium.
US08889979B2 Thick-film pastes containing lead—tellurium—lithium—titanium—oxides, and their use in the manufacture of semiconductor devices
The present invention provides a thick-film paste for printing the front side of a solar cell device having one or more insulating layers. The thick-film paste comprises an electrically conductive metal, and a lead-tellurium-lithium-titanium-oxide dispersed in an organic medium.
US08889978B2 III-V semiconductor interface with graded GeSn on silicon
A method of depositing III-V solar collection materials on a GeSn template on a silicon substrate including the steps of providing a crystalline silicon substrate and epitaxially growing a single crystal GeSn layer on the silicon substrate using a grading profile to grade Sn through the layer. The single crystal GeSn layer has a thickness in a range of approximately 3 μm to approximately 5 μm. A layer of III-V solar collection material is epitaxially grown on the graded single crystal GeSn layer. The graded single crystal GeSn layer includes Sn up to an interface with the layer of III-V solar collection material.
US08889977B1 Electrical pickup for stringed musical instrument
An electric pickup for stringed musical instruments for installation on a bridge that includes height-adjusting wheels for adjusting string height. The pickup includes three piezo-electric sensing elements symmetrically arranged around the adjuster stud, and the entire downward force generated by the strings and coupled to the instrument face through the bridge is borne by the piezo-electric elements.
US08889976B2 Musical score position estimating device, musical score position estimating method, and musical score position estimating robot
A musical score position estimating device includes an audio signal acquiring unit, a musical score information acquiring unit acquiring musical score information corresponding to an audio signal acquired by the audio signal acquiring unit, an audio signal feature extracting unit extracting a feature amount of the audio signal, a musical score feature extracting unit extracting a feature amount of the musical score information, a beat position estimating unit estimating a beat position of the audio signal, and a matching unit matching the feature amount of the audio signal with the feature amount of the musical score information using the estimated beat position to estimate a position of a portion in the musical score information corresponding to the audio signal.
US08889974B2 Adjustable chin support for musical instrument
Instrument chin support, and method providing a means for adjusting placement along any and all 3-dimensional axes (x, y, z). An assembled chin support can include a chin-plate shaped to provide the player with comfort; a ball joint and clamp that allow the adjustment of any or all angles in the x, y and z axes (i.e. rotation, yaw, pitch, tilt, and roll); an eccentric post that mates with a base-clamp so as to provide the player with a means to customize the height and lateral position (i.e. y and z axes) of the chin-plate; and a bracket commonly known in the art to affix the chin support to the instrument. Advantages include providing players with a chin support that players can customize to their individual body. By doing so this chin support can help alleviate most of the adverse health concerns many players struggle with while playing their instrument.
US08889973B1 Plants and seeds of hybrid corn variety CH208467
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH208467. The invention thus relates to the plants, seeds and tissue cultures of the variety CH208467, and to methods for producing a corn plant produced by crossing a corn plant of variety CH208467 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH208467.
US08889971B1 Plants and seeds of hybrid corn variety CH724836
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH724836. The invention thus relates to the plants, seeds and tissue cultures of the variety CH724836, and to methods for producing a corn plant produced by crossing a corn plant of variety CH724836 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH724836.
US08889970B2 Maize variety hybrid X95A926
A novel maize variety designated X95A926 and seed, plants and plant parts thereof, produced by crossing Pioneer Hi-Bred International, Inc. proprietary inbred maize varieties. Methods for producing a maize plant that comprises crossing hybrid maize variety X95A926 with another maize plant. Methods for producing a maize plant containing in its genetic material one or more traits introgressed into X95A926 through backcross conversion and/or transformation, and to the maize seed, plant and plant part produced thereby. This invention relates to the maize variety X95A926, the seed, the plant produced from the seed, and variants, mutants, and minor modifications of maize variety X95A926. This invention further relates to methods for producing maize varieties derived from maize variety X95A926.
US08889963B1 Potato cultivar J55
A potato cultivar designated J55 is disclosed. The invention relates to tubers of potato cultivar J55, to seeds of potato cultivar J55, to plants and plant parts of potato cultivar J55, to food products produced from potato cultivar J55, and to methods for producing a potato plant by crossing potato cultivar J55 with itself or with another potato variety. The invention also relates to methods for producing a transgenic potato plant and to the transgenic potato plants and parts produced by those methods. This invention also relates to potato plants and plant parts derived from potato cultivar J55, to methods for producing other potato plants or plant parts derived from potato cultivar J55 and to the potato plants and their parts derived from use of those methods. The invention further relates to hybrid potato tubers, seeds, plants and plant parts produced by crossing potato cultivar J55 with another potato cultivar.
US08889962B1 Soybean cultivar 82923950112
A soybean cultivar designated 82923950112 is disclosed. Embodiments of the invention include the seeds of soybean 82923950112, the plants of soybean 82923950112, to plant parts of soybean 82923950112, and methods for producing a soybean plant produced by crossing soybean 82923950112 with itself or with another soybean variety. Embodiments of the invention include methods for producing a soybean plant containing in its genetic material one or more genes or transgenes and the transgenic soybean plants and plant parts produced by those methods. Embodiments of the invention also relate to soybean cultivars, breeding cultivars, plant parts, and cells derived from soybean 82923950112, methods for producing other soybean cultivars, lines or plant parts derived from soybean 82923950112, and the soybean plants, varieties, and their parts derived from use of those methods. Embodiments of the invention further include hybrid soybean seeds, plants, and plant parts produced by crossing 82923950112 with another soybean cultivar.
US08889961B1 Soybean cultivar 27120191
A soybean cultivar designated 27120191 is disclosed. The invention relates to the seeds of soybean cultivar 27120191, to the plants of soybean cultivar 27120191, to the plant parts of soybean cultivar 27120191, and to methods for producing progeny of soybean cultivar 27120191. The invention also relates to methods for producing a soybean plant containing in its genetic material one or more transgenes and to the transgenic soybean plants and plant parts produced by those methods. The invention also relates to soybean cultivars or breeding cultivars, and plant parts derived from soybean cultivar 27120191. The invention also relates to methods for producing other soybean cultivars, lines, or plant parts derived from soybean cultivar 27120191, and to the soybean plants, varieties, and their parts derived from use of those methods. The invention further relates to hybrid soybean seeds, plants, and plant parts produced by crossing cultivar 27120191 with another soybean cultivar.
US08889960B1 Soybean cultivar S120120
A soybean cultivar designated S120120 is disclosed. The invention relates to the seeds of soybean cultivar S120120, to the plants of soybean cultivar S120120, to the plant parts of soybean cultivar S120120, and to methods for producing progeny of soybean cultivar S120120. The invention also relates to methods for producing a soybean plant containing in its genetic material one or more transgenes and to the transgenic soybean plants and plant parts produced by those methods. The invention also relates to soybean cultivars or breeding cultivars, and plant parts derived from soybean cultivar S120120. The invention also relates to methods for producing other soybean cultivars, lines, or plant parts derived from soybean cultivar S120120, and to the soybean plants, varieties, and their parts derived from use of those methods. The invention further relates to hybrid soybean seeds, plants, and plant parts produced by crossing cultivar S120120 with another soybean cultivar.
US08889957B1 Soybean cultivar S120098
A soybean cultivar designated S120098 is disclosed. The invention relates to the seeds of soybean cultivar S120098, to the plants of soybean cultivar S120098, to the plant parts of soybean cultivar S120098, and to methods for producing progeny of soybean cultivar S120098. The invention also relates to methods for producing a soybean plant containing in its genetic material one or more transgenes and to the transgenic soybean plants and plant parts produced by those methods. The invention also relates to soybean cultivars or breeding cultivars, and plant parts derived from soybean cultivar S120098. The invention also relates to methods for producing other soybean cultivars, lines, or plant parts derived from soybean cultivar S120098, and to the soybean plants, varieties, and their parts derived from use of those methods. The invention further relates to hybrid soybean seeds, plants, and plant parts produced by crossing cultivar S120098 with another soybean cultivar.
US08889953B1 Canola hybrid 45S51
A novel canola variety designated 45S51 and seed, plants and plant parts thereof, produced by crossing Pioneer Hi-Bred International, Inc. proprietary inbred canola varieties. Methods for producing a canola plant that comprises crossing canola variety 45S51 with another canola plant. Methods for producing a canola plant containing in its genetic material one or more traits introgressed into 45S51 through backcross conversion and/or transformation, and to the canola seed, plant and plant part produced thereby. This invention relates to the canola variety 45S51, the seed, the plant produced from the seed, and variants, mutants, and minor modifications of canola variety 45S51. This invention further relates to methods for producing canola varieties derived from canola variety 45S51.
US08889952B1 Canola variety 46S53
A novel canola variety designated 46S53 and seed, plants and plant parts thereof, produced by crossing Pioneer Hi-Bred International, Inc. proprietary inbred canola varieties. Methods for producing a canola plant that comprises crossing canola variety 46S53 with another canola plant. Methods for producing a canola plant containing in its genetic material one or more traits introgressed into 46S53 through backcross conversion and/or transformation, and to the canola seed, plant and plant part produced thereby. This invention relates to the canola variety 46S53, the seed, the plant produced from the seed, and variants, mutants, and minor modifications of canola variety 46S53. This invention further relates to methods for producing canola varieties derived from canola variety 46S53.
US08889943B2 Process and system for extraction of a feedstock
The present invention relates to a method and system for recovering aromatics from a naphtha feedstock obtained from a crude petroleum, natural gas condensate, or petrochemical feedstock. The method and system comprise the steps of recovering an aromatics fraction from the feedstock prior to reforming.
US08889942B2 Integrated light olefin separation/cracking process
Systems and methods for producing a hydrocarbon are provided. The method can include separating a hydrocarbon comprising olefins and paraffins to produce an olefin-rich hydrocarbon comprising about 70 wt % or more olefins and a paraffin-rich hydrocarbon comprising about 70 wt % or more paraffins. The method can also include cracking at least a portion of the olefin-rich hydrocarbon in the presence of one or more catalysts at conditions sufficient to produce a cracked product comprising about 20 wt % or more C2-C3 olefins.
US08889940B2 Catalyst and process for hydrocarbon conversion
The subject invention comprises a hydrocarbon-conversion process using a zeolitic catalyst comprising very low concentrations of non-zeolitic material and featuring a gradient in crystallinity decreasing from the outer portion to the center and an intrusion pore volume of at least 0.6 cc/gram. The catalyst is particularly effective in a xylene-isomerization process comprising ethylbenzene conversion.
US08889938B2 Methods of producing para-xylene
The present disclosure provides methods to produce para-xylene, toluene, and other compounds from renewable sources (e.g., cellulose, hemicellulose, starch, sugar) and ethylene in the presence of a catalyst. For example, cellulose and/or hemicellulose may be converted into 2,5-dimethylfuran (DMF), which may be converted into para-xylene by cycloaddition of ethylene to DMF. Para-xylene can then be oxidized to form terephthalic acid.
US08889937B2 Process for producing one or more alkylated aromatics
One exemplary embodiment may be a process for producing one or more alkylated aromatics. Generally, the process includes providing a first stream including an effective amount of benzene for alkylating benzene from a fractionation zone, providing a second stream including an effective amount of ethene for alkylating benzene from a fluid catalytic cracking zone, providing at least a portion of the first and second streams to an alkylation zone; and passing at least a portion of an effluent including ethylbenzene from the alkylation zone downstream of a para-xylene separation zone.
US08889936B2 Method of regenerating ruthenium catalysts for the hydrogenation of benzene
The present patent application describes a method of regenerating a ruthenium catalyst for the hydrogenation of benzene, which comprises flushing the catalyst with inert gas in a regeneration step until the original activity or part of the original activity has been attained.
US08889933B2 Process for the steamcracking of bio-naphtha produced from complex mixtures of natural occurring fats and oils
A process can include making a bio-diesel, a bio-naphtha, and optionally bio-propane from a complex mixture of natural occurring fats & oils. The complex mixture can be subjected to a refining treatment for removing a major part of non-triglyceride and non-fatty acid components to obtain refined oils. The refined oils can be subjected to a fractionation step to obtain a substantially unsaturated liquid triglyceride part (phase L), and a substantially saturated solid triglyceride part (phase S). The phase L can transformed into alkyl-esters as bio-diesel by a transesterification. The phase S can be transformed into substantially linear paraffin's as the bio-naphtha by an hydrodeoxygenation. Fatty acids can be obtained from the phase S and transformed into substantially linear paraffin's as the bio-naphtha by hydrodeoxygenation or decarboxylation. Fatty acids soaps can be obtained from the phase S that are transformed into substantially linear paraffin's as the bio-naphtha by decarboxylation.
US08889920B2 Process for preparing 4-isopropylcyclohexylmethanol
The present invention relates to a process for preparing 4-isopropylcyclohexylmethanol (IPCHM) from para-cymene. The process for preparing 4-isopropylcyclohexylmethanol (IPCHM) comprises an electrochemical process for preparing a mixture of 4-isopropylbenzaldehyde dimethyl acetal and 4-(1-alkoxy-1-methylethyl)benzaldehyde dimethyl acetal, and intermediates passed through in the process, a hydrolysis step to form the corresponding benzaldehydes and a hydrogenation of this mixture to form 4-isopropylcyclohexylmethanol (IPCHM).
US08889919B2 Cyclic compound, process for production of the cyclic compound, radiation-sensitive composition, and method for formation of resist pattern
A cyclic compound represented by formula (1): wherein L, R1, R′, and m are as defined in the specification. The cyclic compound of formula (1) is highly soluble to a safety solvent, highly sensitive, and capable of forming resist patterns with good profile. Therefore, the cyclic compound is useful as a component of a radiation-sensitive composition.
US08889911B2 Activated base metal catalysts
Nitro-compounds are hydrogenated with an activated Ni catalyst that is doped during and/or after activation with one or more elements from the list of Mg, Ca, Ba, Ti, Zr, Ce, Nb, Cr, Mo, W, Mn, Re, Fe, Co, Ir, Ni, Cu, Ag, Au, Rh, Ru and Bi whereas the Ni/Al alloy may not, but preferentially can contain prior to activation one or more doping elements from the list of Ti, Ce, V, Cr, Mo, W, Mn, Re, Fe, Ru, Co, Rh, Ir, Pd, Pt and Bi. If the Ni/Al alloy contained one or more of the above mentioned suitable alloy doping elements prior to activation, the resulting catalyst can then be doped with one or more of the elements from the list of Mg, Ca, Ba, Ti, Zr, Ce, V, Nb, Cr, Mo, W, Mn, Re, Fe, Ru, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au and Bi by their adsorption onto the surface of the catalyst.
US08889904B2 Processes for producing acetic acid
Processes for the reduction and/or removal of permanganate reducing compounds (PRC'S) formed by the carbonylation of methanol in the presence of a Group VIII metal carbonylation catalyst to produce acetic acid are disclosed. More specifically, processes for reducing and/or removing PRC's or their precursors from intermediate streams during the formation of acetic acid by said carbonylation processes are disclosed. In particular, processes in which a low boiling overhead vapor stream from a light ends column is subjected to a distillation to obtain an overhead that is subjected to an extraction to selectively remove and/or reduce PRC's from the process is disclosed. The processes include steps of recycling one or more return streams derived from the distillation step and/or the extraction step to a light ends column and/or a drying column in order to improve water control in the overall reaction system.
US08889901B2 Photoacid generator, method for producing the same, and resist composition comprising the same
A photoacid generator represented by the following formula (1), a method for producing the photoacid generator, and a resist composition containing the photoacid generator are provided. wherein in the formula (1), Y, X, R1, R2, n1, n2 and A+ have the same meanings as defined in the detailed description of the invention. The photoacid generator can maintain an appropriate contact angle at the time of ArF liquid immersion lithography, can reduce defects occurring during liquid immersion lithography, and has excellent solubility in resist solvents and excellent compatibility with resins. Furthermore, the photoacid generator can be produced by an efficient and simple method using an epoxy compound that is industrially easily available.
US08889899B2 Transesterification methods
The present invention relates to processes for transesterification, comprising the steps of A) mixing an organic acid a) with an ester b) and B) transferring the alcohol radical of the ester b) to the acid a) to obtain the ester of the acid a) and the acid of the ester b), step B) being carried out in a still.
US08889898B2 Process for preparing di-substituted succinates
The invention relates to a process for preparing (2,3) disubstituted succinates that allows (2,3) disubstituted succinates to be obtained in good purity and with acceptable reaction yields. The (2) and (3) substitutions may be the same or different. The process comprises reacting a haloacetate with a malonic acid ester into a tricarboxylate, which is further reacted to a (2,3) disubstituted tricarboxylate, hydrolyzed, decarboxylated and optionally esterified. Esterified (2,3) disubstituted succinic esters may be used as internal donor in Ziegler-Natta type catalysts for the polymerization of olefins.
US08889897B2 Electrocarboxylation synthesis for obtaining intermediates useful for the synthesis of SPAN derivatives
The present invention relates to a process for obtaining a compound of formula (1), (2) or (3) by means of a electrocarboxylation with CO2. The present invention also relates to the new intermediates (1) and (2). The present invention further relates to the use of intermediates (1) and (2) as starting materials for the synthesis of SPAN derivatives.
US08889896B2 Process for the preparation of bazedoxifene acetate and intermediates thereof
A novel process is described for the preparation of pharmaceutically useful compounds such as 1-{4-[2-(azepan-1-yl)ethoxy]benzyl}-2-(4-hydroxyphenyl)-3-methyl-1H-indol-5-ol acetic acid commonly known as bazedoxifene acetate of the formula-1 using 2-(4-{[5-(benzyloxy)-2-[4-(benzyloxy)phenyl]-3-methyl-1H-indol-1-yl]methyl}phenoxy)ethyl-4-methylbenzenzene-1-sulfonate (formula 2a).
US08889893B2 Process for the manufacture of 1,2-epoxy-3-chloropropane
Process for the manufacture of 1,2-epoxy-3-chloropropane by reaction between allyl chloride and hydrogen peroxide in the presence of a catalyst and in the possible presence of at least one solvent, in which the allyl chloride employed comprises less than 2000 ppm by weight of 1,5-hexadiene.
US08889891B2 Method for preparing a furfuranol-based compound and 2-furancarboxylic acid-based compound using an ionic liquid as a solvent
This invention relates to a method of, in an eco-friendly manner, preparing a furfuranol-based compound and a 2-furancarboxylic acid-based compound using an ionic liquid as a reaction solvent, which includes reacting a furfural-based compound with a hydroxide of an alkali metal or an alkaline earth metal using an ionic liquid as the solvent, thus obtaining a furfuranol-based compound and a 2-furancarboxylic acid-based compound, and in which water is not used as the reaction solvent, thus preventing the generation of reaction wastewater, and the ionic liquid used as the solvent can be easily recovered and reused.
US08889888B2 Sulfonic acid salt and derivative thereof, photo-acid generator, and process for production of sulfonic acid salt
A fluorine-containing sulfonic acid salt or a compound having a fluorine-containing sulfonic acid group, either of which having a structure represented by the following general formula (1), is provided. Such a salt or compound can act as a suitable photo-acid generator, and can form a resist pattern having excellent sensitivity, resolution and mask-dependence. [In general formula (1), R represents a substituted or unsubstituted linear or branched monovalent hydrocarbon group having 1 to 30 carbon atoms, a substituted or unsubstituted monovalent hydrocarbon group having 3 to 30 carbon atoms and a cyclic or a partially cyclic structure, a substituted or unsubstituted aryl group having 6 to 30 carbon atoms, or a substituted or unsubstituted monovalent heterocyclic organic group having 4 to 30 carbon atoms.]
US08889886B2 Cyanine dyes
The invention provides a novel class of cyanine dyes that are functionalized with sulfonic acid groups and a linker moiety that facilitates their conjugation to other species and substituent groups which increase the water-solubility, and optimize the optical properties of the dyes. Also provided are conjugates of the dyes, methods of using the dyes and their conjugates and kits including the dyes and their conjugates.
US08889880B2 Processes for preparing tubulysins
Tubulysins are a series of naturally occurring cytotoxic agents that are of interest as anticancer therapeutic agents. Processes and intermediates useful for preparing naturally occurring and non-naturally occurring tubulysins and analogs and derivatives thereof are described.
US08889878B2 Haloalky-substituted amides as insecticides and acaricides
The present invention relates to halogen-substituted amide derivatives of the general formula (I) in which R1 to R6, Q1 to Q8, A, V, W, X, Y, n and m are each defined as described in the description—and to a process for preparation thereof and to the use thereof as insecticides and acaricides.
US08889877B2 Processes for preparing pyridinone carboxylic acid aldehydes
The present invention relates to the preparation of pyridinone carboxylic acid aldehydes such as 5-methoxy-6-(methoxycarbonyl)-4-oxo-1-(2-oxoethyl)-1,4-dihydropyridine-3-carboxylic acid.
US08889873B2 Process for the preparation of enantiomerically enriched proton pump inhibitors
The invention discloses a process for the preparation of compounds having structures typical for proton pump inhibitors in enantiomerically enriched form by using particular metal catalysts in an enantioselective oxidation step. Also disclosed are useful further processes and pure intermediate and subsequently final products.
US08889870B2 Kinase inhibitors
The present invention relates to compounds of formulae I and II wherein the variables are as defined herein. These compounds are capable of modulating tyrosine kinase signal transduction in order to regulate, modulate and/or inhibit abnormal cell proliferation.
US08889865B2 Process for preparing amines from alcohols and ammonia
The present invention provides novel ruthenium based catalysts, and a process for preparing amines, by reacting a primary alcohol and ammonia in the presence of such catalysts, to generate the amine and water. According to the process of the invention, primary alcohols react directly with ammonia to produce primary amines and water in high yields and high turnover numbers. This reaction is catalyzed by novel ruthenium complexes, which are preferably composed of quinolinyl or acridinyl based pincer ligands.
US08889860B2 3′-OH unblocked, fast photocleavable terminating nucleotides and methods for nucleic acid sequencing
The present invention relates generally to 3′-OH unblocked, labeled and unlabeled nucleotides and nucleosides with 5-methoxy-substituted nitrobenzyl-based photocleavable terminating groups for use in methods and systems related to DNA and RNA sequencing and analysis. These compounds, which may be represented by the following formulas: wherein the variables are defined herein, may be used as reversible terminators as they exhibit fast nucleotide incorporation kinetics, single-base termination, high nucleotide selectivity, and rapid terminating group cleavage that results in a naturally occurring nucleotide.
US08889855B2 Preparation of maytansinoid esters
Provided are efficient methods for direct coupling of a maytansinoid with a carboxylic acid to prepare a maytansinoid C-3 ester in high yield using a rare earth metal-based or trifluoromethanesulfonate-based Lewis acid catalyst and a base together with a coupling reagent. Also provided are compositions used in such methods.
US08889853B2 Process for the preparation of disaccharides applied to heparin pentasaccharides
The invention provides an intermediate and the process for making the same. This intermediate is useful in the process for making polysaccharides, and more particularly fondaparinux.
US08889852B2 Plasmid DNA extraction process
A process for the extraction of pDNA from cells is provided. In one aspect, the process comprises heating a liquid comprising the cells to an average temperature of from 95° C. to about 120° C. for a time of less than 10 seconds. In certain preferred aspects, the pDNA is extracted by the use of flow-through apparatus.
US08889847B2 Antibodies and immunoconjugates and uses therefor
Anti-STEAP-1 antibodies and immunoconjugates thereof are provided. Methods of using anti-STEAP-1 antibodies and immunoconjugates thereof are provided.
US08889843B2 Nucleic acid synthesizing dimer amidite and nucleic acid synthesizing method
To provide an excellent dimer amidite which can be subjected to purification, preferably, whose protective groups can be removed under mild conditions, and a method for synthesizing a nucleic acid using the dimer amidite, a dimer amidite having a structure represented by the following General Formula (1) and a method for synthesizing a nucleic acid including performing condensation reaction of the dimer amidite are provided: wherein in General Formula (1), R1 and R2 each independently represent any one of groups selected from General formulas (2) to (4) and Structural Formulas (12) to (15) with a compound where R1 and R2 are each represent Structural Formulas (12) being excluded:  and wherein in the General Formulas (2) to (4), R3 represents any one group represented by the following Structural Formulas (16) to (25):
US08889842B2 Triterpene saponins, methods of synthesis, and uses thereof
The present invention relates to triterpene glycoside saponin-derived adjuvants, syntheses thereof, intermediates thereto, and uses thereof. QS-7 is a potent immuno-adjuvant that is significantly less toxic than QS-21, a related saponin that is currently the favored adjuvant in anticancer and antiviral vaccines. Tedious isolation and purification protocols have hindered the clinical development of QS-7. A novel semi-synthetic method is provided wherein a hydrolyzed prosapogenin mixture is used to synthesize QS-7, QS-21, and related analogs, greatly facilitating access to QS-7 and QS-21 analogs for preclinical and clinical evaluation.
US08889841B2 Method for purifying rebaudioside C
The present invention is a method for purifying Rebaudioside C by subjecting “waste material,” generated during the Rebaudioside A manufacturing process, to liquid-liquid extraction and recrystallizing the Rebaudioside C.
US08889833B2 Antibody to secreted polypeptide
The invention provides human secreted proteins (SECP) and polynucleotides which identify and encode SECP. The invention also provides expression vectors, host cells, antibodies, agonists, and antagonists. The invention also provides methods for diagnosing, treating, or preventing disorders associated with aberrant expression of SECP.
US08889829B2 Methods of making a diagnostic composition and compositions therefrom
In one aspect, the invention provides a method for making a hydrophilic-silk composition. The method includes providing at least one strand of silk fiber, treating the silk fiber with an alkaline solution to provide at least one strand of degummed silk fiber, and treating the degummed silk fiber with a treatment solution to provide a hydrophilic-silk composition. The degummed silk fiber or the hydrophilic-silk composition is further immobilized with at least one reagent to make a silk-based diagnostic composition. The invention provides a silk-based diagnostic composition made by the method of the invention, and a diagnostic device that comprises the silk-based diagnostic composition. In another aspect, the invention provides a method of making a diagnostic device. The method includes providing at least one strand of a diagnostic-fiber composition, providing at least one strand of a hydrophobic-fiber composition, inter-weaving the at least one strand of the diagnostic-fiber composition and the at least one strand of the hydrophobic-fiber composition. In one embodiment, the diagnostic-fiber composition and the hydrophobic-fiber composition are both based on silk.
US08889824B2 Grafted poly(arylsulfone) and a process for grafting a poly(arylsulfone)
The invention relates to a poly(arylsulfone), the poly(arylsulfone) having an aryl group grafted with a covalently attached substituent of the Formula (I): The invention also relates to a process for grafting a poly(arylsulfone), in the presence of an enzyme, for the preparation of said grafted poly(arylsulfone). The poly(arylsulfone) according to the invention shows beneficial properties for application in a membrane. The invention therefore further relates to a membrane comprising a poly(arylsulfone) according to the invention, and to the use of a poly(arylsulfone) according to the invention in a separation process. In addition, the invention relates to a polymer blend and a copolymer comprising a grafted poly(arylsulfone) according to the invention, and to a membrane comprising such polymer blend or copolymer.
US08889822B2 Copolymers comprising polyamide blocks and polyether blocks and having improved mechanical properties
The invention relates to a process for producing a shaped article having excellent mechanical properties, said article comprising a block copolymer PAX.Y/PE comprising polyamide (PA) blocks alternating with polyether (PE) blocks, prepared from homopolyamide blocks PAX.Y by polycondenstation of linear aliphatic diamines where PAX.Y is selected from the group consisting of PA 10,12; PA 6,18; PA 10,14; and PA 12.12, reacted with polyether PE blocks in the presence of a catalyst to produce a block copolymer PAX.Y/PE, wherein said PE blocks are either PE diols, or PE blocks comprising NH2 ends. The articles formed are either a fabric, film, sheet, rod, pipe, injection-molded component, or a shoe sole; the copolymer PAX.Y/PE has an improved flexural modulus of at least 300 MPa greater than a copolymer polyamide 12/polytetrametylene with the same size of PA block and with the same size of PE block respectively.
US08889821B2 Polyester amide compound
A polyester amide compound having a polycarboxylic acid unit in an amount of 25 to 50 mol %, the polycarboxylic acid unit containing an aromatic dicarboxylic acid unit represented by the following formula (I) in an amount of 70 mol % or more; a polyhydric alcohol unit in an amount of 25 to 50 mol %, the polyhydric alcohol unit containing an aliphatic diol unit represented by the following formula (II) in an amount of 70 mol % or more; and a structural unit represented by the following formula (III) in an amount of 0.1 to 50 mol %: wherein, in formula (I), Ar represents an arylene group; in formula (II), X represents a C2 to C20 alkylene group; and in formula (III), R represents a substituted or unsubstituted alkyl group or a substituted or unsubstituted aryl group.
US08889818B2 Crosslinkable composition and method of producing the same
The instant invention provides crosslinkable compositions, and method of producing the same. The non-aqueous single phase crosslinkable composition comprises: (a) a polyol having an average of 2 or more hydroxyl functional groups; (b) polyaldehyde, or acetal or hemiacetal thereof; and (c) an acid catalyst having pK of less than 6; and (d) optionally one or more organic solvents.
US08889814B2 Polyetheramine macromonomers comprising two neighboring hydroxyl groups and their use for producing polyurethanes
The object of the invention are compounds of the formula (2) wherein R1 is H, methyl or ethyl, R2 is C1- to C4 alkyl, A is a C2- to C4 alkylene group, m is number from 10 to 400, n is 1, 2, 3, 4, or 5, a method for their production and their use in the production of polyurethane prepolymers.
US08889812B2 Aqueous silane systems based on tris(alkoxysilylalkyl)amines and the use thereof
The invention relates to an aqueous composition based on tris-silylated amino-functional silicon compounds, which is substantially free of organic solvents and which substantially does not release any alcohol even during the cross-linking process, and to method for the production thereof, and to the use thereof, for example for the hydrophobization of metal, glass or mineral surfaces, such as concrete and bricks, as adhesion promoter or for rock consolidation, among other things.
US08889811B2 Curable composition
Provided is a curable composition and its use. The curable composition may exhibit excellent processibility and workability. The curable composition has excellent light extraction efficiency, crack resistance, hardness, thermal and shock resistance and an adhesive property after curing. The curable composition may provide a cured product exhibiting stable durability and reliability under severe conditions for a long time, and having no whitening and surface stickiness.
US08889808B2 Farnesene interpolymers
Farnesene interpolymer comprises units derived from a farnesene (e.g., α-farnesene or β-farnesene) and units derived from at least one vinyl monomer. The farnesene interpolymer can be prepared by copolymerizing the farnesene and at least one vinyl monomer in the presence of a catalyst. In some embodiments, the farnesene is prepared from a sugar by using a microorganism. In other embodiments, the at least one vinyl monomer is ethylene, an α-olefin, or a substituted or unsubstituted vinyl halide, vinyl ether, acrylonitrile, acrylic ester, methacrylic ester, acrylamide or methacrylamide, or a combination thereof.
US08889806B2 Ring-opening polymer of cyclopentene and method of production of same
There is provided a ring-opening polymer of cyclopentene wherein a cis ratio of cyclopentene-derived structural units is 30% or more, the weight average molecular weight (Mw) is 100,000 to 1,000,000, and an oxysilyl group is included at an end of the polymer chain. For example, the ring-opening polymer of cyclopentene can be obtained by ring opening polymerization of cyclopentene in the presence of a compound of a transition metal belonging to Group 6 in the Periodic Table, an organoaluminum compound represented by the following general formula (1), and an olefinically unsaturated hydrocarbon containing an oxysilyl group. (R1)3-xAl(OR2)x  (1) (in the general formula (1), R1 and R2 represent a hydrocarbon group having 1 to 20 carbon atoms and x satisfies the requirement 0
US08889801B2 Surface protective coating and methods of use thereof
A coating composition suitable for providing a thermoformable hardcoat is disclosed. The composition contains a silane-containing oligomer (A), a silane (B) having the general formula R3cSiX(4-d) wherein each occurrence of R3 is independently a C1-C8 alkyl, C2-C8 alkenyl or C6-C20 aryl, each occurrence of X is a halogen atom, C1-C6 alkoxy, C1-C6 acyloxy, C1-C6 alkenoxy or hydroxide; d is 0, 1 or 2, a metal oxide (C) and a condensation catalyst (D) wherein components (A), (B) and (C) are hydrolytically condensed in the presence of component (D) to achieve a T3 to T2 ratio of from about 0.3 to about 2.5.
US08889799B2 Functionalised polyurethanes
The present invention relates to a method for producing an isocyanate-based polymeric material by polymerizing a reaction mixture which comprises different reaction components, including at least one polyisocyanate component and at least one component which is reactive towards said polyisocyanate component. The reaction components comprise at least one anchor component which has at least one anchor group which enables to bond a functional component covalently to the polymeric material. The anchor group is a reactive unsaturated group which does not react during the polymerization process so that the polymerization process is not interfered. The anchor group is selected to that it can bind the functional component by a click chemistry reaction to the polymeric material. It is more particularly selected so that it can participate in a catalyzed Huisgen 1,3-dipolar cycloaddition reaction or in a Diels-Alder cycloaddition reaction The invention also relates to the obtained isocyanate-based polymeric material, to the use thereof to produce a functionalized polymeric material and to this functionalized polymeric material.
US08889798B2 Paint composition
The present invention relates to a paint composition comprising a component A, being a basic binder, and a component B, being an isocyanate compound. The present invention also relates to the use of the present paint composition as a paint or top coat. The present invention moreover relates to an object of which at least one surface is coated with at least one layer of the present paint composition.
US08889797B2 Preparation method of flexible polylactide stereocomplex and the compound thereof
Disclosed are a polylactide stereocomplex with improved thermal stability and thus improved processability and a method for preparing the same. In order to confer flexibility to polymer chains, D-type polylactide polymer containing a small amount of caprolactone (poly D-lactide-caprolactone copolymer) is synthesized and it is uniformly mixed with L-type single-phase polylactide to prepare a flexible polylactide stereocomplex. Since the polylactide stereocomplex having flexible polymer chains has superior heat resistance and mechanical stability and experiences little decrease of the degree of stereocomplex formation even after thermal processing, the polylactide stereocomplex having improved thermal stability can be advantageously used for engineering plastics requiring high strength and good thermal stability, alternative materials for general-use plastics, high-performance medical materials, or the like because of its remarkably improved processability.
US08889794B2 Resin compositions for extrusion coating
A composition of matter suitable for use in extrusion coating applications is disclosed. The composition comprises a blend of particular LLDPE with particular LDPE. The LLDPE has the following characteristics: a density in the range of from 0.89 g/cc to 0.97 g/cc; an MWD less than 2.8; a melt index (I2) in the range of 4.0 to 25 g/10 min; a Comonomer Distribution Constant in the range of from greater than from 45 to 400; and a vinyl unsaturation of less than 0.12 vinyls per one thousand carbon atoms present in the backbone of the ethylene-based polymer composition. The LDPE has a melt index (I2) in the range of 0.1 to 15 g/10 min, and has a melt strength which satisfies the inequality: Log Melt strength (cN)>1.14−0.6×Log I2(g/10 min,190° C.)
US08889789B2 Low dielectric constant resin formulation, prepolymer, composition, and composite thereof
The present disclosure provides a low dielectric constant resin formulation comprising 20-150 parts by weight of diisocyanate, 20-400 parts by weight of poly(2,6-dialkyl-1,4-phenylene oxide), and 200-650 parts by weight of a solvent. The present disclosure also provides a low dielectric constant resin prepolymer, composition, and composite produced from the above formulation, and a method for preparing the low dielectric constant resin prepolymer solution.
US08889785B2 Production method of thermoplastic resin composition, molded body, and light emission body
Disclosed is a production method of a thermoplastic resin composition which has a good light emission property of visible light by ultraviolet irradiation, the production method comprising: compounding 0.001 to 50 parts by mass of at least one of metal compound (B) selected from a metal complex (B1) and a metal halide (B2), and 0.001 to 30 parts by mass of a polyalkylene glycol compound (C), with respect to 100 parts by mass of a thermoplastic resin (A); and heating it at a temperature of 100 to 320° C.
US08889783B2 Copolymers including biobased monomers and methods of making and using same
Copolymer compositions and methods for making these compositions are described. The copolymers include a vinyl aromatic monomer; a second monomer, and a biobased monomer. The second monomer is selected from the group consisting of butadiene, alkyl acrylates, alkyl methacrylates, and mixtures thereof. Examples of biobased monomers useful in the compositions include isobornyl acrylate, isobornyl methacrylate, tetrahydrofurfuryl acrylate, tetrahydrofurfuryl methacrylate, lauryl acrylate, lauryl methacrylate, and mixtures thereof. The compositions described herein can be used for binder or coating compositions and can include coating pigments, mineral fillers, and other additives.
US08889780B2 Quick-drying coating compounds
The present invention relates to quick-drying two-component polyurethane coating compositions, to processes for preparing them, and to their use.
US08889779B2 Fluoropolymer non-stick coatings
A coating composition is provided comprising(a) an aqueous medium, (b) melt-fabricable perfluoropolymer dispersed in said aqueous medium and having a melting temperature of at least 290° C., (c) melt-fabricable perfluoropolymer dispersed in said aqueous medium and having a melting temperature of no greater than 270° C., and (d) water miscible organic liquid having a boiling temperature of at least 280° C. and optionally (e) filler, the combination of (c) and (d) providing sloughing resistance to said composition applied to a non-horizontal substrate and baked, component (d) being unnecessary when component (a) is not present in the coating composition, and when filler is present the amount of (c) being present in an effective amount to increase the cohesive strength of the baked layer of the coating composition.
US08889778B2 Low volatile organic component medium
A low VOC medium is described. The medium comprises a low VOC solvent such as propylene carbonate, dimethyl carbonate, or combinations thereof. The low VOC medium also comprises one or more glycol ethers. In addition, the low VOC medium also includes one or more surfactants and/or dispersants. The low VOC medium may also optionally comprise one or more binders. The low VOC medium can be used in preparing coating compositions and pastes that are intended for use in applications that include a firing step.
US08889774B2 Latex binders, aqueous coatings and paints having freeze-thaw stability and methods for using same
Disclosed are latex polymers and an aqueous coating compositions having excellent freeze-thaw stability, open time, stain resistance, low temperature film formation, foam resistance, block resistance, adhesion, water sensitivity and a low-VOC content. The latex polymers and aqueous coating compositions include at least one latex polymer derived from at least one monomer copolymerized or blended with an alkoxylated compound, for example an alkoxylated tristyrylphenol or an alkoxylated tributylphenol. Also provided is an aqueous coating composition including at least one latex polymer, at least one pigment, water and at least one freeze-thaw additive. Typically, the freeze-thaw additive in an amount greater than about 1.3% by weight of the polymer, typically in an amount greater than about 2% by weight of the polymer, in an amount greater than about 4% by weight of the polymer, in an amount greater than about 7.5% by weight of the polymer, in an amount greater than about 10% by weight of the polymer or in an amount greater than about 20% by weight of the polymer.
US08889772B2 Method for producing mixtures of alkylphosphonous acid salts and dialkylphosphinic acid salts
The invention relates to a method for producing mixtures of alkylphosphonous acid and dialkylphosphinic acid salts, characterized in that a) a phosphinic acid source (I) is reacted with olefins (II) in the presence of a catalyst A to obtain a mixture of alkylphosphonous acid (III) and dialkylphosphinic acid (IV) or salts or esters thereof, and b) the thus obtained mixture of alkylphosphonous acid (III) and dialkylphosphinic acid (IV) or the salts or esters thereof is reacted with metal compounds of Mg, Ca, Al, Sb, Sn, Ge, Ti, Fe, Zr, Zn, Ce, Bi, Sr, Mn, Li, Na, K and/or a protonated nitrogen base to obtain the corresponding mixtures of alkylphosphonous acid salt (V) and dialkylphosphinic acid salt (VI) of said metals and/or a nitrogen compound, (V) (VI), wherein Y represents Mg, Ca, AI, Sb, Sn, Ge, Ti, Fe, Zr, Zn, Ce, Bi, Sr, Mn, Li, Na; K and/or a nitrogen compound and n represents ¼, ⅓, ½, 1.
US08889768B2 Laser-transparent PBT with organic additives
Use of thermoplastic molding compositions comprising, as essential components, A) a polyester, B) from 20 to 200 mmol/kg of polyester A) of at least one compound of the general formula (I) where respectively independently at any position -A1- is —NR—, —O—, —S—, —CH=A4- where R is H or C1-6-alkyl, A4 is N or CH A2 is COOX or OX, where X is Li, Na, K, Rb, Cs, Mg/2, Ca/2, Sr/2, Ba/2, Al/3 A3 is C1-6-alkyl, C6-12-aryl, O7-13-alkaryl, C7-13-aralkyl, O—C1-6-alkyl, O—C6-12-aryl, O—C7-13-alkaryl, O—C7-13-aralkyl, COOX′, OX′, SX′, SO3X′, where X′ is H or X, S—C1-6-alkyl, S—C6-12-aryl, NR2, halogen, NO2, n is an integer from 1 to 4, and m is an integer from 0 to 4−n, where m=1, if A3=NO2, with the proviso that the number of mmol is based on the group(s) COOX and OX and SX′ where X′═X, to the extent that these are present in the compound of the general formula (I), and also moreover C) from 0 to 230% by weight of further added substances, based on the weight of component A), for producing laser-transparent moldings of any type.
US08889765B2 Water-absorbing material having a coating of elastic film-forming polyurethane with high wicking and high permeability
The invention relates to a process for producing a water-absorbing material by coating water-absorbing polymer particles with a film-forming polyurethane and silica, heat treating the coated particles and coating the heat-treated particles with pyrogenic hydrophilic silica. The invention further relates to the water-absorbing material obtainable according to the process of the invention. The water-absorbing material has improved wicking ability (FHA) and core shell saline flow conductivity (CS-SFC).
US08889757B2 Oxidation resistant homogenized polymeric material
The present invention relates to methods for making oxidation resistant homogenized polymeric materials and medical implants that comprise polymeric materials, for example, ultra-high molecular weight polyethylene (UHMWPE). The invention also provides methods of making antioxidant-doped medical implants, for example, doping of medical devices containing cross-linked UHMWPE with vitamin E by diffusion and annealing the anti-oxidant doped UHMWPE in a super critical fluid, and materials used therein.
US08889755B2 Low melt flow branched lonomers
Embodiments of the present invention include a branched aromatic ionomer, and a process of making it, by co-polymerizing a first monomer comprising an aromatic moiety and an unsaturated alkyl moiety and a second monomer represented by the general formula: [R-AZ]y-MX wherein R is a hydrocarbon chain having from 2 to 40 carbons and at least one polymerizable unsaturation; A is an anionic group; M is a cationic group; Z is −1 or −2; X is +1, +2, +3, +4, or +5; and y is an integer having a value of from 1 to 4. The branched aromatic ionomer has a melt flow index ranging from 1.0 g/10 min. to 13 g/10 min. Optionally the melt flow index ranges from 1.3 g/10 min. to 1.9 g/10 min.
US08889754B2 Polymer foam and foam articles for fire protection
The invention provides a cellular polyurethane foam composition for forming a cellular ceramic under fire conditions, the composition comprising: at least 40% by weight based on the total weight of the composition of a polyurethane; from 10% to 40% by weight based on the total weight of the composition of silicate mineral filler; from 5% to 20% by weight based on the total weight of the composition of at least one inorganic phosphate that forms a liquid phase at a temperature of no more than 800° C.; from 0.1% to 10% by weight based on the total weight of the composition of a heat expandable solid material; and wherein the total proportion of inorganic components constitutes in the range of from 20% to 60% by weight of the total composition.
US08889748B2 Process for producing expandable styrene polymer compositions
A composition comprising at least one expandable styrene polymer component and at least one cyclohexanecarboxylic ester and also optionally further components can be processed to mechanically robust foam materials.
US08889745B2 Fibrous calcium pyrophosphate particles and methods of making and using same
Fibrous calcium pyrophosphate particles with a unique fibrous nanostructure are disclosed. The invention includes a composition, comprising fibrous particles, wherein the fibrous particles include fibers and the fibers include calcium and pyrophosphate. Also included are methods for making calcium pyrophosphate particles wherein solutions of calcium salt and pyrophosphate salt are combined to form the particles. Pharmaceutical compositions and methods for treating a patent using the disclosed particles are also described.
US08889744B2 Bis-trifluoromethyl honokiol analogs and their use in treating cancers
Compounds, pharmaceutical compositions including the compounds, and methods of preparation and use thereof are disclosed. The compounds are hexafluoro-honokiol analogs. The compounds and compositions can be used to treat and/or prevent a wide variety of cancers, including drug resistant cancers. Representative hexafluoro-honokiol analogs include hexafluoro-honokiol, analogs in which one or both hydroxy groups are replaced with dichloroacetate esters, and analogs with increased fluorination. The compounds are believed to function, at least, by inhibiting angiogenesis and/or inducing apoptosis. Thus, the compounds are novel therapeutic agents for a variety of cancers.
US08889737B2 Use of 2,5-dihydroxybenzene compounds and derivatives for the treatment of skin cancer
The present invention relates to the use of a 2,5-dihydroxybenzene derivative of formula (I) or a pharmaceutically acceptable salt, solvate, isomer, or prodrug thereof for the treatment and/or prophylaxis of, inter alia, skin cancer.
US08889736B2 Formulations for the treatment of pain
Formulations and methods are provided for the treatment of pain, and neuropathic pain in particular. The formulations are eutectic mixtures of a capsaicinoid and a local anesthetic agent and/or an anti-pruritic agent.
US08889733B2 Artonin I to treat resistant infectious Staphylococcus aureus
Artonin I is reported to treat multi-drug resistant Staphlococcus infections.
US08889728B2 Stable pharmaceutical compositions of carvedilol
A stable solid oral pharmaceutical composition comprising carvedilol or a pharmaceutically acceptable salt thereof, which is packed using a suitable packaging material along with a desiccant. A process for manufacturing a stable solid oral dosage form containing carvedilol or a pharmaceutically acceptable salt thereof, which is packed in the packaging configuration comprising moisture permeation inhibitory packaging. A method of preparing a stable solid oral pharmaceutical dosage form, said method comprising, encasing a pharmaceutical dosage form comprising carvedilol or pharmaceutically acceptable salt thereof in a container comprising a desiccant. A pharmaceutical kit comprising a container impervious to moisture, wherein said container comprises a desiccant; and a solid oral pharmaceutical dosage form comprising carvedilol or a pharmaceutically acceptable salt thereof, wherein said pharmaceutical dosage form is encased in said container.
US08889726B2 Chemica compounds
Disclosed are compounds of Formula I, Formula II, and Formula III. Also disclosed are salts of the compounds, pharmaceutical composition comprising the compounds or salts, and methods for treating HCV infection by administration of the compounds or salts.
US08889725B2 Sugar-based bait
An ant bait which contains an active substance having insecticidal activity and a sugar syrup is described.
US08889723B2 Aminoalkyloxazole and aminoalkylthiazolecarboxylic acid amides as regeneration-promoting substances for sensory organs and post-mitotic tissues
A method of treating inner ear hardness of hearing and restoring hearing of humans and animals after damage and loss of sensory hair cells in an organ of Corti based on regeneration biology includes administering a therapeutically effective amount of a compound including aminoalkyloxazole and aminoalkylthiazole carboxylic acid amides, or a pharmaceutically acceptable salt, a stereoisomer, a stereoisomer mixture, a tautomer or a prodrug compound thereof, directly or indirectly to damaged tissue structures in a cochlea, optionally, by transtympanal injection into a middle ear, by application to a round or oval window of an inner ear or by injection into the inner ear.
US08889720B2 Non-flushing niacin analogues, and methods of use thereof
One aspect of the present invention relates to substituted pyridines and pharmaceutically acceptable salts thereof that are active against a range of mammalian maladies. Another aspect of the invention relates to a pharmaceutical composition, comprising a compound of the present invention or a pharmaceutically acceptable salt thereof; and a pharmaceutically acceptable excipient. The present invention also relates to methods of treating a range of mammalian maladies or conditions, including but not limited to hyperlipidemia, hypercholesterolemia, atherosclerosis, coronary artery disease, congestive heart failure, cardiovascular disease, hypertension, coronary heart disease, angina, pellagra, Hartnup's syndrome, carcinoid syndrome, arterial occlusive disease, obesity, hypothyroidism, vasoconstriction, osteoarthritis, rheumatoid arthritis, diabetes, Alzheimer's disease, lipodystrophy, or dyslipidemia, raising serum high-density lipoprotein (HDL) levels, and lowering serum low-density lipoprotein (LDL) levels.
US08889719B2 Phenylamino isonicotinamide compounds
The invention provides novel compounds according to Formula (I), their manufacture and use for the treatment of hyperproliferative diseases, such as cancer, restenosis and inflammation.
US08889716B2 Transglutaminase TG2 inhibitors, pharmaceutical compositions, and methods of use thereof
Certain compounds and pharmaceutically acceptable salts are provided herein. Also provided are pharmaceutical compositions comprising at least one compound or pharmaceutically acceptable salt therein and one or more pharmaceutically acceptable vehicle. Methods of treating patients suffering from certain disease states responsive to the inhibition of transglutaminase TG2 activity are described. These disease states include neurodegenerative disorders such as Huntington's disease. Also described are methods of treatment include administering at least one compound or pharmaceutically acceptable salt thereof as a single active agent or administering at least one compound or pharmaceutically acceptable salt thereof in combination with one or more other therapeutic agents.
US08889715B2 Substituted pyridoxine-lactam carboxylate salts
The present invention provides salt adducts comprising at least one positively charged moiety being a pyridoxine or a derivative thereof and at least one carboxylated 5- to 7-membered lactam ring, optionally additionally substituted, methods of their preparation, and pharmaceutical compositions and medicaments comprising them. Salt adducts of the invention and compositions comprising them may be used to in the treatment of diseases or disorders associated with or inflicted by alcohol consumption.
US08889713B1 N-substituted tetrahydroisoquinoline benzamides/benzene sulfonamides as anti-cancer agents
The compounds herein disclosed are N-substituted tetrahydroisoquinoline benzamide and benzene sulfonamide compounds that have modifications on the phenyl rings by introducing groups with various electronic properties. These derivatives of N-substituted tetrahydroisoquinoline compounds have been shown to have anti-proliferative activity against cells. In particular, the compounds have been found to be effective in inhibiting the proliferation of cancer cells, such as cancer cells that originated in breast tissue. Additionally, it has been shown that the novel compounds have IC50 values against the breast cancer cells that are 6- to 10-fold less than the IC50 of Tamoxifen.
US08889700B2 4-(4-cyano-2-thioaryl)dihydropyrimidinones and their use
The present invention relates to novel 4-(4-cyano-2-thioaryl)dihydropyrimidin-2-one derivatives, to processes for their preparation, to their use alone or in combination for the treatment and/or prevention of diseases and also to their use for preparing medicaments for the treatment and/or prevention of diseases, in particular for the treatment and/or prevention of disorders of the lung and the cardiovascular system.
US08889697B2 Metabolites of the janus kinase inhibitor (R)-3-(4-(7H-pyrrolo[2,3-d]pyrimidin-4-yl)-1H-pyrazol-1-yl)-3-cyclopentylpropanenitrile
The present invention provides active metabolites of 3-(4-(7H-pyrrolo[2,3-d]pyrimidin-4-yl) -1H-pyrazol-1-yl)-3-cyclopentylpropanenitrile that modulate the activity of Janus kinases and are useful in the treatment of diseases related to activity of Janus kinases including, for example, immune-related diseases, skin disorders, myeloid proliferative disorders, cancer, and other diseases.
US08889693B2 Fibrosis inhibitor
The main object of the present invention is to provide a fibrosis inhibitor. The present invention relates to a fibrosis inhibitor containing the heterocyclic derivative represented by the following general formula (1) or a pharmaceutically acceptable salt thereof as an active ingredient: In the formula (1), R1 and R2 are the same or different and each represents an optionally substituted aryl; R3 and R4 are the same or different and each represents hydrogen atom or alkyl; R5 represents hydrogen atom, alkyl or halogen atom; Y represents N or N→O; A represents NR6, and R6 represents hydrogen atom, alkyl, etc.; D represents alkylene or alkenylene which is optionally substituted with hydroxy; E represents phenylene or a single bond; G represents O, S, etc.; and Q represents carboxy, alkoxycarbonyl, etc.
US08889690B2 TRPV1 antagonists including dihydroxy substituent and uses thereof
The invention relates to compounds of formula IA and pharmaceutically acceptable derivatives thereof, compositions comprising an effective amount of a compound of formula IA or a pharmaceutically acceptable derivative thereof, and methods for treating or preventing a condition such as pain, UI, an ulcer, IBD and IBS, comprising administering to an animal in need thereof an effective amount of a compound of formula IA or a pharmaceutically acceptable derivative thereof.
US08889689B2 Bifunctional metnase/intnase inhibitors and related compositions and methods of treatment of cancer
This invention relates to novel cancer treatment compositions and associated therapeutic methods. More particularly, this invention relates in part to small chemical bifunctional inhibitors of DNA replication and repair proteins Metnase and/or Intnase (also termed Gypsy Integrase, Gypsy Integrease-1, Gypsy Retransposon Integrase 1, or GIN-I) that simultaneously damage DNA, and to a therapeutic method that utilizes the inhibitors to increase the effectiveness of cancer treatment protocols, including radiation therapy. In preferred embodiments, compounds, compositions and methods of treatment of the invention are used to treat a patient suffering from leukemia (e.g. acute myeloid leukemia (AML) and related cancers. In certain aspects of such treatments, compounds, compositions and methods of treatment of the invention are administered as a monotherapy (in some cases, to patients who have exhibited resistance to Topo IIalpha inhibitors such as VP-16), or are co-administered with a Topo IIalpha inhibitor or other anti-cancer agents as otherwise described herein or in combination with radiation therapy.
US08889688B2 Piperazines as antimalarial agents
The invention relates to novel piperazine derivatives and their use as active ingredients in the preparation of pharmaceutical compositions. The invention also concerns related aspects including pharmaceutical compositions containing one or more of those compounds and their use as medicaments for the treatment or prevention of protozoal infections, such as especially malaria.
US08889685B2 Method for treating a sleep disorder with eszopiclone maleate
Maleate salts of (6-(5-chloro-2-pyridyl)-5-[(4-methyl-1-piperazinyl)carbonyloxy]-7-oxo-6,7-dihydro-5H-pyrrolo[3,4-b]pyrazine) are provided. The salts are useful for treating sleep disorders.
US08889682B2 Inhibitors of bruton'S tyrosine kinase
This application discloses compounds according to generic Formula I: wherein the variables are defined as described herein, and which inhibit Btk. The compounds disclosed herein are useful to modulate the activity of Btk and treat diseases associated with excessive Btk activity. The compounds are further useful to treat inflammatory and auto immune diseases associated with aberrant B-cell proliferation, such as rheumatoid arthritis. Also disclosed are compositions containing compounds of Formula I and at least one carrier, diluent or excipient.
US08889681B2 Use and application of a pharmaceutical composition containing a mixture of natural-origin heterocyclical guanidine, for cosmetology, wound healing, focal dystonia and muscular spasm-related clinical pathologies
Pharmaceutical compositions comprising tricyclic 3,4-propinoperhydropurines and uses thereof for the treatment of facial wrinkling are provided.
US08889680B2 Treatment or prevention of fungal infections with PDK1 inhibitors
Provided are methods of treating or preventing a fungal infection in a subject. The methods comprise identifying a subject with or at risk of developing a fungal infection and administering to the subject a therapeutically effective amount of an inhibitor or a fungal phosphoinositide-dependent kinase 1 (PDK-1) or a homolog thereof. Inhibition of the fungal PDK-1 or homolog thereof results in the treatment or prevention of a fungal infection in the subject. Also provided are compositions comprising an inhibitor of a fungal phosphoinositide-dependent kinase 1 (PDK-1) or a homolog thereof, and a pharmaceutically acceptable carrier.
US08889679B2 Glutaraldehyde based biocidal compositions and methods of use
Provided are biocidal compositions comprising glutaraldehyde and a compound selected from the group consisting of 1-(3-chloroallyl)-3,5,7-triaza-1-azoniaadamantane; tris(hydroxymethyl)-nitromethane; and a hexahydrotriazine compound. The compositions are useful for controlling microorganisms in aqueous or water-containing systems.
US08889676B2 3-ureidoisoquinolin-8-yl derivatives
The invention relates to 3-ureidoisoquinolin-8-yl derivatives of formula I wherein R1 is alkyl, haloalkyl or cyclopropyl; R2 is H, halogen, pyridazin-4-yl, pyrimidin-5-yl or an optionally substituted pyridin-3-yl, pyridin-4-yl or phenyl group; R3 is alkyl, alkynyl, aminoalkyl, carbamoylalkyl, methylcarbamoylalkyl, alkoxy, haloalkoxy, alkynyloxy, (4-hydroxybut-2-yn-1-yl)oxy, (4-aminobut-2-yn-1-yl)oxy, dimethylaminoalkoxy, carbamoylalkoxy, alkylamino, cycloalkyl, cycloalkylalkyl, cycloalkylalkoxy, hydroxyalkyl, hydroxyalkoxy, alkoxyalkyl, alkoxyalkoxy, carboxyalkyl, carboxyalkoxy, alkoxycarbonylalkoxy, aryl, heteroaryl, benzyl, benzyloxy, 2-cyanoethoxy, 2,3-dihydroxypropoxy, 3,4-dihydroxybutoxy, —CH2Ra, —CH2CH2Rb, —(CH2)n—C(O)O—Rd, —(CH2)n—N(Rc)C(O)O—Rd, —O—(CH2)n—N(Rc)C(O)O—Rd, —(CH2)n—Re or —O—(CH2)n—Re; Ra is cyano, acetylamino or N,N-dimethylamino; Rb is cyano or carbamoyl; Rc is H or methyl; Rd is alkyl; Re is pyrrolidin-1-yl, piperidin-1-yl, piperidin-3-yl, morpholin-1-yl, 2-oxopyrrolidin-1-yl, 5-oxopyrrolidin-2-yl, 2,5-dioxopyrrolidin-1-yl, 2-oxoimidazolidin-1-yl, 4-(tert-butoxycarbonyl)piperazin-1-yl, 4-(aminomethyl)cyclohexyl or heteroaryl; R4 is H or methyl; and to the salts of such compounds. These compounds are useful for the prevention or the treatment of bacterial infections.
US08889674B2 Piperidine and pyrrolidine derivatives having NPY Y5 receptor antagonism
The present invention discloses novel piperidine and pyrrolidine derivatives having NPY Y5 receptor antagonistic activity. Specifically, the present invention discloses a compound represented by the formula (I), a pharmaceutically acceptable salt or a solvate thereof: wherein A is substituted or unsubstituted monocyclic aryl or monocyclic heterocyclyl; X is a single bond or C(═O); Y is a single bond, CR5R6NR7 or C(═O)NR7; R1 and R2 are independently hydrogen or substituted or unsubstituted alkyl; R3 is substituted or unsubstituted aryl or heterocyclyl; R4 is halogen, substituted or unsubstituted alkyl, alkoxy, aryloxy or heterocyclyloxy, etc.; p is an integer of 0 to 2; q is 0 or 1; m is 0 or 1; n is an integer of 0 to 5; and B is aromatic carbocycle, monocyclic heterocycle or bicyclic fused hetero ring.
US08889673B2 Triazolopyridine JAK inhibitor compounds and methods
A compound of Formula I, enantiomers, diasteriomers, tautomers or pharmaceutically acceptable salts thereof, wherein R1, R2, R3, R4 and R5 are defined herein, are useful as JAK kinase inhibitors. A pharmaceutical composition that includes a compound of Formula I and a pharmaceutically acceptable carrier, adjuvant or vehicle, and methods of treating or lessening the severity of a disease or condition responsive to the inhibition of JAK kinase activity in a patient are disclosed.
US08889665B2 Chemical compounds
The present invention encompasses compounds of general formula (1), wherein the groups R1 to R3 and L are defined as in claim 1, which are suitable for the treatment of diseases characterised by excessive or abnormal cell proliferation, and their use for preparing a medicament having the above-mentioned properties.
US08889664B2 Phosphatidylinositol 3-kinase inhibitors and methods of their use
The present invention comprises small molecule inhibitors of phosphatidylinositol 3-kinase (PI3K), which is associated with a number of malignancies such as ovarian cancer, cervical cancer, breast cancer, colon cancer, rectal cancer, and glioblastomas, among others. Accordingly, the compounds of the present invention are useful for treating, preventing, and/or inhibiting these diseases.
US08889661B2 Treatment of lupus nephritis using laquinimod
This invention provides a method of treating a subject afflicted with active lupus nephritis comprising periodically administering to the subject an amount of laquinimod or pharmaceutically acceptable salt thereof effective to treat the subject. This invention also provides laquinimod or pharmaceutically acceptable salt thereof for use in treating a subject afflicted with active lupus nephritis. This invention further provides a pharmaceutical composition comprising an amount of laquinimod or pharmaceutically acceptable salt thereof for use in treating a subject afflicted with active lupus nephritis.
US08889657B2 Nanoparticle PEG modification with H-phosphonates
The present invention provides phosphonate conjugates and methods of preparing the phosphonate conjugates so as to allow, for example, improved methods and compounds for modifying the surface of a nanoparticle to increase in vivo circulation times and targeted delivery performance.
US08889656B2 Boron-containing small molecules
This invention relates to compounds useful for treating fungal infections, more specifically topical treatment of onychomycosis and/or cutaneous fungal infections. This invention is directed to compounds that are active against fungi and have properties that allow the compound, when placed in contact with a patient, to reach the particular part of the skin, nail, hair, claw or hoof infected by the fungus. In particular the present compounds have physiochemical properties that facilitate penetration of the nail plate.
US08889653B2 Grafting polymerization of guar and other polysaccharides by electron beams
A method of grafting galactomannan-type polysaccharide polymers, preferably guar, to a functional group by irradiation with high energy electron beams in the presence of an unsaturated monomer-compressing the described functional group. The method may include the depolymerization of the grafted polymer to a pre-selected low molecular weight. The preferred galactomannans for treatment according to this method are guar gum, guar splits and hydroxypropyl guar. In a preferred embodiment the guar gum is also depolymerized, preferably to a molecular weight of below about 700,000 Daltons, and most preferably to a molecular weight of between about 100,000 Daltons to about 250,000 Daltons. The depolymerized guar most preferably has a polydispersity of less than about 3.0 and is useful in oil well fracturing to enhance oil production.
US08889651B2 Trehalose derivatives, preparation method and uses thereof
The invention relates to trehalose derivatives with general formula (I), a preparation method and uses thereof, wherein 6,6′-bis(2,3-dimethoxybenzoyl)-α,α-D-trehalose has anti-colon cancer 26-L5 cell invasion activity which is better than that of a natural product Brartemicin, IC50 is 0.10 μg/mL (0.15 μM), and when the IC50 is 10 μg/mL, 6,6′-bis(2,3-dimethoxybenzoyl)-α,α-D-trehalose has no cytotoxicity, shows high-selectivity anti-tumor invasion activity and can be used for preparing medicaments for preventing and treating invasion and metastasis of colon cancer and the like.
US08889650B2 Antimelancholic medicine prepared with jujube camp materials
An antimelancholic pharmaceutical composition or health products prepared with jujuba cAMP materials and a preparative method are provided in the present invention. The present pharmaceutical composition includes jujuba cAMP as a solo effective ingredient for treating the depression. The present method for preparing the jujuba cAMP includes chromatographing a jujuba extract with a macroporous resin bound with an aldehyde group.
US08889649B2 Composition containing antisense oligonucleotide to micro RNA
Provided is a composition that contains an antisense oligonucleotide to a micro RNA and is capable of inhibiting the growth of cancer cells. The present invention, as one aspect, relates to a composition for suppressing the growth of human cancer cells, the composition containing an antisense oligonucleotide to a micro RNA, wherein the micro RNA is selected from the group consisting of hsa-miR-133a, hsa-miR-133b, hsa-miR-346 and hsa-miR-361-3p. The present invention, as another aspect, relates to a composition for suppressing the growth of human head/neck cancer cells, the composition containing an antisense oligonucleotide to a micro RNA, wherein the micro RNA is selected from the group consisting of hsa-miR-92a, hsa-miR-133a, hsa-miR-133b, hsa-miR-139-5p, hsa-miR-197, hsa-miR-328, hsa-miR-346, hsa-miR-361-3p, hsa-miR-605, hsa-miR-766, hsa-miR-1228, hsa-miR-1252, hsa-miR-1260 and hsa-miR-1271.
US08889644B2 GNAQ targeted dsRNA compositions and methods for inhibiting expression
The invention relates to a double-stranded ribonucleic acid (dsRNA) targeting a G-alpha q subunit (GNAQ) of a heterotrimeric G gene, and methods of using the dsRNA to inhibit expression of GNAQ.
US08889643B2 Isoform of bruton's tyrosine kinase (BTK) protein
The use of compounds is described which are capable of functionally blocking at least one of the genes chosen from the group composed of EphAI, EphA2, EphA8, EphB2, CSF1R, VEGFR2, RAMP2, RAMP3, CLRN1, MAPK4, PIK3C2A, PIK3CG, GSK3alpha, GSK3beta, IRAK3, DAPK1, JAK1, PIM1, TRB3, BTG1, LATS1, LIMK2, MYLK, PAK1, PAK2, CDC2, BTK, PNRC2, NCOA4, NR2C1, TPR, RBBP8, TRPC7, FXYD1, ERNI, PRSS16, RPS3, CCL23 and SERPINE1, for the manufacture of a medicament destined to diminish the resistance to chemotherapeutic drugs in the therapeutic treatment of epithelial tumor pathologies. Also described is a method for the determination of the drug resistance in tumor cells, as well as a method for the identification of tumor stem cells.
US08889640B1 Composition and method for the treatment of gastrin mediated cancers
Gastrin mRNA down-regulation using either stable transfection of an antisense gastrin cDNA or one of three shRNA (short hairpin RNA) constructs achieves significant reduction in growth of human pancreatic cancer. Tumor growth rate and incidence of metastases in both wild type and transfected pancreatic cancer cells is directly proportional to the degrees of gastrin mRNA expression. In order to avoid rapid degradation of injected siRNA, nanoliposomes can be loaded with gastrin siRNA and used to deliver the siRNA to the tumors. Significant reduction of tumors in mice using siRNA loaded nanoliposomes is achieved. Uptake of pegylated nanoliposomes by tumor cells depends upon the pegylation percentage.
US08889636B2 Anti-microbial compositions
Antimicrobial compounds from Epicoccum purpurascens (syn. E. negrum) for use against plant and fungi pathogens. An orange yellow metabolite was isolated, the structure elucidated as a small group of compounds Epipyrone A-C and fungicidal activity demonstrated against plant pathogens, for example Botrytis cinerea and Lecanicillium muscarium. Agricultural and pharmaceutical compositions are provided, and use thereof in treating microbial infections in an animal or plant are also provided.
US08889634B2 Short peptides useful for treatment of ischemia/reperfusion injury and other tissue damage conditions associated with nitric oxide and its reactive species
This invention discloses isolated short peptides comprising the amino acid sequence Cys-Glu-Phe-His (CEFH; SEQ ID NOS: 1 and 15) and analogs thereof as well as compositions comprising CEFH peptides and analogs thereof. The CEFH peptides disclosed herein are effective in mediating the denitration of 3-nitrotyrosines (3-NT) in cellular proteins thereby preventing tissue damage associated with excess nitric oxide (NO) and its reactive species. The CEFH peptides disclosed herein are useful in the treatment of ischemia/reperfusion (I/R) injury and other disorders.
US08889633B2 Nutritional compositions containing a peptide component with anti-inflammatory properties and uses thereof
The present disclosure relates to nutritional compositions comprising a protein equivalent source, wherein 20% to 80% of the protein equivalent source includes a peptide component comprising SEQ ID NO 4, SEQ ID NO 13, SEQ ID NO 17, SEQ ID NO 21, SEQ ID NO 24, SEQ ID NO 30, SEQ ID NO 31, SEQ ID NO 32, SEQ ID NO 51, SEQ ID NO 57, SEQ ID NO 60, and SEQ ID NO 63, and 20% to 80% of the protein equivalent source comprises an intact protein, a partially hydrolyzed protein, or combinations thereof. The disclosure further relates to methods of reducing the inflammatory response and/or production of proinflammatory cytokines, i.e. Interleukin-17, by providing said nutritional compositions to a target subject.
US08889632B2 Stabilized p53 peptides and uses thereof
Cross-linked peptides related to human p53 and bind to HMD2 or a family member of HDM2 useful for promoting apoptosis, e.g., in the treatment of and identifying therapeutic agents that binding to HMD2 or a family member of HDM2.
US08889631B2 Disruptors of early/recycling endosomes
A delivery system for introducing a cargo molecule into cytosol of a living cell can include: a first membrane binding element linked to an endosomal compartment disrupting element through a first linker having one or more anionic moieties; and a second membrane binding element linked to an exogenous cargo molecule through a second linker having one or more anionic moieties, the second linker having a region that is selectively cleavable, wherein the first and second membrane binding elements both induce endocytosis into an early/recycling endosome and the endosomal compartment disrupting element destabilizes the early/recycling endosome such that the exogenous cargo molecule is released from the second membrane binding element and into the cytosol of the living cell.
US08889628B2 Soluble tandem selectin glycoprotein ligand molecules
Recombinant proteins comprised of multiple selectin binding domains derived from the glycopeptide PSGL-1, in a novel tandem configuration, are disclosed, including their fusions with immunoglobulins and/or other polypeptides. Polynucleotides encoding such proteins, compositions and kits containing such proteins, and methods of using such proteins are also disclosed.
US08889625B2 Cardioprotective role of hepatic cells and hepatocyte secretory factors in myocardial ischemia
The present invention provides methods, compositions, and systems for treating a subject at risk for, with, or suspected of having, myocardial ischemia using hepatocyte secretory factors (e.g., AGP2, BMPER, FGF21, NRG4, and/or TFF3) or using factors that promote liver cell migration to ischemic myocardial tissue (e.g., IL-6 and/or MMP-2).
US08889623B2 Immunostimulatory nanoparticles and related compositions, methods and systems
Provided herein are immunostimulatory nanolipoprotein particles and related compositions methods and systems.
US08889622B2 Methods of inhibiting seizure in a subject
The present invention relates to methods of inhibiting seizure in a subject. In particular, the invention provides methods of increasing brain leptin levels in a subject.
US08889621B2 Inhibiting binding of FGF23 to the binary FGFR-Klotho complex for the treatment of hypophosphatemia
The present invention is directed to a method of treating hypophosphatemia in a subject. This method involves selecting a subject with hypophosphatemia associated with elevated or normal FGF23 and administering to the selected subject an inhibitor of FGF23-Klotho-FGF receptor complex formation under conditions effective to treat the hypophosphatemia.
US08889612B2 Method of laundering fabric using a compacted liquid laundry detergent composition
A method of laundering fabric including the step of contacting a liquid laundry detergent composition to water to form a wash liquor, and laundering fabric in the wash liquor, wherein the laundry detergent is contacted to water in such an amount so that the concentration of the laundry detergent composition in the wash liquor is from above 0 g/l to 4 g/l, and wherein from 0.01 kg to 2 kg of fabric per liter of wash liquor is dosed into the wash liquor, and wherein the liquid laundry detergent composition comprises: (i) detersive surfactant; (ii) surfactancy boosting polymer; (iii) from 0 wt % to 10 wt % fatty acid; (iv) silicone suds suppressor; (v) structurant; (vi) enzyme; and (vii) nil-boron enzyme stabilizer; wherein the electrolytic strength of the composition at a concentration of 1 g/l in de-ionized water and at a temperature of 25° C. in mScm−1 is less than 200 mScm−1.
US08889608B2 Lubricating oil composition
Provided are a lubricating oil base oil having characteristics of low volatility and excellent low-temperature fluidity and capable of providing long-lasting lubrication property in a wide temperature range from low temperature to high temperature, and a lubricating oil composition using the same. The lubricating oil base oil includes a diester obtained through a reaction between a diol component formed of 1,12-dodecanediol and a carboxylic acid component formed of 2-methylpentanoic acid or 2-methylpentanoic acid and 2-ethylhexanoic acid. The diester is represented by R2COOR1OOCR3, where R1 represents an alkylene derived from the diol component and R2 and R3 each represent an alkyl derived from the carboxylic acid component, and includes 45 to 100 mol % of a diester in which both of R2 and R3 represent C5 alkyls.
US08889607B2 Lubricating oil composition
A lubricating oil base oil includes, as a major component, a diester represented by the following formula (1), and a lubricating oil composition including the lubricating oil base oil. In the formula, R1 and R2 each independently represent a 1-ethylpentyl group, an n-heptyl group, or a n-hexyl group. When the diester in which both of R1 and R2 each represent an n-heptyl group or an n-hexyl group is defined as A, the diester in which one of R1 and R2 represents a 1-ethylpentyl group is B, and the diester in which both of R1 and R2 each represent a 1-ethylpentyl group is defined as C, the molar ratio of the diesters falls within a range of A:B:C=25 to 65:30 to 50:3 to 25. The lubricating oil base oil is suitable for a fluid dynamic pressure bearing, an oil-impregnated porous bearing, or a dynamic pressure-type oil-impregnated porous bearing.
US08889604B2 Method of preparing greases
Provided is a method for preparing a grease composition, which comprises mixing grease components under high pressure and high flow rate impingement. In one embodiment, a first mixture of an amine in a lubricating base oil is mixed with an isocyanate in a lubricating base oil under high pressure and high flow impingement. In another embodiment, the mixing and reaction occurs in a reaction injection molding device. The orifice size through which each of the mixtures is introduced into a reaction/mixing zone is less than 0.030 inch (0.0762 centimeter) in diameter. The resulting grease composition is an extremely low noise grease, being virtually clear of any urea thickener particles, and/or can exhibit good high temperature resistance and mechanical stability.
US08889603B2 Compositions and methods for diverting injected fluids to achieve improved hydrocarbon fluid recovery
The present disclosure is directed to compositions and methods that may be used for enhanced oil recovery, for modifying the permeability of subterranean formations and for increasing the mobilization and/or recovery rate of hydrocarbon fluids present in the formations. The compositions may include, for example, expandable cross linked polymeric microparticles having an unexpanded volume average particle size diameter of from about 0.05 to about 5,000 microns and a cross linking agent content of from about 100 to about 200,000 ppm of hydrolytically labile silyl ester or silyl ether crosslinkers and from 0 to about 300 ppm of non-labile crosslinkers.
US08889601B2 Controlling bioavailability of nutrient additions in subsurface formations
Controlling microbial growth and activity during Microbial Enhanced Oil Recovery processes is disclosed. Specific control of microbial growth and activity in this process results in prevention of nutrient loss in transit and allows better targeting of microbial activity to the desired subsurface location(s).
US08889596B2 Methods of bead manipulation and forming bead arrays
According to various embodiments, a method is provided that comprises washing an array of DNA-coated beads on a substrate, with a wash solution to remove stacked beads from the substrate. The wash solution can include inert solid beads in a carrier. The DNA-coated beads can have an average diameter and the solid beads in the wash solution can have an average diameter that is at least twice the diameter of the DNA-coated beads. The washing can form dislodged DNA-coated beads and a monolayer of DNA-coated beads. In some embodiments, first beads for forming an array are contacted with a poly(ethylene glycol) (PEG) solution comprising a PEG having a molecular weight of about 350 Da or less. In some embodiments, slides for forming bead arrays are provided as are systems for imaging the same.
US08889589B2 Layered solid sorbents for carbon dioxide capture
A solid sorbent for the capture and the transport of carbon dioxide gas is provided having at least one first layer of a positively charged material that is polyethylenimine or poly(allylamine hydrochloride), that captures at least a portion of the gas, and at least one second layer of a negatively charged material that is polystyrenesulfonate or poly(acryclic acid), that transports the gas, wherein the second layer of material is in juxtaposition to, attached to, or crosslinked with the first layer for forming at least one bilayer, and a solid substrate support having a porous surface, wherein one or more of the bilayers is/are deposited on the surface of and/or within the solid substrate. A method of preparing and using the solid sorbent is provided.
US08889585B2 Mesoporous carbon supported tungsten carbide catalysts, preparation and applications thereof
A supported tungsten carbide catalyst comprises tungsten carbide as its active component and a mesoporous carbon as its support, wherein tungsten carbide is highly dispersed on the surface and in the channels of the mesoporous carbon, and the content of tungsten element is in the range from 30% to 42% by mass based on the mesoporous carbon. This catalyst can be prepared by impregnation process. This catalyst can be used for the direct catalytic conversion of cellulose to ethylene glycol under the hydrothermal conditions and at a temperature of 245° C. and the hydrogen pressure of 6 MPa with high reactivity, selectivity and stability.
US08889583B2 Catalyst production
The present disclosure utilizes an emulsion aggregation (EA) process to produce ceramic catalysts of controlled surface area, in particular, extremely high surface area powders. In embodiments, resins are utilized to form resin catalysts including the active catalysts. These resin catalysts may be in powder form, having a high surface area. The particle size, particle size distribution, and shape of the produced powders can be controlled to a great degree. In embodiments, the powders can also be made porous.
US08889576B2 Composite ceramic material comprising zirconia
The invention relates to a composite ceramic material which comprises: (a) a first phase based on zirconia containing CeO2 as stabilizer, and (b) a second phase based on an aluminate. The invention also relates to a ceramic powder composition, processes for the preparation of the composite ceramic material and the ceramic powder composition as well as uses thereof.
US08889566B2 Low cost flowable dielectric films
A method of forming a dielectric layer is described. The method deposits a silicon-containing film by chemical vapor deposition using a local plasma. The silicon-containing film is flowable during deposition at low substrate temperature. A silicon precursor (e.g. a silylamine, higher order silane or halogenated silane) is delivered to the substrate processing region and excited in a local plasma. A second plasma vapor or gas is combined with the silicon precursor in the substrate processing region and may include ammonia, nitrogen (N2), argon, hydrogen (H2) and/or oxygen (O2). The equipment configurations disclosed herein in combination with these vapor/gas combinations have been found to result in flowable deposition at substrate temperatures below or about 200° C. when a local plasma is excited using relatively low power.
US08889563B2 Method and apparatus for etching the silicon oxide layer of a semiconductor substrate
An aspect of the invention is to provide a method and apparatus for etching the silicon oxide layer of a semiconductor substrate, whereby the processing time for cleaning or rinsing, as well as any undesired aftereffects by residual hydrofluoric acid, may be reduced, in using the dry etching method involving the use of dense carbon dioxide that contains hydrofluoric acid, during the manufacturing process of a micro-electronic device.
US08889561B2 Double sidewall image transfer process
Methodology enabling a generation of fins having a variable fin pitch less than 40 nm, and the resulting device are disclosed. Embodiments include: forming a hardmask on a substrate; providing first and second mandrels on the hardmask; providing a first spacer on each side of each of the first and second mandrels; removing the first and second mandrels; providing, after removal of the first and second mandrels, a second spacer on each side of each of the first spacers; and removing the first spacers.
US08889558B2 Methods of forming a pattern on a substrate
A method of forming a pattern on a substrate includes forming openings in material of a substrate. The openings are widened to join with immediately adjacent of the openings to form spaced pillars comprising the material after the widening. Other embodiments are disclosed.
US08889554B2 Semiconductor structure and method for manufacturing the same
The present invention provides a method for manufacturing a semiconductor structure, comprising: forming a first contact layer on an exposed active region of a first spacer; forming a second spacer at a region of the first contact layer close to a gate stack to partially cover the exposed active region; forming a second contact layer in the uncovered exposed active region, wherein when a diffusion coefficient of the first contact layer is the same as that of the second contact layer, the first contact layer has a thickness less than that of the second contact layer; and when the diffusion coefficient of the first contact layer is different from that of the second contact layer, the diffusion coefficient of the first contact layer is smaller than that of the second contact layer. Correspondingly, the present invention also provides a semiconductor structure. The present invention is beneficial to the suppression of the diffusion of corresponding compositions from the contact layers into the channel region, reduction of the short channel effects, and improvement of the reliability of the semiconductor structure.
US08889553B2 Method for polishing through-silicon via (TSV) wafers and a polishing composition used in the method
A method for polishing Through-Silicon Via (TSV) wafers is provided. The method comprises a step of subjecting the surface of a TSV wafer to a polishing treatment with a polishing composition containing an organic alkaline compound, an oxidizing agent selected from sodium chlorite and/or potassium bromate, silicon oxide abrasive particles, and a solvent to simultaneously remove Si and conductive materials at their respective removal rates. By using the method of this invention, Si and conductive materials can be simultaneously polished at higher removal rates to significantly save the necessary working-hour costs for polishing TSV wafers. A polishing composition used in the above method is also provided.
US08889547B2 Sputtering and aligning multiple layers having different boundaries
Provided are methods and systems for forming discreet multilayered structures. Each structure may be deposited by in situ deposition of multiple layers at one of multiple site isolation regions provided on the same substrate for use in combinatorial processing. Alignment of different layers within each structure is provided by using two or more differently sized openings in-between one or more sputtering targets and substrate. Specifically, deposition of a first layer is performed through the first opening that defines a first deposition area. A shutter having a second smaller opening is then positioned in-between the one or more targets and substrate. Sputtering of a second layer is then performed through this second opening that defines a second deposition area. This second deposition area may be located within the first deposition area based on sizing and alignment of the openings as well as alignment of the substrate.
US08889543B2 Method of fabricating semiconductor device
A method of fabricating a semiconductor device includes forming switching devices on a substrate. A lower structure is formed in the substrate having the switching devices. A lower conductive layer is formed on the lower structure. Sacrificial mask patterns are formed on the lower conductive layer. Lower conductive patterns are formed by etching the lower conductive layer using the sacrificial mask patterns as an etch mask. An interlayer insulating layer is formed on the substrate having the lower conductive patterns. Interlayer insulating patterns are formed by planarizing the interlayer insulating layer until the sacrificial mask patterns are exposed. Openings exposing the lower conductive patterns are formed by removing the exposed sacrificial mask patterns. Upper conductive patterns self-aligned with the lower conductive patterns are formed in the openings.
US08889536B2 Method for forming a dopant profile
A method is provided for forming a dopant profile based on a surface of a wafer-like semiconductor component with phosphorus as a dopant. The method includes the steps of applying a phosphorus dopant source onto the surface, forming a first dopant profile with the dopant source that is present on the surface, removing the dopant source, and forming a second dopant profile that has a greater depth in comparison to the first dopant profile. In order to form an optimized dopant profile, the dopant source is removed after forming the first dopant profile, and precipitates that are crystallized selectively on or in the surface from the precipitates SixPy and SixPyOz are removed.
US08889532B2 Method of making an insulated gate semiconductor device and structure
In one embodiment, a vertical insulated-gate field effect transistor includes a shield electrode formed in trench structure within a semiconductor material. A gate electrode is isolated from the semiconductor material using gate insulating layers. Before the shield electrode is formed, spacer layers can be used form shield insulating layers along portions of the trench structure. The shield insulating layers are thicker than the gate insulating layers. In another embodiment, the shield insulating layers have variable thickness.
US08889531B2 Semiconductor device having two monocrystalline semiconductor regions with a different lattice constant and a strained semiconductor region between
A semiconductor body comprised of a semiconductor material includes a first monocrystalline region of the semiconductor material having a first lattice constant along a reference direction, a second monocrystalline region of the semiconductor material having a second lattice constant, which is different than the first, along the reference direction, and a third, strained monocrystalline region between the first region and the second region.
US08889530B2 Formation of highly dislocation free compound semiconductor on a lattice mismatched substrate
A highly dislocation free compound semiconductor, e.g. AlxInyGa1-x-yN (0≦x, y≦1), is formed on a lattice mismatched substrate, e.g. Si, by first depositing a polycrystalline buffer layer on the substrate. A defective layer is then created at or near the interface of the substrate and the polycrystalline buffer layer, e.g. through ion implantation. A monocrystalline template layer of the compound semiconductor is then created on the buffer layer, and an epilayer of the compound semiconductor is grown on the template layer. A compound semiconductor based device structure may be formed in the epilayer.
US08889527B2 Phase change memory and method therefor
Briefly, in accordance with an embodiment of the invention, a phase change memory and a method to manufacture a phase change memory is provided. The phase change memory may include a memory material and a first tapered contact adjacent to the memory material. The phase change memory may further include a second tapered contact separated from the first tapered contact and adjacent to the memory material, wherein the first and second tapered contacts are adapted to provide a signal to the memory material.
US08889524B2 Substrate treating method, stack and semiconductor device
A method that includes, in the sequence set forth, (1) temporarily fixing a substrate onto a support via a temporary fixing material including a central section (A) having two or more layers and a peripheral section (B) with solvent resistance, section (B) being in contact with a peripheral portion of the support on the substrate side and with a peripheral portion of the substrate on the support side, section (A) being in contact with a central portion of the support on the substrate side and with a central portion of the substrate on the support side, the temporary fixing thus resulting in a stack in which section (A) is covered with the support, section (B) and the substrate; (2) processing the substrate and/or transporting the stack; (3) dissolving section (B) with a solvent; and (4) heating the residue of the temporary fixing material and separating the substrate from the support.
US08889523B2 Semiconductor process
A semiconductor process includes the following steps. A substrate having a recess is provided. A decoupled plasma nitridation process is performed to nitride the surface of the recess for forming a nitrogen containing liner on the surface of the recess. A nitrogen containing annealing process is then performed on the nitrogen containing liner.
US08889521B1 Method for silver deposition for a non-volatile memory device
A method of depositing a silver layer includes forming a plurality of openings in a dielectric layer to expose a top surface of a structure comprising a resistive memory layer on top of a p-doped silicon-containing layer on top of a conductive structure, depositing a first metal layer comprising a tungsten layer overlying the top surface of the structure, wherein a first metal material of the first metal layer contacts a resistive memory material of the resistive memory layer and exposing the first metal layer in a bath comprising a solution of silver species having an alkaline pH for a predetermined time to form a silver metal layer from the silver species from the solution overlying the resistive memory material, wherein the silver species is reduced by the first metal material, and wherein the first metal material is solubilized while forming the silver metal layer.
US08889520B2 Bipolar junction transistors, memory arrays, and methods of forming bipolar junction transistors and memory arrays
Some embodiments include methods of forming BJTs. A first type doped region is formed within semiconductor material. First and second trenches are formed within the semiconductor material to pattern an array of pedestals, and the trenches are filled with electrically insulative material. An upper portion of the first type doped region is counter-doped to form a first stack having a second type doped region over a first type doped region, and an upper portion of the first stack is then counter-doped to form a second stack having a second type doped region between a pair of first type doped regions. Some embodiments include a BJT array. A base implant region is between a pair of emitter/collector implant regions. Electrically insulative material is adjacent the base implant region, and contains at least about 7×1016 atoms/cm3 of base implant region dopant.
US08889519B2 Semiconductor device and method for manufacturing the same
The present invention discloses a semiconductor device, comprising: a substrate, a gate stack structure on the substrate, source and drain regions in the substrate on both sides of the gate stack structure, and a channel region between the source and drain regions in the substrate, characterized in that at least one of the source and drain regions comprises a GeSn alloy. In accordance with the semiconductor device and method for manufacturing the same of the present invention, GeSn stressed source and drain regions with high concentration of Sn is formed by implanting precursors and performing a laser rapid annealing, thus the device carrier mobility of the channel region is effectively enhanced and the device drive capability is further improved.
US08889517B2 Broadband dielectric reflectors for LED with varying thickness
A broadband, omnidirectional, multi-layer, dielectric reflector for an LED in a white light emitting device provides both near 100% reflectivity across the visible spectrum of light, and electrical insulation between the substrate and the electrical circuitry used to power and control the LED. When a sealant material, having a higher index of refraction than air, is used to protect the LED and the accompanying electrical circuitry, an aluminum reflector layer or substrate is provided to make up for the loss of reflectivity at certain angles of incidence. The dielectric reflector includes two separate sections with two different thicknesses, a thinner section below the LED providing better heat conductivity, and a thicker section surrounding the LED providing better reflectivity.
US08889516B2 Semiconductor device and fabrication method
A method is disclosed for fabricating a semiconductor structure. The method includes providing a semiconductor substrate having an oxide layer on a surface of the semiconductor substrate, and removing the oxide layer to expose the surface of the semiconductor substrate. The method also includes performing a thermal annealing process on the semiconductor substrate using an inert gas as a thermal annealing protective gas after removing the oxide layer, and forming an insulating layer on the semiconductor substrate after performing the thermal annealing process. Further, the method includes forming a high-K gate dielectric layer on a surface of the insulating layer, and forming a protective layer on a surface of the high-K gate dielectric layer.
US08889515B2 Method for fabricating semiconductor device by changing work function of gate metal layer
A semiconductor device includes a substrate including a first region and a second region, a gate dielectric layer formed on the substrate, and a metal electrode layer formed on the gate dielectric layer and including a compound of carbon and nitrogen, wherein a metal electrode formed from the metal electrode layer in the first region has a work function lower than a work function of a metal electrode formed from the metal electrode layer in the second region and a nitrogen concentration of the metal electrode of the first region is smaller than a nitrogen concentration of the metal electrode of the second region.
US08889513B2 Trench MOSFET with trenched floating gates having thick trench bottom oxide as termination
A power semiconductor power device having composite trench bottom oxide and multiple trench floating gates is disclosed. The gate charge is reduced by forming a pad oxide surrounding a HDP oxide on trench bottom. The multiple trenched floating gates are applied in termination for saving body mask.
US08889502B2 Finlike structures and methods of making same
Semiconductor materials, particularly III-V materials used to form, e.g., a finlike structure can suffer structural damage during chemical mechanical polishing steps. This damage can be reduced or eliminated by oxidizing the damaged surface of the material and then etching away the oxidized material. The etching step can be accomplished simultaneously with a step of etching back a patterned oxide layers, such as a shallow trench isolation layer.
US08889500B1 Methods of forming stressed fin channel structures for FinFET semiconductor devices
One illustrative method disclosed herein includes, among other things, forming a plurality of fin-formation trenches that define a fin, forming a first stressed layer within the trenches and above the fin and performing at least one etching process on the first stressed layer so as to define spaced-apart portions of the first stressed layer positioned at least partially within the trenches on opposite sides of the fin. The method also includes forming spaced-apart portions of a second stressed layer above the spaced-apart portions of the first layer, forming a third stressed layer above the fin between the spaced-apart portions of the second layer and, after forming the third layer, forming a conductive layer above the second and third layers.
US08889490B2 Memory device and manufacturing method thereof
As for a memory element implemented in a semiconductor device typified by an RFID, it is an object of the present invention to reduce manufacturing steps and to provide a memory element and a memory circuit having the element with reduced cost. It is a feature of the present invention that a memory element sandwiched between electrodes has an organic compound, and an electrode connected to a semiconductor element controlling the memory element functions as an electrode of the memory element. In addition, an extremely thin semiconductor film formed on an insulated surface is used for the memory element; therefore cost can be reduced.
US08889489B2 Metal injection molded heat dissipation device
A heat dissipation device is provided. The heat dissipation device includes an integrated heat spreader and a base plate coupled to the integrated heat spreader, wherein the base plate comprises a plurality of metal pellets to dissipate heat from the integrated heat spreader.
US08889485B2 Methods for surface attachment of flipped active componenets
A method for selectively transferring active components from a source substrate to a destination substrate includes pressing a first stamp having first pillars protruding therefrom against active components on the source substrate to adhere respective primary surfaces of the active components including electrical connections thereon to respective transfer surfaces of the first pillars. A second stamp having second pillars protruding therefrom is pressed against the active components on the first stamp to adhere respective secondary surfaces of the active components to respective transfer surfaces of the second pillars. The transfer surfaces of the second pillars have greater adhesive strength than the first pillars. The second stamp is pressed against a destination substrate to adhere the respective primary surfaces of the active components including the electrical connections thereon to a receiving surface of the destination substrate.
US08889484B2 Apparatus and method for a component package
A component package and a method of forming are provided. A first component package may include a first semiconductor device having a pair of interposers attached thereto on opposing sides of the first semiconductor device. Each interposer may include conductive traces formed therein to provide electrical coupling to conductive features formed on the surfaces of the respective interposers. A plurality of through vias may provide for electrically connecting the interposers to one another. A first interposer may provide for electrical connections to a printed circuit board or subsequent semiconductor device. A second interposer may provide for electrical connections to a second semiconductor device and a second component package. The first and second component packages may be combined to form a Package-on-Package (“PoP”) structure.
US08889483B2 Method of manufacturing semiconductor device including filling gap between substrates with mold resin
A method of manufacturing a semiconductor device in one exemplary embodiment includes preparing a first substrate and a second substrate, the first substrate including a bump electrode group formed of bump electrodes arrayed with a certain pitch, the number of bump electrodes along a first direction being larger than the number of bump electrodes along a second direction perpendicular to the first direction; joining the first substrate and the second substrate to each other through the bump electrodes so that a gap is formed between the first substrate and the second substrate; and filling the gap with a mold resin by causing the mold resin to flow in the gap from an edge of the first substrate along the second direction of the bump electrode group.
US08889478B2 Method for manufacturing nonvolatile semiconductor memory element, and nonvolatile semiconductor memory element
Provided is a method for manufacturing a variable resistance nonvolatile semiconductor memory element, and a nonvolatile semiconductor memory element which make it possible to operate at a low voltage and high speed when initial breakdown is caused, and exhibit favorable diode element characteristics. The method for manufacturing the nonvolatile semiconductor memory element includes, after forming a top electrode of a variable resistance element and at least before forming a top electrode of an MSM diode element, oxidizing to insulate a portion of a variable resistance film in a region around an end face of a variable resistance layer.
US08889473B2 Method for manufacturing two adjacent areas made of different materials
The invention relates to a method for manufacturing adjacent first and second areas of a surface, said areas consisting, respectively, of first and second materials that are different from each other. Said method involves: depositing a first liquid volume that encompasses the first area and comprises a solvent in which the first material is dispersed; depositing a second liquid volume that encompasses the second area and comprises a solvent in which the second material is dispersed; and removing the solvents. According to the invention, the solvents of the first and second volumes are immiscible, and the second volume is simultaneously or consecutively deposited with the deposition of the first volume, before the first volume reaches the second area.
US08889470B2 Thin film type solar cell and method for manufacturing the same
A thin film type solar cell and a method for manufacturing the same is disclosed, wherein the method comprises sequentially depositing a front electrode layer and a semiconductor layer on a substrate; forming a first separating channel by removing predetermined portions of the front electrode layer and the semiconductor layer; forming a contact portion and a second separating channel by removing predetermined portions of the semiconductor layer; forming a first insulating layer in the first separating channel; and forming a plurality of rear electrodes at fixed intervals by each second separating channel interposed in-between, wherein each rear electrode is electrically connected with the front electrode layer through the contact portion. The present invention needs only one cleaning process after carrying out the laser-scribing process, whereby the yield can be improved owing to the simplified manufacturing process. According to the present invention, there is no need to alternately load the substrate to the vacuum-deposition apparatus and the laser-scribing apparatus, whereby the apparatus structure is simple and the manufacturing time is decreased, thereby resulting in the improved yield.
US08889469B2 Multi-nary group IB and VIA based semiconductor
Methods and devices are provided for forming multi-nary semiconductor. In one embodiment, a method is provided comprising of depositing a precursor material onto a substrate, wherein the precursor material may include or may be used with an additive to minimize concentration of group IIIA material such as Ga in the back portion of the final semiconductor layer. The additive may be a non-copper Group IB additive in elemental or alloy form. Some embodiments may use both selenium and sulfur, forming a senary or higher semiconductor alloy. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims.
US08889467B2 Method of optimizing the band edge positions of the conduction band and the valence band of a semiconductor material for use in photoactive devices
The present invention relates to a semiconductor compound having the general formula AxB1-xCy, to a method of optimizing positions of a conduction band and a valence band of a semiconductor material using said semiconductor compound, and to a photoactive device comprising said semiconductor compound.
US08889463B2 Sloped structure, method for manufacturing sloped structure, and spectrum sensor
A method for manufacturing a sloped structure is disclosed. The method includes the steps of: (a) forming a sacrificial film above a substrate; (b) forming a first film above the sacrificial film, the first film having a first portion connected to the substrate, a second portion located above the sacrificial film, a third portion located between the first portion and the second portion, and a thin region in a portion of the third portion or in a boundary section between the second portion and the third portion and having a thickness smaller than the first portion; (c) removing the sacrificial film; and (d) bending the first film in the thin region, after the step (c), thereby sloping the second portion of the first film with respect to the substrate.
US08889462B2 Photovoltaic solar cells
The invention provides photovoltaic concentrator solar cells and a method of forming these from a semiconductor wafer. The method has the steps of first doping the rear surface of said wafer so as to provide a first doped region. Depositing passivation layers on the front and rear surfaces. Forming a deep groove in the rear surface through the passivation layer and doping said rear surface so as to provide an oppositely doped second doped region in the deep groove. Then an opening is formed through the rear passivation layer to the first doped region; and electrical contacts are formed on the rear surface to electrically connect to the first and second doped regions.The photovoltaic concentrator solar cell has a semiconductor wafer with a passivation layer deposited on front and rear surfaces; and a first doped region at the rear surface. It also has a p-type contact in an opening from the rear surface to contact with said first doped region; an n-type electrical contact in a deep groove extending into the wafer from the rear surface; and a second doped region, doped from with the groove after formation thereof but prior to formation of the n-type electrical contact.
US08889457B2 Composition having dispersion of nano-particles therein and methods of fabricating same
Compositions having a dispersion of nano-particles therein and methods of fabricating compositions having a dispersion of nano-particles therein are described. In an example, a method of forming a composition having a dispersion of nano-particles therein includes forming a mixture of semiconductor nano-particles and discrete prepolymer molecules. A polymer matrix is formed from the discrete prepolymer molecules. The polymer matrix includes a dispersion of the semiconductor nano-particles therein. In another example, a composition includes a medium including discrete prepolymer molecules. The medium is a liquid at 25 degrees Celsius. A plurality of semiconductor nano-particles is suspended in the medium.
US08889456B2 Method of fabricating uniformly distributed self-assembled solder dot formation for high efficiency solar cells
A method for fabricating a photovoltaic device includes performing a gettering process in a processing chamber which restricts formation of a layer of gettering materials on a substrate and forming a solder layer on the substrate. The solder layer is annealed to form uniformly distributed solder dots which grow on the substrate. The substrate is etched using the solder dots to protect portions of the substrate and form cones in the substrate such that the cones provide a three-dimensional radiation absorbing structure for the photovoltaic device.
US08889451B2 MEMS pressure transducer assembly and method of packaging same
An assembly (20) includes a MEMS die (22) having a pressure transducer device (40) formed on a substrate (44) and a cap layer (38). A packaging process (74) entails forming the device (40) on the substrate, creating an aperture (70) through a back side (58) of the substrate (44) underlying a diaphragm (46) of the device (40), and coupling a cap layer (38) to the front side of the substrate (44) overlying the device (40). A trench (54) is produced extending through both the cap layer (38) and the substrate (44), and surrounds a cantilevered platform (48) at which the diaphragm (46) resides. The die (22) is suspended above a substrate (26) so that a clearance space (60) is formed between the platform (48) and the substrate (26). The diaphragm (46) is exposed to an external environment (68) via the aperture (70) and the space (60), and an external port.
US08889449B2 Group III nitride semiconductor light-emitting element and method for producing the same
A method for producing a group III nitride semiconductor light-emitting device, by which a non-light-emitting region is easily formed, is disclosed. Mg is activated to convert a p-type layer into p-type, and a p-electrode is then formed on the p-type layer. An Ag paste is applied to a region on the p-electrode and overlapping an n-electrode formed in a subsequent step. Heat treatment is conducted to solidify the Ag paste, thereby forming an Ag paste solidified body. By this, a region overlapping the Ag paste solidified body in a planar view, of the p-type layer converts into a region having high resistance, and a high resistance region is formed. As a result, a region overlapping the high resistance region in a planar view, of a light-emitting layer becomes a non-light-emitting region.
US08889448B2 Method of fabricating a light-emitting element
Provided are a light-emitting element and a method of fabricating the same. The light-emitting element includes: a first pattern including conductive regions and non-conductive regions. The non-conductive regions are defined by the conductive regions. The light-emitting element also include an insulating pattern including insulating regions and non-insulating regions which correspond respectively to the conductive regions and non-conductive regions. The non-insulating regions are defined by the insulating regions. The light-emitting element further includes a light-emitting structure interposed between the first pattern and the insulating pattern. The light-emitting structure includes a first semiconductor pattern of a first conductivity type, a light-emitting pattern, and a second semiconductor pattern of a second conductivity type which are stacked sequentially. The light-emitting element also includes a second pattern formed in the non-insulating regions.
US08889447B2 Double layer interleaved p-n diode modulator
A method for fabricating an optical modulator includes forming n-type layer, a first oxide portion on a portion of the n-type layer, and a second oxide portion on a second portion of the n-type layer, patterning a first masking layer over the first oxide portion, portions of a planar surface of the n-type layer, and portions of the second oxide portion, implanting p-type dopants in the n-type layer to form a first p-type region and a second p-type region, removing the first masking layer, patterning a second masking layer over the first oxide portion, a portion of the first p-type region, and a portion of the n-type layer, and implanting p-type dopants in exposed portions of the n-type layer, exposed portions of the first p-type region, and regions of the n-type layer and the second p-type region disposed between the substrate and the second oxide portion.
US08889441B2 Method for manufacturing wafer-bonded semiconductor device
The invention provides a wafer-bonded semiconductor device wherein warpage generated when wafers are bonded is reduced at a low cost ad through a simple process.In a method for manufacturing a wafer-bonded semiconductor device by bonding a first wafer substrate and a second wafer substrate together, the method of the invention includes a first step of forming in advance bonding members having a bonding function when heated on the wafer-bonded surface sides of the first wafer substrate and the second wafer substrate, respectively; a second step of supplying flux paste containing two or more kinds of powdery materials having reactivity to the surfaces of the bonding members formed in the first step; and a third step of causing excitation to have the flux paste supplied in the second step start reacting.
US08889439B2 Method and apparatus for packaging phosphor-coated LEDs
The present disclosure involves a method of packaging light-emitting diodes (LEDs). According to the method, a plurality of LEDs is provided over an adhesive tape. The adhesive tape is disposed on a substrate. In some embodiments, the substrate may be a glass substrate, a silicon substrate, a ceramic substrate, and a gallium nitride substrate. A phosphor layer is coated over the plurality of LEDs. The phosphor layer is then cured. The tape and the substrate are removed after the curing of the phosphor layer. A replacement tape is then attached to the plurality of LEDs. A dicing process is then performed to the plurality of LEDs after the substrate has been removed. The removed substrate may then be reused for a future LED packaging process.
US08889438B2 Peeling apparatus and manufacturing apparatus of semiconductor device
To eliminate electric discharge when an element formation layer including a semiconductor element is peeled from a substrate used for manufacturing the semiconductor element, a substrate over which an element formation layer and a peeling layer are formed and a film are made to go through a gap between pressurization rollers. The film is attached to the element formation layer between the pressurization rollers, bent along a curved surface of the pressurization roller on a side of the pressurization rollers, and collected. Peeling is generated between the element formation layer and the peeling layer and the element formation layer is transferred to the film. Liquid is sequentially supplied by a nozzle to a gap between the element formation layer and the peeling layer, which is generated by peeling, so that electric charge generated on surfaces of the element formation layer and the peeling layer is diffused by the liquid.
US08889435B2 Plasma density control
A first embodiment is a method for semiconductor processing. The method comprises forming a component on a wafer in a chamber; determining a non-uniformity of the plasma in the chamber, the determining being based at least in part on the component on the wafer; and providing a material on a surface of the chamber corresponding to the non-uniformity. The forming the component includes using a plasma. The material can have various shapes, compositions, thicknesses, and/or placements on the surface of the chamber. Other embodiments include a chamber having a material on a surface to control a plasma uniformity.
US08889433B2 Spin hall effect assisted spin transfer torque magnetic random access memory
Embodiments are directed to providing a spin hall effect (SHE) assisted spin transfer torque magnetic random access memory (STT-MRAM) device by coupling a magnetic tunnel junction (MTJ) to a SHE material, and coupling the SHE material to a transistor. Embodiments are directed to a spin transfer torque magnetic random access memory (STT-MRAM) device comprising: a magnetic tunnel junction (MTJ) coupled to a spin hall effect (SHE) material, and a transistor coupled to the SHE material.
US08889432B2 Heat treatment apparatus and method of manufacturing semiconductor device
Provided is a heat treatment apparatus including a treatment chamber housing a silicon substrate, a heater being provided in the treatment chamber and heating the silicon substrate, and an atmosphere adjustment mechanism reducing a concentration of oxygen contained in an atmosphere inside the treatment chamber to less than an oxygen concentration in the air. The atmosphere adjustment mechanism is provided with an oxygen trap, for example.
US08889429B2 Water-soluble nanocrystals through dual-interaction ligands
A dual-interaction ligand for rendering otherwise hydrophobic nanoparticles water soluble or suspendable has a hydrophilic base with a plurality of hydrophilic segments extending from a core of the base, where at least one segment or the core contains a hydrophobic groups capable of forming van der Waal interaction between hydrophobic groups of the dual-interaction ligand and other hydrophobic ligands, and at least one complexing functionality to complex a metal atom or ion of a nanoparticle. The dual-interaction ligands can be combined with hydrophobic nanoparticles, where the dual-interaction ligands can displace some or all of the hydrophobic ligands of the hydrophobic nanoparticles, to form a nanoparticle-dual interaction ligand complex that can be dissolved or dispersed readily in an aqueous solution. The dual interaction ligand can be functionalized to attach an antibody or other biomolecules such that the nanoparticle dual-interaction ligands complexes can contain biomolecules. Such biomolecules modified nanoparticle dual-interaction ligands can be used for sensing, labeling, optical imaging, magnetic resonance imaging, cell separation, and treatment of diseases.
US08889425B2 Means and methods for the determination of camp in vitro and in vivo
Described is a chimeric peptide, comprising, in order, (a) a first detectable label (b) a cAMP binding moiety having only one cAMP binding site and (c) a second detectable label least two detectable labels is describe. The chimeric peptide is useful for direct determination of cAMP concentration in vitro and/or in vivo. Also described are nucleic acids encoding the chimeric peptide, methods of making and modifying the chimeric peptide, a method for determining the cAMP concentration, and kits.
US08889414B2 Semiconductor nanocrystal-based phagokinetic tracking
Methods for determining metabolic properties of living cells through the uptake of semiconductor nanocrystals by cells. Generally the methods require a layer of neutral or hydrophilic semiconductor nanocrystals and a layer of cells seeded onto a culture surface and changes in the layer of semiconductor nanocrystals are detected. The observed changes made to the layer of semiconductor nanocrystals can be correlated to such metabolic properties as metastatic potential, cell motility or migration.
US08889413B2 Mammary artery derived cells and methods of use in tissue repair and regeneration
An isolated mammalian internal mammary artery-derived cell is disclosed. Furthermore, methods of isolating the mammalian internal mammary artery-derived cell are disclosed. The cell is useful in tissue engineering technologies, specifically in vascular tissue engineering.
US08889412B2 Methods of enhancing pluripotentcy
We provide for the use of Tbx3 (GenBank Accession Number: NM_005996.3 (SEQ ID NO. 1), NP_005987.3 (SEQ ID NO. 2), NM_016569.3 (SEQ ID NO. 3), NP_057653.3 (SEQ ID NO. 4)) in a method of enhancing or inducing pluripotency in a cell such as a somatic cell. We describe a method of reprogramming a cell, the method comprising modulating the expression and/or activity of Tbx3 in the cell. The cell may become a pluripotent cell such as a stem cell. We further describe a method of causing a cell such as a somatic cell to display one or more characteristics of a pluripotent cell, the method comprising modulating the expression and/or activity of Tbx3 in the cell. The method may further comprise modulating the expression and/or activity of one or more, a combination of or all of Oct4, Sox2 and Klf4 in the cell.
US08889411B2 Modulation of stem and progenitor cell differentiation, assays, and uses thereof
The present invention relates to methods of modulating mammalian stem cell and progenitor cell differentiation. The methods of the invention can be employed to regulate and control the differentiation and maturation of mammalian, particularly human stem cells along specific cell and tissue lineages. The methods of the invention relate to the use of certain small organic molecules to modulate the differentiation of stem or progenitor cell populations along specific cell and tissue lineages, and in particular, to the differentiation of embryonic-like stem cells originating from a postpartum placenta or for the differentiation of early progenitor cells to a granulocytic lineage. Finally, the invention relates to the use of such differentiated stem or progenitor cells in transplantation and other medical treatments.
US08889410B2 Mammalian cell lines for increasing longevity and protein yield from a cell culture
Disclosed are compositions and methods for increasing the longevity of a cell culture and permitting the increased production of proteins, preferably recombinant proteins, such as antibodies, peptides, enzymes, growth factors, interleukins, interferons, hormones, and vaccines. Cells transfected with an apoptosis-inhibiting gene or vector, such as a triple mutant Bcl-2 gene, can survive longer in culture, resulting in extension of the state and yield of protein biosynthesis. Such transfected cells exhibit maximal cell densities that equal or exceed the maximal density achieved by the parent cell lines. Transfected cells can also be pre-adapted for growth in serum-free medium, greatly decreasing the time required to obtain protein production in serum-free medium. In certain methods, the pre-adapted cells can be used for protein production following transformation under serum-free conditions. The method preferably involves eukaryotic cells, more preferably mammalian cells.
US08889408B2 Factor taking part in transcription control
HDART binds with HDAC (histone deacetylase) and functions as a repressor. HDART directly binds with Skip, which functions as a transcription co-activator of nuclear receptors, to repress the transcription by the nuclear receptor. Moreover, HDART is a transcription co-repressor of nuclear receptors, and binds with HDAC wherein transcription can be strongly repressed through the histone deacetylization of HDAC. On the other hand, a dominant negative peptide of HDART can be obtained, and it has been confirmed that, in contrast with the full-length HDART protein, this peptide activates transcription. In particular, the ability of this peptide to activate transcription by the retinoic acid receptor exceeds that of all-trans retinoic acid (ATRA).
US08889406B2 Method and apparatus for cultivating cells utilizing wave motion
An apparatus for cultivating cells utilizing wave motion comprising a container, a retaining member configured to retain the container, a drive assembly for swiveling the container with respect to the substantially horizontal pivot axis and to swivel, such that during swiveling the pivot axis follows a cyclical closed-loop path.
US08889405B2 Biochip and target DNA quantitative method
A biochip used for quantitative analysis of a target DNA contained in a sample. The biochip includes a type I chamber that includes a primer designed to bind to the target DNA, an internal standard DNA of a first amount that has a sequence different from a sequence of the target DNA, and is amplifiable with the primer, and a fluorescent probe that is designed to bind to a part of a PCR product of the target DNA and to a part of a PCR product of the internal standard DNA. The fluorescent probe fluoresces differently for the PCR product of the target DNA and the PCR product of the internal standard DNA. The biochip also includes a type II chamber that includes the internal standard DNA of a second amount the primer, and the fluorescent probe. The first and second amounts are different.
US08889404B2 Method and apparatus for producing biogas
A method and apparatus for producing biogas from organic matter including a container (1) which is charged with fermentation substrate by a delivery system (13), and at least two stirring mechanisms (2) arranged in the container, the stirring mechanisms having propellers (3) which are rotated and generate mostly horizontal currents of the fermentation substrate in the container. The propeller diameters, the propeller geometries, and the positions of the propellers in the container are selected such that a shared mixing zone of the medium is generated in the container. Data for determining the mean speed and/or the viscosity of the medium in the mixing zone are detected and transmitted to a control unit (4) which varies actuating variables which modify the power input of the stirring mechanism into the mixing zone and/or the composition and/or the flow behavior of the container contents.
US08889401B2 Production of oil in microorganisms
The invention provides methods of cultivating oil-bearing microbes using cellulosic material. Also provided are microorganisms containing one or more exogenous genes that facilitate the use of cellulosic materials as a feedstock. Also provided are microorganisms and methods for manufacturing non-alcohol-based fuels and fuel feedstocks through a process of converting cellulosic materials into oils.
US08889400B2 Diluting exhaust gas being supplied to bioreactor
There is provided a process of growing a phototrophic biomass in a reaction zone. Prior to supplying a reaction zone feed material, including gaseous exhaust from a gaseous exhaust material producing process, supplying the reaction zone feed material with a supplemental gaseous dilution agent, wherein the carbon dioxide concentration of the supplemental gaseous dilution agent is less than the carbon dioxide concentration of the gaseous exhaust material which is supplied to the reaction zone feed material.
US08889395B2 Crystal metabolite recovery
A method for producing a crystalline and/or amorphous metabolite suspension from a cell fermentation broth in a continuous centrifuge process comprising (a) adding at a separate inlet to the centrifuge the fermentation broth comprising the cells and the metabolite of interest, wherein the metabolite is partly or wholly on crystalline and/or amorphous form; (b) adding at another inlet to the centrifuge an aqueous liquid comprising a salt and/or a carbohydrate having a higher density than the cells and a lower density than the metabolite of interest in its precipitated form; (c) removing the cells at a separate outlet to the centrifuge; and (d) removing the suspension comprising the crystalline and/or amorphous metabolite of interest at another outlet to the centrifuge.
US08889392B2 Monolignol 4-O-methyltransferases and uses thereof
Modified (iso)eugenol 4-O-methyltransferase enzymes having novel capacity for methylation of monolignols and reduction of lignin polymerization in plant cell wall are disclosed. Sequences encoding the modified enzymes are disclosed.
US08889389B2 Process for producing protein A-like protein with use of Brevibacillus genus bacterium
The present invention relates to an efficient and economical process for producing a protein A-like protein. Hosts such as Escherichia coli and Bacillus subtilis have been used in the production of a protein A-like protein using a genetic recombination technique and however, their low productivity has been a big cause of high cost. Thus, it has been desired strongly to immediately establish a technique enabling the inexpensive, large-scale production of a protein A-like protein using recombinant DNA techniques other than Escherichia coli and Bacillus subtilis. The present invention provides a process for producing a protein A-like protein in large amounts, for example, a process comprising allowing a recombinant Brevibacillus genus bacterium to express and secrete the protein in large amounts into a culture solution and separating and collecting the accumulated protein A-like protein from the culture solution.
US08889388B2 Acoustic device and methods thereof for separation and concentration
The invention provides a settling device comprising an acoustic wave generator and an inclined settling chamber. The angle θ between the acoustic wave direction and the inclined settling chamber is greater than 0 and less than 90°. The invention also provides a concentration method and a separation method using the device. The invention can be used to concentrate or separate particles such as inorganic particles, organic particles, and biological particles, for example, mammalian cells, bacteria, yeast, algae, and plant cells. The invention exhibits technical merits such as higher efficiency, cost-effectiveness, and large-scale production.
US08889386B2 Method for producing bio-alcohol using nanoparticles
Disclosed is a method for producing a bioalcohol based on the fermentation of syngas. The method includes adding hydrophilic nanoparticles surface modified with hydrophobic groups to a culture medium for fermentation. Also disclosed are hydrophilic nanoparticles surface modified with hydrophobic groups for enhancing bioalcohol yield. The hydrophilic nanoparticles may be, for example, silica nanoparticles.
US08889384B2 Process for the production of alcohols from biomass
Alcohols useful as fuel compositions are produced from biomass by: contacting the biomass with a cooking liquor containing 1 to 20 wt %, based on the cooking liquor, of sodium hydroxide, and under conditions effective to provide a pulp stream containing pulp having a lignin content of 5% to 20% by weight, based on the pulp washing the pulp stream; hydrolyzing the washed pulp stream with an enzyme solution; fermenting the hydrolyzate in the presence of a microorganism, one alcohol having 2 to 18 carbon atoms.
US08889383B2 Production of mevalonate, isoprene, and isoprenoids using genes encoding polypeptides having thiolase, HMG-CoA synthase and HMG-CoA reductase enzymatic activities
The invention features compositions and methods for the increased production of mevalonate, isoprene, isoprenoid precursor molecules, and/or isoprenoids in microorganisms via the heterologous expression of the mvaE and mvaS genes from the organisms Listeria grayi DSM 20601, Enterococcus faecium, Enterococcus gallinarum EG2, and Enterococcus casseliflavus.
US08889382B2 Process for cultivating microorganisms of the genus Thraustochytriales
The invention relates to an optimized method for the production of PUFAs by cultivating microorganisms belonging to the group of Stramenopiles in a fermentation medium that is pH-stabilized using calcium carbonate and comprises 3-15 g/L CaCO3, whereupon the PUFAs are isolated from the microorganisms and/or the medium. The invention particularly relates to novel optimized media having a different CaCO3 content. By using adequate quantities of CaCO3, the process can be significantly simplified during fermentation while greater quantities of DHA can be obtained at an increased oil content in the biomass. They allow microorganisms belonging to the Stramenopiles to be fermented without controlling the pH, thereby substantially improving and significantly simplifying PUFA production.
US08889381B2 Diterpene synthases and method for producing diterpenoids
Provided herein are diterpene synthases (diTPS) and methods for producing diterpenoids. Also provided herein are nucleic acid sequences encoding diTPS, diTPS amino acid sequences, diTPS proteins, vectors, cells, transgenic organisms, uses, compositions, methods, processes, and kits thereof.
US08889378B2 Cytochrome C synthesis inhibitors
The invention provides methods for identifying a compound that inhibits cytochrome c synthesis. This invention further provides a method for the high throughput screening of compounds that inhibit cytochrome c synthesis.
US08889374B2 Recombinant codon optimised factor H
The present invention relates to recombinant factor H and variants and conjugates thereof and methods of their production, as well as uses and methods of treatment involving the materials.
US08889370B2 Method of determining inhibitors of coagulation
A homogeneous method of determining inhibitors of proteolytically active coagulation factors (anticoagulants) in a sample, in particular direct thrombin and factor Xa inhibitors, and also a test kit to be used in such a method. Use is made of ligands which bind to the proteolytically active coagulation factor but are not cleaved by the latter and compete with the anticoagulant to be determined.
US08889365B2 Methods and kit for detecting breast cancer
The present inventions relates to kits and methods for diagnosing and monitoring breast cancer. An increase in the level or activity of proteins of the ubiquitin/proteasome pathway, and ancillary proteins thereof, as compared to normal control or benign tissue is indicative of breast cancer.
US08889363B2 Method for the detection and identification of a variant C. difficile strain in a sample
The method for detecting and identifying a variant C. difficile strain in a sample is characterized by the following steps: (a) obtaining a sample of excreta or tissue from a human or animal patient body; (b) bringing the sample into contact with at least one antibody from each of at least three of the antibody groups group I, group II, group III, group IV, group V and group VI; (c) detecting the antibody reaction and constructing the reaction pattern; (d) comparing the reaction pattern obtained in (c) with reference patterns from known C. difficile strains and C. difficile strains the presence of which is to be tested in the investigation test; (e) assessing the agreement between the reaction pattern obtained in (c) and the reference pattern as indicative of the presence of the C. difficile strain of the reference pattern concerned in the sample.
US08889362B2 Cell classification system
The present invention involves the reaction of a CD4 immuno-conjugate with a sample of patient whole blood. The CD4 immuno-conjugate consists of one or more antibodies with specificity for the CD4 surface receptor coupled to a signal moiety, or “label”, that is detectable by a flow cytometer. Such labels may generate a signal by such means as fluorescence properties, light scatter properties, electronic properties, or magnetic properties. The CD4 immuno-conjugate binds to both the CD4 positive lymphocytes (Helper T cells) and all monocytes. Differential detection means are employed to count immuno-conjugate labeled Helper T cells. The present invention distinguishes itself by simultaneously measuring the signal level from monocytes as a means to verify sufficient activity of the anti-CD4 antibody.
US08889361B2 Gene expression signatures in enriched tumor cell samples
The invention is embodied in methods for finding gene expression signatures of circulating melanoma cells, ovarian, breast, colorectal cancer cells, and circulating endothelial progenitor cells, which signatures are effective in distinguishing the circulating cancer cell from normal circulating cells and can also distinguish between different types of circulating cancer cells.
US08889360B2 Thermal cycler and thermal cycling method
A thermal cycler includes a holder to which a biotip having a longitudinal direction is attached in such a manner that one end portion of the biotip is at a higher level than the other end portion, and that the distance between one end portion of the biotip and the rotational axis is shorter than the distance between the other end portion of the biotip and the rotational axis, a heating unit heats a first end portion of the biotip, a rotating unit rotates the holder, and a controller that controls the rotation speed of the rotating unit. The controller has a first mode a rotation speed at which the magnitude of the centrifugal force acting on the reaction mixture becomes smaller than the gravity, and a second mode a rotation speed at which the magnitude of the centrifugal force acting on the reaction mixture becomes greater than the gravity.
US08889357B2 Enclosed unit for rapid detection of a target nucleic acid amplification product
The invention relates to a method for rapid detection of a target nucleic acid amplification product while preventing cross-contamination between target nucleic acid amplification products and avoiding false positives, comprising the steps of: a) leaving the reaction tube unopened after the amplification reaction is finished, so as to prevent the target nucleic acid amplification product from leaking out and resulting in contamination; b) placing the unopened reaction tube inside an enclosed unit, making the target nucleic acid amplification product be transferred to a test strip from the reaction tube in a physically enclosed environment; c) performing detection in a visual read-out manner, and determining the result; d) discarding the enclosed unit in a safety place as a whole without opening it after the detection. The invention also relates to a totally enclosed unit for detecting a target nucleic acid amplification product, and still relates to applications of the totally enclosed rapid detection unit in detection of infectious pathogens, food industry, agriculture, livestock husbandry, customs quarantine control, and determination of DNA.
US08889356B2 CRISPR-Cas nickase systems, methods and compositions for sequence manipulation in eukaryotes
The invention provides for systems, methods, and compositions for manipulation of sequences and/or activities of target sequences. Provided are vectors and vector systems, some of which encode one or more components of a CRISPR complex, as well as methods for the design and use of such vectors. Also provided are methods of directing CRISPR complex formation in eukaryotic cells and methods for selecting specific cells by introducing precise mutations utilizing the CRISPR/Cas system.
US08889355B2 Chimeric oligonucleotides for ligation-enhanced nucleic acid detection, methods and compositions therefor
Ligation-enhanced nucleic acid detection assay embodiments for detection of RNA or DNA are described. The assay embodiments rely on ligation of chimeric oligonucleotide probes to generate a template for amplification and detection. The assay embodiments are substantially independent of the fidelity of a polymerase for copying compromised nucleic acid. Very little background amplification is observed and as few as 1000 copies of target nucleic acid can be detected. Method embodiments are particularly adept for detection of RNA from compromised samples such as formalin-fixed and paraffin-embedded samples. Heavily degraded and cross-linked nucleic acids of compromised samples, in which classic quantitative real time PCR assays typically fail to adequately amplify signal, can be reliably detected and quantified.
US08889341B2 Negative-working lithographic printing plate precursors and use
A negative-working lithographic printing plate precursor comprises a negative-working radiation-sensitive imageable layer and an outermost layer comprising a vinyl alcohol copolymer comprising at least one unit of each of the (a), (b), and (c) recurring units, in any order, defined in the disclosure. The (c) recurring units are present in the vinyl alcohol copolymer in an amount of at least 0.5 mol %, based on the total recurring units. These precursors can be used to prepare lithographic printing plates either on-press or off-press after imaging using near-UV, visible, or infrared radiation.
US08889338B2 Flexographic printing forme precursor for laser engraving
A method of making a flexographic printing form precursor for laser engraving including the steps of (i) providing at least one layer of a curable composition on a substrate; (ii) curing the at least one layer; wherein the curable composition defining an outermost layer includes at least 0.5% by weight relative to the total weight of the composition of an organo-silicon compound including at least one polymerizable group; and a urethane (meth)acrylate oligomer having three or less polymerizable groups.
US08889337B2 Film forming method, film forming apparatus and pattern forming method
Such a film forming method is provided that can prevent peeling of surface films including a resist film from a substrate during immersion exposure.The film forming method includes the steps of forming surface films including a resist film and a protective film covering the resist film over a surface of a wafer, and forming an edge cap film by supplying an edge cap film material to at least a boundary portion including a periphery of the wafer and peripheries of the surface films such as the protective film.
US08889334B2 Spin-on anti-reflective coatings for photolithography
Anti-reflective coating materials for ultraviolet photolithography include at least one absorbing compounds and at least one pH tuning agent that are incorporated into spin-on materials. Suitable absorbing compounds are those that absorb around wavelengths such as 365 nm, 248 nm, 193 nm and 157 nm that may be used in photolithography. Suitable pH tuning agents not only adjust the pH of the final spin-on composition, but also influence the chemical performance and characteristics, mechanical performance and structural makeup of the final spin-on composition that is part of the layered material, electronic component or semiconductor component, such that the final spin-on composition is more compatible with the resist material that is coupled to it. A method of making absorbing and pH tuned spin-on materials includes combining at least one organic absorbing compound and at least one pH tuning agent with at least one silane reactant during synthesis of the spin-on materials and compositions.
US08889329B1 Alumina nanotubes as a toner additive to reduce impaction
The disclosure relates generally to toner additives, and in particular, toner additives that provide reduced impaction within a toner particle and improved adhesion to the toner particle. The toner additives include alumina nanotubes, and may be used with other non-conventional additives such as silica nanotubes and titania nanotubes.
US08889324B2 Image bearing member, image forming method, image forming apparatus, and process cartridge
An image bearing member including an electroconductive substrate, and a photosensitive layer provided overlying the electroconductive substrate, the photosensitive layer containing at least one charge transport materials selected from the group consisting of a naphthalene tetracarboxylic acid diimide-isoindol derivative represented by the following chemical structure 1, a naphthalimide-isoindol derivative represented by the following chemical structure 2, and a triphenyl amine-isoindol derivative represented by the following chemical structure 3, where R1 and R2 independently represent a hydrogen atom, a substituted or non-substituted alkyl group, an alkoxy group, a substituted or non-substituted aromatic hydrocarbon group, a halogen atom, and a nitro group, k represents an integer of 1 to 4, and l represents an integer of from 1 to 5 and R3 represents a substituted or non-substituted alkyl group, a substituted or non-substituted cycloalkyl group, and a substituted or non-substituted aromatic hydrocarbon group, where R1, R2, and R4 independently represent a hydrogen atom, a substituted or non-substituted alkyl group, an alkoxy group, a substituted or non-substituted aromatic hydrocarbon group, a halogen atom, and nitro group, and k represents an integer of 1 to 4, l represents an integer of from 1 to 5, and m represents an integer of 1 to 6, and where R1, R2, R5, and R6 independently represent a hydrogen atom, a substituted or non-substituted alkyl group, an alkoxy group, a substituted or non-substituted aromatic hydrocarbon group, a halogen atom, and nitro group, and k represents an integer of 1 to 4, and l, n, and p represent integers of from 1 to 5.
US08889312B2 Instrumented fluid-surfaced electrode
An electrochemical device (such as a battery) includes at least one electrode having a fluid surface and one or more sensors configured to detect an operating condition of the device. Fluid-directing structures may modulate flow or retain fluid in response to the sensors. An electrolyte within the device may also include an ion-transport fluid, for example infiltrated into a porous solid support.
US08889301B2 Gel polymer electrolytes for batteries
Nanostructured gel polymer electrolytes that have both high ionic conductivity and high mechanical strength are disclosed. The electrolytes have at least two domains—one domain contains an ionically-conductive gel polymer and the other domain contains a rigid polymer that provides structure for the electrolyte. The domains are formed by block copolymers. The first block provides a polymer matrix that may or may not be conductive on by itself, but that can soak up a liquid electrolyte, thereby making a gel. An exemplary nanostructured gel polymer electrolyte has an ionic conductivity of at least 1×10−4 S cm−1 at 25° C.
US08889300B2 Lithium-based high energy density flow batteries
Systems and methods in accordance with embodiments of the invention implement a lithium-based high energy density flow battery. In one embodiment, a lithium-based high energy density flow battery includes a first anodic conductive solution that includes a lithium polyaromatic hydrocarbon complex dissolved in a solvent, a second cathodic conductive solution that includes a cathodic complex dissolved in a solvent, a solid lithium ion conductor disposed so as to separate the first solution from the second solution, such that the first conductive solution, the second conductive solution, and the solid lithium ionic conductor define a circuit, where when the circuit is closed, lithium from the lithium polyaromatic hydrocarbon complex in the first conductive solution dissociates from the lithium polyaromatic hydrocarbon complex, migrates through the solid lithium ionic conductor, and associates with the cathodic complex of the second conductive solution, and a current is generated.
US08889299B2 Positive active material and method of preparing same and rechargeable lithium battery including same
Disclosed are a positive active material that includes a core particle including a lithium-containing compound configured to reversibly intercalate and deintercalate lithium, and a coating layer on a surface of the core particle, the coating layer including a material including a carbon-fluorine (C—F) bond, a method of manufacturing the same, and a rechargeable lithium battery including the positive active material.
US08889287B2 Bipolar battery
A voltage detection terminal (27a-27e, 27aa-27ee, 27aaa-27eee) and a discharge terminal (21a-21e) are connected to a peripheral edge portion of a collector (4a-4e) of a bipolar battery (2). Assuming a first straight line (Da1) that connects a centroid of the collector (4a-4e) and the voltage detection terminal (27a-27e) and a second straight line (Da2) that is orthogonal to the first straight line (Da1), the discharge terminal (21a-21e) is disposed on an opposite side of the second straight line (Da2)-the voltage detection terminal (27a-27e). A requirement relating to measurement of a voltage of the collector (4a-4e) and a requirement relating to discharge are thereby both satisfied.
US08889284B2 Highly porous separator foil
The invention relates to a biaxially oriented single- or multilayer porous foil, the porosity of which is generated by transformation of ss-crystalline polypropylene during orientation of the foil. The Gurley value of the foil is <250 s. The invention also relates to a process for producing the foil by using a low transverse stretching velocity for the transverse orientation process.
US08889283B2 Flexible battery module for prismatic cells
A modular frame for a battery module includes: a floor that includes an electrically non-conductive material; end walls that are perpendicular to the floor and that include the electrically non-conductive material; and side walls that are perpendicular to the floor, that are perpendicular to the end walls, and that include the electrically non-conductive material. The modular frame further includes interior walls that include the electrically non-conductive material and that define: a first row of cell slots configured to receive a first plurality of prismatic cells; a second row of cell slots configured to receive a second plurality of prismatic cells; and a chamber disposed between the first and second rows of cell slots. The modular frame further includes a first and second apertures to the chamber. The first aperture is defined by the interior walls and the side walls. The second aperture is formed through one of the end walls.
US08889280B2 Battery storage module and mounting seat
A battery storage module and a mounting seat for the battery storage module are disclosed. The battery storage module includes a sliding base and a storage battery. The sliding base includes a container, a plurality of wheels, a pair of conducting members and at least one mounting member. The container has a first end and a second end opposite to the first end, the plurality of wheels are mounted on the container, the pair of conducting members are mounted on the first end of the container, and the at least one mounting member is mounted on the second end of the container. The storage battery is received in the container and electrically connected to the pair of conducting members.
US08889276B2 Method for managing the operation of a hybrid system
A method for managing the operation of a hybrid continuous current supply, said supply including a fuel cell stack a battery and a DC/DC converter including an input and an output, the converter input being connected to the output of the fuel cell stack and the output being connected to a variable load in parallel to the battery, the fuel cell stack being formed of a plurality of electrochemical cells adapted to produce electricity from a fuel and an oxidising gas.
US08889274B2 Perpendicular magnetic recording layer with regions having different magnetic anisotropy constants
An apparatus having a recording layer of a magnetic material with a concentration of implanted ions that increases in relation to a thickness direction of the recording layer to provide the recording layer with a continuously varied perpendicular magnetic anisotropy constant.
US08889273B2 Ceramic material with a composition which is matched to a coefficient of thermal expansion specified by a metallic material
A non-conductive ceramic material contains a base ceramic material and at least one other ceramic material having a lower coefficient of thermal expansion than that of the base material so that the coefficient of thermal expansion of the non-conductive ceramic material is identical to that of a metallic material to which it will be matched. Methods of making and using same are disclosed.
US08889272B2 Coated article with low-E coating including tin oxide inclusive layer(s) with additional metal(s)
A coated article includes a coating, such as a low emissivity (low-E) coating, supported by a substrate (e.g., glass substrate). The coating includes at least one dielectric layer including tin oxide that is doped with another metal(s). The coating may also include one or more infrared (IR) reflecting layer(s) of or including material such as silver or the like, for reflecting at least some IR radiation. In certain example embodiments, the coated article may be heat treated (e.g., thermally tempered, heat bent and/or heat strengthened). Coated articles according to certain example embodiments of this invention may be used in the context of windows, including monolithic windows for buildings, IG windows for buildings, etc.
US08889269B2 Electroactive materials
A compound having Formula I, Formula II, or Formula III: Ar1 may independently be phenylene, substituted phenylene, naphthylene, or substituted naphthylene. Ar2 is the same or different at each occurrence and is an aryl group. M is the same or different at each occurrence and is a conjugated moiety. T1 and T2 are independently the same or different at each occurrence and are conjugated moieties which are connected in a non-planar configuration; a and e are the same or different at each occurrence and are an integer from 1 to 6; b, c, and d are mole fractions such that b+c+d=1.0, with the proviso that c is not zero, and at least one of b and d is not zero, and when b is zero, M has at least two triarylamine units; and n is an integer greater than 1.
US08889265B2 Copolymer and polymer light emitting device using the same
A copolymer having two or more repeating units selected from the group consisting of arylene groups, divalent heterocyclic groups and divalent aromatic amine groups wherein the copolymer has, on at least one of molecular chain ends, a monovalent heterocyclic group, a monovalent group derived from a heterocyclic group coordinated metal complex, and an aromatic end group selected from aryl groups having a formula weight of 90 or more, and the copolymer shows fluorescence in the solid state and has a polystyrene-reduced weight-average molecular weight of 103 to 108.
US08889260B2 Solar control glazing comprising a layer of an alloy containing NiCu
A glazing with a solar control property includes a glass substrate on which a stack of layers is deposited, the stack including a layer consisting of an alloy including nickel and copper, wherein the atomic percentage of copper is greater than 1% and less than 25% and wherein the atomic percentage of nickel is greater than 75% and less than 99%.
US08889257B2 RuO2-coated fibrous insulator
An article having: a nonconductive fiber and a RuO2 coating. A method of: immersing a nonconductive article in a solution of RuO4 and a nonpolar solvent at a temperature that is below the temperature at which RuO4 decomposes to RuO2 in the nonpolar solvent in the presence of the article; and warming the article and solution to ambient temperature under ambient conditions to cause the formation of a RuO2 coating on a portion of the article. An article having: a nonconductive fiber and a coating. The coating is made by electroless deposition, sputtering, atomic-layer deposition, chemical vapor deposition, or physical vapor deposition.
US08889252B2 Cutting insert
The cutting tool of the present invention has a base material and a multi-layer coating formed thereon. The multi-layer coating includes an A-layer, a B-layer and a C-layer repeatedly deposited in the order of A-layer, C-layer and B-layer from the base material toward an outer surface of the multi-layer coating. The A-layer has a1 layers and a2 layers wherein 8-20 layers of a1 layers and a2 layers are non-periodically deposited per 100 nm. Each unit layer of the A-layer, B-layer, and C-layer has a thickness of 0.5-2.0 μm, 0.1 μm-0.5 μm and 55-95 nm respectively.
US08889250B2 Plating target material, polyamic solution and polyimide resin solution which are used to form the plating target material, and printed-wiring board using them
A laminate of the present invention comprises a plating-target material including a layer-A, that is subjected to electroless copper plating and has a surface roughness such that an arithmetic mean roughness Ra measured at a cutoff value of 0.002 mm is less than 0.5 μm. The 90° anti-peeling adhesive force of the layer-A is 1.0 N/25 mm or less. Furthermore, the layer-A includes a polyimide resin having a siloxane structure formed by polymerizing a diamine component having a structure represented by Formula (6) in a content of 5 to 95 mol % based on the entire diamine component. A sheet containing the layer-A has an elongation modulus of 1.8 GPa or less. The plating-target material of the present invention has a high adhesiveness to an electroless plating film formed on its surface, even if the surface is not so rough, and it is possible to favorably form electroless plating on the entire surface. Accordingly, the plating-target material and laminate of the present invention is suitably applicable to manufacturing of a printed-wiring board and the like.
US08889248B2 Multiwall sheet, an article, a method of making a multiwall sheet
Disclosed herein is a multiwall sheet that comprises a first wall, a second wall, an intermediate wall disposed between the first wall and the second wall, a first set of ribs disposed between the first wall and the intermediate wall, and a second set of ribs disposed between the second wall and the intermediate wall. No ribs are in direct vertical alignment so as to align from the first wall to the second wall and no ribs are on a side of the first wall opposite the intermediate wall or on a side of the second wall opposite the intermediate wall. Also disclosed is a method for making a multiwall sheet.
US08889242B2 Honeycomb structure and method for manufacturing honeycomb structure
A honeycomb structure includes periphery honeycomb fired bodies which include at least a single piece of a periphery small honeycomb fired body having in the cross-section a cross-sectional area which is less than about 60% of a cross-sectional area of a single piece of the center-portion honeycomb fired body. A cross-sectional area of a periphery honeycomb bonded body in the cross-section is about 60% or more of the cross-sectional area of a single piece of the center-portion honeycomb fired body. The second adhesive layer is provided between the periphery small honeycomb fired body and the at least one piece of the honeycomb fired body. The second adhesive layer has thermal conductivity higher than thermal conductivity of a first adhesive layer. The second adhesive layer has Young's modulus higher than Young's modulus of the first adhesive layer. The center-portion honeycomb fired bodies are bonded together with the first adhesive layer.
US08889240B2 Stretch release article
Provided are adhesive articles and assemblies that include a flat and at least partially elastic backing along with a patterned adhesive coating on each side of the backing. When viewed from directions perpendicular to the backing, the adhesive on one side of the backing does not substantially overlap the adhesive on the opposing side of the backing. As a result, it is possible to use a stretch removable adhesive article that uses, for example, an aggressive adhesive to provide a reliable bond but still remove cleanly and easily from delicate substrates that would otherwise be damaged or destroyed if bonded with conventional adhesive constructions.
US08889236B2 Optical film, polarizing plate, and image-forming display device
An optical film having: a hard coat layer; an optically anisotropic layer; and a transparent support, wherein the optically anisotropic layer contains a liquid crystalline compound and a binder, the hard coat layer, the transparent support, and the optically anisotropic layer are laminated in this order, a surface of the optically anisotropic layer contains a fluorine-containing compound not forming covalent bond with the binder of the optically anisotropic layer, a surface of the optical film on the hard coat layer-formed side contains a fluorine-containing or silicone series compound being fixed by covalent bond, and a topmost surface properties of the optical film on hard coat layer-formed side satisfies the specific conditions.
US08889235B2 Dielectric barrier deposition using nitrogen containing precursor
A process for forming a silicon carbonitride barrier dielectric film between a dielectric film and a metal interconnect of an integrated circuit substrate, comprising the steps of; providing the integrated circuit substrate having a dielectric film; contacting the substrate with a barrier dielectric film precursor comprising: RxR′y(NR″R′″)zSi wherein R, R′, R″ and R′″ are each individually selected from hydrogen, linear or branched saturated or unsaturated alkyl, or aromatic; wherein x÷y+z=4; z=1-3; but R, R′ cannot both be hydrogen; forming the silicon carbonitride barrier dielectric film with C/Si ratio >0.8 and a N/Si ratio >0.2 on the integrated circuit substrate.
US08889234B2 Method of generating structural color
Provided is a method of printing a structural color. The method includes providing a first substrate, forming a layer of a composition for generating a structural color including magnetic nanoparticles and a curable material on the first substrate, applying a magnetic field to the layer of the composition for generating a structural color and exhibiting a structural color using a change in lattice spacing of a photonic crystal composed of magnetic nanoparticles depending on the magnetic field strength, and curing the layer of the composition for generating a structural color to fix the lattice spacing of the photonic crystal and to form a structural color printed layer.
US08889224B2 Method of forming parylene film
A method for forming a parylene film is provided, which includes following steps. Providing a chemical vapor deposition apparatus including a buffer chamber having first and second valves and a rotative carrying apparatus, an evaporator connected with the second valve, a pyrolysis chamber connected with the evaporator, and a deposition chamber connected with the pyrolysis chamber. Placing a parylene material in the rotative carrying apparatus of the buffer chamber through the first valve. Turning off the first and second valves and balancing a pressure in the buffer chamber and a pressure in the evaporator. Turning on the second valve and delivering the parylene material into the evaporator. Evaporating the parylene material in the evaporator to form a parylene gas. Pyrolyzing the parylene gas in the pyrolysis chamber to form a parylene monomer. Delivering the parylene monomer to the deposition chamber for deposition so as to form a parylene film.
US08889222B1 Coating material distribution using simultaneous rotation and vibration
Provided are methods and systems for distributing coating materials using simultaneous vibration and rotation. Inertial forces generated during vibration and centrifugal forces generated during rotation redistribute the coating materials previously deposited on the surface resulting in uniform and/or conformal layers. The coated surfaces may have various shapes and degrees of roughness and may be referred to as complex surfaces. An initial layer of the coating material may be deposited on a complex surface of the part using dipping, spraying, spin coating, or other like techniques. The coating material is redistributed by simultaneous rotation and vibration of the part using specifically selected process conditions, such as orientation of vibrational and rotational axes relative to the part, rotational speeds, and vibrational frequencies and amplitudes. In some embodiments, the redistribution operation may be repeated one or more times using different process conditions to ensure uniform distribution on different portions of the complex surfaces.
US08889220B2 Mold release treatment method, mold, method for producing anti-reflective film, mold release treatment device, and washing/drying device for mold
A mold release treatment method of the present invention includes: the step of providing a mold releasing agent and a mold which has a porous alumina layer over its surface, the mold releasing agent containing a fluoric compound which has mold releasability and a solvent; the step of applying over the surface of the mold a solvent that is capable of dissolving the fluoric compound; and thereafter, the step of applying the mold releasing agent over the surface of the mold according to a spray coating method. According to the present invention, a mold release treatment can be performed over a surface of the mold which has the porous alumina layer over its surface, without causing uneven application.
US08889218B2 Foam window mount having an electric conductive layer over a light blocking layer
A foam mount has a shape of an enclosed frame surrounding an open area, the frame in cross section having a peripheral surface; an inside surface opposite to the peripheral surface, the inside surface defining the open area. The inside surface includes a groove having an open end and the open end of the groove faces the open area of the foam mount. A coating is applied over selected surfaces of the foam mount, wherein the coating has a visible light transmission of less than 15%. Also disclosed is a method of coating an electric conductive coating over the visible light blocking coating and a decorative coating over selected surfaces of the foam mount.
US08889217B2 Method of making transparent conductive film
A method of making a transparent conductive film includes the steps of: providing a carbon nanotube array. At least one carbon nanotube film extracted from the carbon nanotube array. The carbon nanotube films are stacked on the substrate to form a carbon nanotube film structure. The carbon nanotube film structure is irradiated by a laser beam along a predetermined path to obtain a predetermined pattern. The predetermined pattern is separated from the other portion of the carbon nanotube film, thereby forming the transparent conductive film from the predetermined pattern of the carbon nanotube film.
US08889215B2 Method for making touch panel
The present disclosure relates to a method for making a plurality of touch panels one time. The method includes following steps. A substrate is provided. The substrate has a surface defining a number of target areas with each including two areas: a touch-view area and a trace area. An adhesive layer is formed on the surface of the substrate. A carbon nanotube film is formed on the adhesive layer. The adhesive layer is solidified. An electrode and a conductive trace are formed on each target area so that part of the carbon nanotube film is exposed from a space between adjacent conductive lines of the conductive trace to form an exposed carbon nanotube film on each trace area. The exposed carbon nanotube film on each trace area is removed to obtain a plurality of transparent conductive layers spaced from each other. A number of touch panels is obtained by cutting the substrate.
US08889212B2 Method of doping surfaces
The present invention relates to a method of treating an article surface. The method includes removing a metal oxide surface from the metal substrate to expose a metal surface; and delivering particles including a dopant from at least one fluid jet to the metal surface to impregnate the surface of the article with the dopant. The method also includes delivering substantially simultaneously a first set of particles comprising a dopant and a second set of particles comprising an abrasive from at least one fluid jet to a surface of an article to impregnate the surface of the article with the dopant.
US08889211B2 Coating process for drug delivery balloons using heat-induced rewrap memory
A method of producing a drug coated balloon that comprises the steps of: subjecting a balloon catheter with a folded and wrapped balloon thereon to a pre-annealing step to induce a fold/wrap memory in the resulting pre-annealed balloon; unfolding the pre-annealed balloon sufficiently to expose the full circumferential surface of the balloon by application of an inflation pressure that retains said fold/wrap memory; applying a drug coating formulation to the unfolded balloon surface; releasing pressure to relax the balloon and induce creasing along fold memory; and evacuating the balloon slowly to induce refolding and rewrapping of the balloon. The method overcomes the need to use a folding apparatus to fold and wrap a drug coated balloon.
US08889209B2 Method of treating a whey protein concentrate by microparticulation
The present invention relates to a method of treating a whey protein by microparticulation. The microparticulation comprises heat treatment and mechanical processing of the whey protein. The method comprises the steps that the protein concentrate is caused to pass a high pressure pump and that the protein concentrate, at elevated pressure, is thereafter heat treated. After the heat treatment, the protein concentrate undergoes a mechanical processing.
US08889206B2 Whiskey making method
A method (10) of making a wood insert (50) from a dismantled stave (20) of a barrel (12), such as a used bourbon barrel. The method (10) comprises the steps of conditioning the exterior surface (24) of a dismantled stave (20); and profiling the conditioned stave (30) to increase its aging surface area. The profiled stave (20) can then be heat treated (e.g., toasted and/or charred) to create the wood insert (50). The insert (50) can be used to integrate a wood flavoring during the aging of an alcoholic beverage, such as a non-bourbon whiskey.
US08889205B2 Resealable closure with package integrity feature
A resealable package integrity closure includes a film layer forming a top of a container and a flap defining an access opening. A sealing panel completely covers the flap of the film layer. A releasable adhesive is provided on either or both the film layer and the sealing panel for adhering the sealing panel to the film layer. The sealing panel is releasable from the film layer by pulling the sealing panel back in a peeling direction and reclosable against the top to seal the access opening when the sealing panel is moved back against the top. A coating of transferable material is provided on either the sealing panel or on the film layer, which is transferable therebetween to provide a visual indication that the closure has been previously opened.
US08889199B1 Method and composition for producing a stable and deodorized form of pomegranate seed oil
The present invention provides a method, composition and product by process of pomegranate seed oil. The present invention provides a novel method for the preparation of pomegranate seeds and the subsequent extraction, stabilization and deodorization of pomegranate seed oil. The process maintains key beneficial components of pomegranate seed oil. Effective processing parameters for separating, drying, cleaning, flaking, obtaining the oil from seeds, stabilizing through refining and bleaching and deodorizing the oil are described.
US08889198B2 Method for suppressing and relieving itching and inflammation
The present invention provides a method for suppressing and relieving itching and inflammation, by administering to a host in need of such treatment an effective amount of the pharmaceutical composition containing highly lipophilic polyalkoxyflavonoid. The pharmaceutical composition and functional food of the present invention for suppressing and relieving itching and inflammation contain highly lipophilic polyalkoxyflavonoid extracted from squeezed juice of a whole portion of a citrus fruit (inclusive of pericarp thereof). Furthermore, the functional food of the present invention contains it.
US08889195B2 Compositions comprising calcium citrate malate and methods for making the same
Compositions containing calcium citrate malate wherein the calcium citrate malate exhibits one or more of: a pore area of at least about 30 m2/g; a dissolution rate of at least about 95% by weight of the calcium citrate malate in less than about 120 seconds.
US08889190B2 Extended-release topiramate capsules
An extended-release topiramate capsule that includes a capsule shell containing a single population of coated particles; wherein each coated particle includes a core and a coating thereon; wherein each particle core includes a homogeneous mixture comprising topiramate throughout its core; and wherein the coating includes one or more release controlling agent(s).
US08889187B2 Once a day amoxicillin product comprising immediate and delayed release dosage forms
An antibiotic product is comprised of at least two dosages forms, each of which has a different release profile, with the Cmax for the antibiotic product being reached in less than about twelve hours. In one embodiment, there is an immediate release dosage form, as well as one or more delayed release dosage forms, with each of the dosage forms having a different release profile, wherein each reaches a Cmax at different times.
US08889184B2 Particulate form of a pharmaceutical composition which is easy to swallow
The present invention relates to a pharmaceutical composition for direct oral administration which is very easy to swallow especially for young children, comprising at least one pharmaceutically active compound. The pharmaceutical composition is present in the form of one or more particles. The particles comprise a core containing the active ingredient which has been provided with one or more coatings. The pharmaceutical composition is preferably administered in combination with a powder and/or granules which, when applied to the tongue, spontaneously generate additional saliva. With the extra saliva, the coated particles form a soft, smooth, but mechanically stable surface perceived as pleasant in the mouth within seconds so that they may be swallowed easily and practically in the right quantity with the extra saliva formed.
US08889182B2 Process of thermodynamical activation of water-insoluble drugs loaded into cross-linked polymers
The present invention refers to a process to prepare a highly activated composite of one or more water-insoluble drugs, said process comprising the steps of: (a) subjecting said water-insoluble drug and a cross-linked polymer to co-grinding; (b) exposing the ground product of step a. to contact with water or water vapors. The resulting composite contains large amounts of drug in the easily soluble amorphous form, reduced amounts of drug in the nanocrystalline form, and is substantially free from practically insoluble drug crystals.
US08889181B2 Immunostimulatory compositions comprising liposome-encapsulated oligonucleotides and epitopes
The present invention relates to a composition for enhancing an immune response, an epitope having immunogenicity, screening and preparing method thereof, a antibody to peptide antigen and screening and preparing method thereof. The composition of the present invention may be effectively used for preventing or treating diverse immune-deficiency diseases such as cancer, influenza virus, hepatitis C virus and RSV (respiratory syncytial virus) by enhancing immune responses.
US08889180B2 Coated drug delivery formulations
The invention relates generally to methods of making formulations for delivering biological agents to a patient. In one aspect, proliposomal drug-delivery systems for medicaments are provided. In another aspect, coated proliposomal formulations for poorly water soluble drugs, and methods for making the same, are provided. Certain embodiments of the present invention provide enhanced stability and bioavailability for pharmaceutical formulations.
US08889175B2 Nanoparticulate in-situ gels as vitreous humor substitutes for ocular diseases
The present technology provides a nanoparticulate in-situ gelling vitreous substitute, which is a liquid at room temperature to aid easy administration, such as e.g. through a small needle incision, and forms a gel within the eye, which is hydrophilic in nature, similar to the natural vitreous. The vitreous substitute formulation may include a water-soluble natural or synthetic polymer and a gelling-agent which are blended together in the presence of a cross linker, to form a gel having the properties of the vitreous humor. The process of cross linking and gelation may occur in-situ. This can be achieved by dispensing to the eye, different components of the vitreous substitute in liquid state, along with the cross linking agent.
US08889170B2 Implantable device having a coating with a triblock copolymer
The present invention provides an implantable device having a coating including a slow dissolving polymer or material and the methods of making and using the same.
US08889168B2 Stem cell capture and immobilization coatings for medical devices and implants
Constructs and methods for immobilizing stem and other precursor cells, as well as other bioactive materials of therapeutic value on the surfaces of medical devices, such as bone, cartilage, spinal and tooth implants, are described herein. The present invention has broad application in the incorporation of bioactive and therapeutic materials in or on a medical implant or other interventional device, having particular value in enabling the real-time, utilization by medical personnel of bioactive materials extracted from the patient and subsequently reintroduced and immobilized in an implant device.
US08889163B2 Facially amphiphilic polymers as anti-infective agents
Facially amphiphilic polyphenylene and heteroarylene polymers and articles made therform having biocidal surfaces are disclosed. The polymers can inhibit the growth of microorganisms in contact with the surface or in areas adjacent to said biocidal surface. There is also disclosed a methods to attach facially amphiphilic polmers to a solid support. Utility as a contact disinfectant is disclosed.
US08889162B2 Cosmetic compositions containing polypropylsilsesquioxane, a volatile solvent, boron nitride, and silica
Disclosed are cosmetic compositions containing a polypropylsilsesquioxane, a volatile solvent, boron nitride, and silica. Also disclosed are methods for making the cosmetic compositions and applying them to keratinous tissue such as skin in order to enhance its appearance.
US08889161B2 Microencapsulated compositions and methods for tissue mineralization
The present invention is directed to compositions, products and methods useful for bone and tooth mineralization. The compositions comprise polymer microcapsules containing aqueous salt solutions. The shells of the microcapsules can be semi-permeable or impermeable. Solutions of calcium, fluoride and phosphate salts are particularly useful in the compositions of the invention. The microcapsules are preferably prepared by surfactant free inverse emulsion interfacial polymerization. Bone products include cements, scaffolds and bioactive glass. Dental products include pastes, gels, rinses and many other dental materials.
US08889157B1 Composition for cardiovascular treatment
A dietary supplement formulation for reducing the risk of coronary heart disease or stroke, and in which there is provided a plurality of vitamins and minerals that together represent a minimum daily requirement thereof. Added to this composition is aspirin and plant sterols.
US08889154B2 Packaging for 1-(2-methylpropyl)-1H-imidazo[4,5-c] quinolin-4-amine-containing formulation
A packaged composition of 1-(2-methylpropyl)-1H-imidazo[4,5-c]quinolin-4-amine dissolved in a fatty acid formulation and contained in a laminated packaging material having a contact layer that includes an acrylonitrile-methyl acrylate copolymer; an outer layer; and a moisture barrier layer disposed between the contact layer and outer layer.
US08889152B2 Capsular polysaccharides solubilisation and combination vaccines
Precipitated bacterial capsular polysaccharides can be efficiently re-solubilized using alcohols as solvents. The invention provides a process for purifying a bacterial capsular polysaccharide, comprising the steps of (a) precipitation of said polysaccharide, followed by (b) solubilization of the precipitated polysaccharide using ethanol. CTAB can be used for step (a). The material obtained, preferably following hydrolysis and sizing, can be conjugated to a carrier protein and formulated as a vaccine. Also, in vaccines comprising saccharides from both serogroups A and C, the invention provides that the ratio (w/w) of MenA saccharide:MenC saccharide is >1.
US08889148B2 Flavivirus host-range mutations and uses thereof
Methods and compositions concerning mutant flaviviruses with host-range phenotypes are provided. Nucleotide sequences that encode mutant flavivirus proteins are also provided. In certain aspects, viruses comprising these sequences display reduced replication in mammalian cells. In further aspects of the invention, flavivirus vaccine compositions and methods for vaccination against flavivirus infection are provided.
US08889143B2 Ii-key/HER-2/neu hybrid cancer vaccine
Provided are methods and compositions for treating cancer in humans, the cancer being characterized by expression of Her-2/neu. The methods involve vaccinating a patient with an Ii-Key/MHC class II hybrid construct and thereby stimulating an immune response to the native Her-2/neu protein. The construct may be in the form of an Ii-Key hybrid peptide or a nucleic acid encoding an Ii-Key hybrid peptide. Methods are described wherein the cancer being treated is breast cancer. Also claimed is a pharmaceutical composition comprising an Ii-Key/MHC class II hybrid construct with and without an adjuvant. The adjuvant can include GM-CSF. The Ii-Key hybrid construct includes the LRMK (SEQ ID NO: 2) residues of Ii-Key protein and an MHC Class II epitope of a protein or portion thereof which is used in the vaccine or a DNA encoding the same hybrid peptide.
US08889140B2 Compositions and methods for tissue repair
The present invention provides compositions and methods for targeting an extracellular matrix derived (EMD) peptide predominantly to an injured tissue, as opposed to an uninjured tissue in vivo. The targeted EMD peptide facilitates the repair and/or regeneration of the injured tissue by providing a surface for cells to attach and grow, thereby facilitating the repair and/or regeneration of the injured tissue.
US08889136B2 Multiple-variable dose regimen for treating TNFα-related disorders
Multiple-variable dose methods for treating TNFα-related disorders, including Crohn's disease and psoriasis, comprising administering TNFα inhibitors, including TNFα antibodies, are described. Multiple-variable dose methods include administration of a TNF-inhibitor in an induction or loading phase followed by administration of the agent in a maintenance or treatment phase, wherein the TNF-inhibitor is administered in a higher dosage during the induction phase.
US08889133B2 Methods of treating diabetes with Dll4 antagonists
The present invention provides methods of preventing, treating or ameliorating diabetes by administering to a subject in need thereof a therapeutically effective amount of Dll4 antagonists that block Dll4-Notch signal pathways. As observed in a mouse model of diabetes, Dll4 antagonists exhibit protective effects on pancreatic islets, lower blood glucose levels, and block the production of auto-antibodies, including those against insulin and glutamic acid decarboxylase 65 (GAD65), via the expansion of regulatory T cells (Tregs). Thus, the present invention further provides methods of lowering the levels of blood glucose, and/or reducing or blocking the production of auto-antibodies, by administering to a subject in need thereof a therapeutically effective amount of Dll4 antagonists. Suitable Dll4 antagonists for the invention include antibodies or antibody fragments that specifically bind Dll4 and block Dll4-Notch interactions, the extracellular domain of Dll4, and the like.
US08889120B2 Method for constructing novel bacterium belonging to the genus Bifidobacterium
A method for producing bacteria belonging to the genus Bifidobacterium having excellent viability even under various conditions with different environmental factors, novel bacteria belonging to the genus Bifidobacterium obtained by the method, and a method for detecting the bacteria are provided. By subculturing and storing bacteria belonging to the genus Bifidobacterium alternately in systems under conditions with different environmental factors, the bacteria belonging to the genus Bifidobacterium exhibiting excellent viability under all the conditions used for the alternate subculturing and storing can be produced.
US08889119B2 Enzyme and prebiotic combinations for enhancing probiotic growth and efficacy
This disclosure relates to enhancing growth and/or activity of lactobacilli using a prebiotic formulation which includes iso-malto oligosaccharides and α-galactosidase; and to enhancing growth and/or activity of bifidobacteria using a prebiotic formulation which includes iso-malto oligosaccharides and β-glucanase. Other combinations of fibers and enzymes are described below which also stimulate growth and activity of lactobacilli or bifidobacteria. These combinations of enzymes and prebiotics can be taken separately or added to foods, including desserts.
US08889117B2 Modular nanoparticles for adaptable vaccines
Modular nanoparticle vaccine compositions and methods of making and using the same have been developed. Modular nanoparticle vaccine compositions comprise an antigen encapsulated in a polymeric particle and adaptor elements which modularly couple functional elements to the particle. The modular design of these vaccine compositions, which involves flexible addition and subtraction of antigen, adjuvant, immune potentiators, molecular recognition and transport mediation elements, as well as intracellular uptake mediators, allows for exquisite control over variables that are important in optimizing an effective vaccine delivery system.
US08889113B2 Compositions and kits for the removal of irritating compounds from bodily surfaces
The invention provides compositions, methods and kits for the removal of harmful or irritating substances from bodily surfaces. Kits may include a composition containing capsaicin and a capsaicin-cleansing composition, e.g., a composition in which capsaicin is soluble.
US08889108B2 Cosmetic compositions comprising latex film formers
Disclosed are cosmetic compositions comprising at least one latex-film former chosen from at least one acrylate copolymer and derivatives thereof, and at least one coalescent and/or plasticizer.
US08889107B2 Nail varnish with a velvety feel
The present invention relates to a nail varnish including at least one effect pigment and at least one micronized wax, and to a process for producing it. Furthermore, the invention relates to the use of at least one micronized wax in a nail varnish and to an article provided with the nail varnish. Moreover, the invention relates to a mixture of at least one pigment and at least one micronized wax.
US08889103B2 Diagnostic agent composition and associated methods thereof
A diagnostic agent composition is provided which comprises a nanoparticle composition and a pharmaceutically acceptable carrier or excipient. The nanoparticle composition comprises a nanoparticulate metal oxide and a phosphorylated polyol, wherein the phosphorylated polyol comprises at least two phosphate groups and one or more hydrophilic groups selected from the group consisting of polyethylene ether moieties, polypropylene ether moieties, polybutylene ether moieties, and combinations of two or more of the foregoing hydrophilic moieties. The disclosure provides detailed guidance on methods of making and using such diagnostic agent compositions. The diagnostic agent compositions provided by the present invention are useful as contrast agents for medical diagnostic imaging techniques such as magnetic resonance (MR) imaging and X-ray imaging. The diagnostic agent composition may be administered to a subject via a variety of techniques, among them injection, inhalation, and ingestion.
US08889092B2 Method for producing higher hydridosilane compounds
The present invention relates to a rapid and metal-free process for preparing high order hydridosilane compounds from low order hydridosilane compounds, wherein at least one low order hydridosilane compound (I) is thermally reacted in the presence of at least one hydridosilane compound (II) having a weight average molecular weight of at least 500 g/mol, to the hydridosilane compounds obtainable by the process and to their use.
US08889091B2 Manufacture of LiPO2F2 from POF3 or PF5
LiPO2F2, an electrolyte salt additive for batteries, is manufactured by the reaction of POF3, PF5 or mixtures thereof, with Li3PO4 forming a reaction mixture comprising LiPO2F2. When POF3 is applied, the reaction mixture which contains essentially only LiPO2F2 is preferably extracted from the reaction mixture with a solvent which also is applicable as solvent for lithium ion batteries. If PF5 is applied, then, depending on the molar ratio of PF5 and Li3PO4, the reaction mixture also contains LiF and/or LiPF6. To isolate pure LiPO2F2 from LiF, the reaction mixture containing essentially only LiPO2F2 and LiF may for example, be extracted with dimethoxyethane, acetone, dimethyl carbonate or propylene carbonate. To isolate pure LiPO2F2 from LiPF6, the reaction mixture containing essentially only these constituents is preferably extracted with a solvent which also is applicable as solvent for the LiPF6 in lithium ion batteries to dissolve and remove LiPF6.
US08889089B2 Process for a reduction in the amount of sulphur compounds, hydrogen cyanide, and formic acid in synthesis gas
A process for a reduction in the amount of sulphur compounds, hydrogen cyanide, formic acid and formic acid derivatives in synthesis gas comprising these compounds, the process comprising contacting the synthesis gas with a sulphur absorbent comprising material and thereafter with a catalyst comprising one or more metals selected from the group consisting of silver, gold, copper, palladium, platinum and their mixtures and supported on a carrier comprising at least one of the oxides of scandium, yttrium, lanthanum, cerium, titanium, zirconium, aluminum, zinc, chromium and molybdenum.
US08889084B2 Micro fluid system support and manufacturing method thereof
A support unit for a microfluidic system includes a first support; a first adhesive layer provided on a surface of the first support; and a hollow filament laid on a surface of the first adhesive layer to have an arbitrary shape and functioning as a flow channel layer of the microfluidic system.
US08889083B2 Device and method for pressure-driven plug transport and reaction
The present invention provides microfabricated substrates and methods of conducting reactions within these substrates. The reactions occur in plugs transported in the flow of a carrier-fluid.
US08889081B2 Room fogging disinfection system
A system for disinfecting a room includes an enclosure having first and second air inlets and an air intake control assembly to selectably control air flow into the enclosure through the first and second air inlets. Air that flows between the exterior and interior of the enclosure through the second air inlet passes through a filter assembly. The enclosure also includes an air dispersion outlet having a fan that draws air into the enclosure through the first and second air inlets and forces air out of the enclosure. A chemical dispersion assembly generates a disinfecting fog relative to the fan. A system controller controls the air intake control assembly to disperse the disinfecting fog into the room, and subsequently draw the disinfecting fog from the room and through the filter assembly.
US08889080B2 Near-field light microchannel structure and near-field light microreactor
The object can be attained by the near-field light microchannel structure 61 that comprises a structure 95 provided with a microchannel 41c and a near-field light two-dimensional array 50 arranged inside the microchannel 41c and enabling in-plane near-field light generating, in which the near-field light two-dimensional array 50 comprises an electroconductive layer 6 formed on the inner wall surface of the microchannel 41c, a immobilizing layer 2 immobilized on one surface 6a of the electroconductive layer 6 via chemical bonding, and metal nanoparticle arrays 3 immobilized on one surface 2a of the immobilizing layer 2 via chemical bonding, and in which the metal nanoparticle arrays 3 each comprise multiple metal nanoparticles 4 arrayed at regular intervals and bonded to each other via the modifying part 5 arranged on the surface thereof.
US08889079B2 Apparatus for removal of particles and VOC from an airstream
Apparatus and method for removal of particles and VOC from an airstream, in which particles carried by the airstream are charged by a corona ionizer and then collected by an electrically enhanced filter downstream of the ionizer. A catalytic filter downstream of the electrically enhanced filter removes VOC as well as ozone generated by the ionizer.
US08889076B2 Fluid catalytic cracking system and process
One exemplary embodiment can be a fluid catalytic cracking system. Generally, the fluid catalytic cracking system includes a first reaction vessel and a second reaction vessel. The first reaction vessel may contain a first catalyst having pores with openings greater than about 0.7 nm and a second catalyst having pores with smaller openings than the first catalyst. What is more, the second reaction vessel may contain the second catalyst. Generally, at least a portion of the second catalyst is directly communicated with the first reaction vessel.
US08889075B2 Apparatus for producing water having redox activity
The present invention provides an apparatus for producing water having redox activity. In the apparatus, at least one of oxygen, ozone, chlorine, nitrogen monoxide, and ammonia as a reaction precursor is previously mixed into water or running water while regulating the concentration of the dissolved substance followed by the application of ultrasonic vibrations, whereby active oxygen species are generated in water. In another embodiment, water or running water is brought into contact with a catalyst to which ultrasonic vibrations or electromagnetic waves have been applied, whereby active oxygen species are generated in water.
US08889073B2 Apparatus for recovering residual salt from the reduced uranium metal
Disclosed herein is an apparatus for recovering residual salt from the reduced uranium metal. The apparatus comprising: an evaporating chamber accommodating mixed molten salt or a reduced uranium metal; a heating furnace surrounding the evaporating chamber to heat the mixed molten salt in the evaporating chamber; an insulator disposed over the evaporating chamber to block heat generated from the evaporating chamber, and including an evaporating pipe in a center thereof to move vapor generated from the evaporating chamber; a receiver disposed over the insulator to collect powder formed by condensing and solidifying vapor passing through the evaporating pipe; and a condenser disposed over the receiver to prevent the vapor passing through the evaporating pipe from leaking out of the apparatus.
US08889072B2 Flow cytometer sorter
Disclosed are computer-implemented methods of sorting particles from a particle stream in a flow cytometer. The methods include: calculating sort decision making parameters using the raw event data values from a flow cytometer and a sort logic; performing sort logic computations using the sort logic definition and the sort decision making parameters to generate sort decisions; converting the sort decisions into sort commands; and sending the one or more sort commands to the flow cytometer. Sort logic computations may include algorithmically using conditional branching logic, and may include sort logic equations having mathematical functions characterizing one or more regions of interest in multidimensional data space. Such mathematical functions may be determined based on one or more parameters provided by a user. Also disclosed are corresponding systems having a flow cytometer and a computer.
US08889071B2 Apparatus and method for separating plasma
An apparatus for separating plasma by which plasma can be separated from a small amount of whole blood cell sample without centrifugation is disclosed. This apparatus includes a blood channel through which blood flows; and a plasma channel through which plasma separated from said blood flows. The plasma channel is arranged at least partially in parallel with said blood channel and the blood channel and the plasma channel are at least partially in contact with each other along the longitudinal direction of the channels. Blood is made to flow at a flow rate at which blood cell components in the blood flowing through the blood channel axially accumulate and at which hemolysis does not occur. The plasma moves to the plasma channel after being separated into a blood cell layer and a plasma layer.
US08889070B2 Method and apparatus for removing chloride from samples containing volatile organic carbon
A method for removing chloride from samples containing volatile organic carbon, wherein a chloride containing sample is mixed with a difficultly volatile acid, wherein hydrochloric acid gas arises, which is present in dissolved form in a sample-acid mixture and then the hydrochloric acid gas is purged by a carrier gas from the sample-acid mixture, wherein the hydrochloric acid gas is removed from the carrier gas following the purging and the carrier gas is fed back to the sample-acid mixture. In order during the hydrochloric acid purging largely to suppress the driving out of easily volatile organic compounds, the sample-acid mixture has a temperature of approximately 3° C. to 30° C., wherein, following the purging from the sample-acid mixture, the hydrochloric acid gas is removed from the carrier gas by absorption with water.
US08889069B2 Sample processing apparatus and a method of controlling a sample processing apparatus
A sample processing apparatus is disclosed. The apparatus comprises a sample processing section, a transporting section, an identification data acquirer and a system controller. When identification data of a washing fluid tube is acquired by the identification data acquirer, the system controller controls the transporting section to supply the washing fluid tube to the sample processing section. When the washing fluid tube arrives at the sample processing section, the sample processing section aspirates the washing fluid in the supplied washing fluid tube and performs a washing of at least one part of the sample processing section. The system controller prohibits the washing with the washing fluid tube if identification data of a sample tube is acquired after the identification data of the washing fluid tube is acquired and before the washing is started.
US08889068B2 Method for controlling microbial contamination, mineral suspensions obtained and uses thereof
The invention concerns a process for disinfection and/or conservation and/or reduction and/or control of microbial contamination of aqueous dispersions and/or aqueous suspensions of mineral matter, providing satisfactory stability in terms of Brookfield™ viscosity for the said aqueous dispersions and/or suspensions of mineral matter. It also concerns the said aqueous dispersions and/or suspensions thus obtained, together with their uses in the mineral, paper and paint industries. Finally it concerns the end products obtained.
US08889062B2 Carbonaceous refractory and method of production of same and also blast furnace bottom or side walls
A carbonaceous refractory and a method of production is provided, which prevents a drop in the molten pig iron corrosion resistance, molten pig iron penetration resistance, and other properties of carbonaceous refractories required for blast furnace bottom refractories. The mechanical strength of the refractories is raised so as to suppress cracking due to thermal stress. The carbonaceous refractory comprises a carbonaceous material comprising one or more of calcined anthracite, calcined coke, natural graphite, or artificial graphite in 60 to 85 mass %, a refractory metal oxide in 5 to 15 mass %, metal silicon in 4 to 15 mass %, and carbon black in 2 to 10 mass %. An organic binder is added to refractory materials, which are kneaded, molded and fired in a nonoxidizing atmosphere.
US08889060B2 Device for regulating the temperature of a gas in a hot gas main
A device for regulating the temperature of a gas in a hot gas main for feeding hot gas to a blast furnace includes a mixing pot with two mixing chambers in fluid communication with each other by means of a Venturi restriction. The first mixing chamber includes three inlet ports for feeding hot gas into the first mixing chamber, feeding cold gas into the first mixing chamber and feeding cold gas into the second mixing chamber, respectively. The first mixing chamber further includes an outlet port for feeding a first stream of mixed gas from the first mixing chamber to a first gas distribution system. The second mixing chamber includes a second outlet port for feeding a second stream of mixed gas from the second mixing chamber to a second gas distribution system. The first and second streams of mixed gas have different temperatures.
US08889058B2 Method and device for siliconization of carbon-containing materials
Method for treatment of workpieces of porous carbon material with liquid silicon with the formation of silicon carbide, comprising the following steps: preheating of porous carbon workpieces under an inert gas to a selected operating temperature TB1, delivery of liquid silicon to the porous carbon workpieces at an operating pressure pB2 and an operating temperature TB2 and impregnation of the porous carbon workpieces with liquid silicon, reaction of the liquid silicon in the workpiece at a temperature TB3 with formation of silicon carbide from carbon and silicon, gassing of the workpieces with inert gas, and cooling from the operating temperature TB3 to a conditioning temperature Tk, cooling of workpieces to room temperature, in step c the delivery of silicon and transport of the workpieces taking place over preferably cylindrical rolls which are porous at least in the exterior region and which are pivoted, and their speed of rotation determining the residence time for the delivery of silicon in step c, and the temperature TB3 being greater than or equal to the temperature TB2, and the workpieces for process step d no longer being in contact with liquid silicon outside the workpieces.
US08889056B2 Sports racquet with string port holes
A sports racquet having a plurality of string port holes and conventional string holes is formed of a single tube of prepreg material. When molded, mold elements press opposed portions of the prepreg tube into contact with one another, and shape the adjoining prepreg material into a string port hole blanks. The portion of the pressed-together material which initially blocks the string port hole is removed after molding so that the string port holes extend through the frame. Alternatively, the mold elements cause the prepreg tube to follow a serpentine shape, thereby defining string port holes which are open alternately along the top and bottom surface of the frame. A pair of cover rings may be secured to the top and bottom racquet surfaces to cover the open sides of the string port holes.
US08889052B2 Uncoated, corrosion resistant resin delivery system
An apparatus and method for producing defect-critical articles from a molding resin comprising at least 98% clear polymer and additives including a heat stabilizer and a UV blocker in an injection molding machine. According to the apparatus, there is provided an injection molding machine in combination with a molding resin. The injection molding machine's resin delivery assembly is made from a powder metallurgy formed into solid non-coated parts having a Chromium-Vanadium (Cr/V) blend. As the molding resin interacts with the resin delivery assembly, the resin only contacts the solid non-coated parts whereby the resin experiences less degradation and provides improved quality for the defect-critical articles. The method includes the steps of plasticizing, injecting, and cooling. During the plasticizing and injecting steps, the molding resin only contacts solid non-coated parts made from a powder metallurgy material containing a Chromium-Vanadium (Cr/V) blend thereby producing reduced defect articles.
US08889048B2 Pressed, self-solidifying, solid cleaning compositions and methods of making them
The present invention relates to a method of making a solid cleaning composition. The method can include pressing and/or vibrating a flowable solid of a self-solidifying cleaning composition. For a self-solidifying cleaning composition, pressing and/or vibrating a flowable solid determines the shape and density of the solid but is not required for forming a solid. The method can employ a concrete block machine for pressing and/or vibrating. The present invention also relates to a solid cleaning composition made by the method and to solid cleaning compositions including particles bound together by a binding agent.
US08889046B2 Visual indication of improperly processed plastic parts
A method comprising injection molding a plastic part from a polymer formulation comprising an injection moldable thermoplastic and an additive, wherein the additive has a decomposition temperature that establishes a maximum processing temperature for the polymer formulation. The additive will thermally decompose to generate gaseous products causing visible bubble formation in the surface of the plastic part in response to exposure to a processing temperature that exceeds the decomposition temperature of the additive. A suitable additive may be, for example, selected from oxalates, carbamic acids, carbonic acids, diazocarbonyl compounds, and combinations thereof.
US08889043B2 Optical films cast from styrenic fluoropolymer solutions
A method for casting a styrenic fluoropolymer film on a substrate includes preparing a polymer solution by dissolving the fluoropolymer in a solvent or solvent blend whose Hansen solubility parameters (HSPs. MPa1/2) satisfy the following relations: |SPb−SPp|<5, |SPb−SPs|<4, |SPb(H)−SPp(H)|<7, and 2<|SPb(H)−SPs(H)|<10 wherein SPb, SPp, SPs, are the total Hansen solubility parameters of solvent/solvent blend, fluoropolymer, and substrate, respectively; SPb(H), SPp(H), and, SPs(H) are the hydrogen-bond Hansen solubility parameters of solvent/solvent blend, fluoropolymer, and substrate, respectively; wherein the fluoropolymer comprises a moiety of: wherein R1, R2, and R3 are each independently hydrogen atoms, alkyl groups, substituted alkyl groups, or halogens, wherein at least one of R1, R2, and R3 is a fluorine atom, and wherein R is each independently a substituent on the styrenic ring, n is an integer from 0 to 5 representing the number of the substituents on the styrenic ring.
US08889041B2 Method of making solar cell contacts
Formulations and methods of making solar cells are disclosed. In general, the invention presents a solar cell contact made from a mixture wherein the mixture comprises a solids portion and an organics portion, wherein the solids portion comprises from about 85 to about 99 wt % of silver, and from about 1 to about 15 wt % of a glass component wherein the glass component comprises from about 15 to about 75 mol % PbO, and from about 5 to about 50 mol % SiO2, and preferably with no B2O3.
US08889038B1 Wood preservatives containing copper complexes
This invention relates to wood preservatives containing copper complexes and calcium ions, zinc ions or calcium and zinc ions for protection of wood, cellulose, hemicellulose, lignocellulose, cellulosic materials and articles derived from cellulosic materials from decay caused by fungi. The calcium ions, zinc ions, or calcium and zinc ions improve the penetration of copper preservative agent into the interior of a treated material or article.
US08889037B2 Systems and methods for producing syngas and products therefrom
A method can include combusting an expanded turbine exhaust and a first fuel within a first reformer to produce a first exhaust. A hydrocarbon can be reformed in the first reformer to produce a reformed hydrocarbon and heat can be transferred from the first exhaust to a first medium. A refrigeration unit can be powered with thermal energy from the heated first medium and can cool a second medium. Heat can be transferred from one or more oxidants to the cooled second medium to produce cooled first and second oxidants. The cooled first oxidant and a second fuel can be introduced to a gas turbine unit to produce the expanded turbine exhaust and mechanical power. The cooled second oxidant can be compressed in a compressor powered with the mechanical power and the compressed second oxidant and the reformed hydrocarbon can be introduced to a second reformer to produce a syngas.
US08889031B2 Working fluid composition for refrigerator machine and refrigerating machine oil
The present invention provides a working fluid composition for a refrigerator machine comprising a base oil comprising an ether-based compound, a carbodiimide compound, and an unsaturated fluorinated hydrocarbon refrigerant. The present invention also provides a refrigerating machine oil comprising a base oil containing an ether-based compound and a carbodiimide compound, the refrigerating machine oil being used together with an unsaturated fluorinated hydrocarbon refrigerant.
US08889029B2 Ferrite sintered body and noise filter including the same
A ferrite sintered body having an improved strength and a noise filter including the same are provided. A ferrite sintered body includes 1 mol % to 10 mol % Cu on CuO basis, a spinel-structured crystal containing Fe, Zn, Ni, Cu and O as a main phase, and Cu compound particles present at a grain boundary, having an average particle diameter of 0.5 μm to 10 μm. The ferrite sintered body includes the Cu compound particles present at a grain boundary. It is thereby possible to suppress the grain growth of the crystals serving as the main phase to attain a morphology formed of fine crystals, and also inhibit the propagation of destruction of the grain boundary, thus making it possible to achieve a ferrite sintered body with an improved strength.
US08889027B2 Method of production of nanocomposite thermoelectric conversion material
A nanocomposite thermoelectric conversion material composed of a Bi2(Te1-xSex)3 thermoelectric conversion material (where 0≦x<1) as a matrix in which ceramic phonon scattering particles are dispersed. The nanocomposite thermoelectric conversion material produced by adjusting a first aqueous solution of a Bi complex to a higher pH value than an isoelectric point of phonon scattering particles, adding phonon scattering particles not modified on their surface to the pH adjusted first aqueous solution, and mixing the first aqueous solution to which phonon scattering particles have been added and a second aqueous solution including at least the former of Te anions and Se anions.
US08889023B2 Plasma processing apparatus and plasma processing method
A plasma processing apparatus includes a flow splitter for dividing a common gas into two common gas streams of common gas branch lines. A central introduction portion connected to one of the common gas branch lines supplies a common gas to a central portion of a substrate to be processed. A peripheral introducing portion connected to the other one of the common gas branch lines supplies the common gas to a peripheral portion of the substrate. The peripheral introducing portion has peripheral inlets arranged about a circumferential region above the substrate. An additive gas line is connected to an additive gas source to add an additive gas to at least one of the common gas branch lines. In addition, an electron temperature of a plasma in a region where the peripheral inlets are disposed is lower than that in a region where the introduction portion is disposed.
US08889022B2 Methods of forming asymmetric spacers on various structures on integrated circuit products
One illustrative method disclosed herein includes forming a structure above a semiconductor substrate, performing a conformal deposition process to form a layer of undoped spacer material above the structure, performing an angled ion implant process to form a region of doped spacer material in the layer of undoped spacer material while leaving other portions of the layer of undoped spacer material undoped, and, after performing the angled ion implant process, performing at least one etching process that removes the undoped portions of the layer of undoped spacer material and thereby results in a sidewall spacer comprised of the doped spacer material positioned adjacent at least one side, but not all sides, of the structure.
US08889021B2 Process condition sensing device and method for plasma chamber
A sensing device for measuring a plasma process parameter in a plasma chamber for processing workpieces may include a substrate with one or more sensor embedded in the substrate. The substrate can have a surface made of substantially the same material as workpieces that are plasma processed in the plasma chamber. Each sensor can include a collector portion made of substantially the same material as the substrate surface. The collector portion includes a surface that is level with the surface of the substrate. Sensor electronics are embedded into the substrate and coupled to the collector portion. When the substrate surface is exposed to a plasma one or more signals resulting from the plasma can be measured with the sensor(s).
US08889020B2 Process for improving critical dimension uniformity of integrated circuit arrays
Methods for patterning integrated circuit (IC) device arrays employing an additional mask process for improving center-to-edge CD uniformity are disclosed. In one embodiment, a repeating pattern of features is formed in a masking layer over a first region of a substrate. Then, a blocking mask is applied over the features in the masking layer. The blocking mask is configured to differentiate array regions of the first region from peripheral regions of the first region. Subsequently, the pattern of features in the array regions is transferred into the substrate. In the embodiment, an etchant can be uniformly introduced to the masking layer because there is no distinction of center/edge in the masking layer. Thus, CD uniformity can be achieved in arrays which are later defined.
US08889019B1 Super shallow laminated hard mask stencil for magnetic read sensor fabrication
The present invention generally relates to methods for forming a sensor structure utilizing a shallow and narrow hard mask stencil. In one embodiment, a sensor structure is formed by utilizing a four-layered hard mask stencil. The four-layered hard mask stencil includes a first mask layer, a second mask layer disposed over the first hard mask, a third mask layer disposed over the second mask layer, and a forth mask layer disposed over the third mask layer. In another embodiment, a sensor structure is formed by utilizing a three-layered hard mask stencil. The three-layered hard mask stencil includes a first mask layer, a second mask layer disposed over the first mask layer, and a third mask layer disposed over the second mask layer. The sensor structure is formed with a two-step chemical mechanical planarization (CMP) process.
US08889015B2 Method of installing an air scour system into a filter bed
An apparatus for removing impurities from water and/or wastewater and a method of installing a fluid distribution system in the apparatus. In the most preferred form of the invention, the fluid distribution system is an air scour system for directing air through the filter bed to assist in cleaning of the filter bed to remove impurities trapped in the filter bed during a service run. In the most preferred form, the method of installing the fluid distribution system in the filter bed is performed by imparting a force to the filter bed to permit the fluid distribution system to be installed in the filter bed without removing media. The fluid distribution system is preferably configured to permit the fluid distribution system to be readily installed in the filter bed and at an optimum orientation.
US08889011B1 Method of oil-spill removal
A method of removal of oil slicks or spills floating on the surfaces of bodies of water is disclosed in which, unlike the traditional methods, a floatable or a non-floatable oil-absorbing substance is pumped into the water under the oil-spill layer. The pumped substance will reach and lie under the oil-spill layer and cannot be blown away by wind or other causes during or after dispersion of the substance. After application of the substance, the oil layer may be naturally or mechanically agitated to facilitate formation of a removable mixture of oil and the substance. In various embodiments, after the removal of the oil-substance mixture, the oil may be separated from the mixture and be reused.
US08889010B2 Norm removal from frac water
A method for treating low barium frac water includes contacting a frac water stream with a radium selective complexing resin to produce a low radium stream, passing the low radium stream through a thermal brine concentrator to produce a concentrated brine; and passing the concentrated brine through a thermal crystallizer to yield road salt.
US08889009B2 Process for purifying low molecular weight hydridosilanes
The present invention relates to a process for purifying low molecular weight hydridosilane solutions, in which a solution to be purified comprising a) at least one low molecular weight hydridosilane, b) at least one solvent and c) at least one impurity selected from the group of the compounds having at least 20 silicon atoms and/or the group of the homogeneous catalyst systems is subjected to a crossflow membrane process with at least one membrane separation step using a permeation membrane.
US08889003B2 Underdrain filter block including a grout chamber
An underdrain filter block for use in draining and backwashing a filtering media in a filter bed includes a top wall, a pair of side walls extending from the top wall, and a bottom wall extending between the pair of side walls. The top wall, the pair of side walls, and the bottom wall define an upper portion and a lower portion. The lower portion includes a grout chamber having a plurality of openings defined by at least one of the bottom wall and the pair of side walls.
US08888998B2 Bypass valve for water filter system
A head for a water filter system having a filter element including a three-way valve member movable between a first position, a second position, and a third position. When the valve member is in the first position, incoming water is directed to the filter element to remove sediment and impurities from the water. When the valve member is in the second position, the incoming water is blocked from passing through the filter element and the valve member. Lastly, when the valve member is in the third position, the incoming water passes through the valve member and not the filter element so that the filter element can be removed and replaced without interrupting the incoming water flow.
US08888997B2 Centralized supply system for electrolyzed oxidizing water and intelligent control method thereof
A centralized supply system for electrolyzed oxidizing water comprises a water softener (1), several parallel electrolyzed oxidizing water generators (2) connected, liquid storage tanks (3, 4) and delivery pumps (5, 6). A central controller (10) implements independent control over the several parallel electrolyzed oxidizing water generators (2). Liquid level sensors (9) are mounted in the liquid storage tanks (3, 4) and are connected with the central controller (10) via data collection cables (12). With the detection, determination and calculation for the liquid level signal in the liquid storage tanks (3, 4) using the central controller (10), the volume magnitude and the rate of change of the volume for the liquid in the liquid storage tanks (3, 4) can be exactly obtained in time. The electrolyzed oxidizing water generators (2) are effectively controlled based on above data. An intelligent control method for the system is also provided.
US08888993B2 Treatment of a hydrocarbon feed
A method is disclosed for removing impurities such as nitrogen and/or sulfur compounds from a hydrocarbon feed, in which the feed is contacted with an adsorbent including a nitrogen-containing organic heterocyclic salt deposited on a porous support, e.g., a supported ionic liquid. Additionally, a method for hydrotreating a hydrocarbon feed which includes a hydroprocessing step is disclosed, wherein prior to hydroprocessing, the feed is contacted with an adsorbent including a supported ionic liquid. Additionally, a method for producing a lube oil which includes isomerization dewaxing of a base oil fraction is disclosed, wherein prior to the isomerization dewaxing step, the base oil fraction is contacted with an adsorbent including a supported ionic liquid. In one embodiment, the adsorbent is regenerated to restore its treatment capacity.
US08888984B2 Plating bath and method
Tin-silver alloy electroplating baths having certain amine-oxide surfactants and methods of electrodepositing a tin-silver-containing layer using these baths are disclosed. Such electroplating baths are useful to provide tin-silver solder deposits having reduced void formation and improved within-die uniformity.
US08888980B2 Electrophoresis apparatus and control method thereof
An electrophoresis apparatus that applies voltage from electrodes that are provided in a capillary flow channel and causes component separation by performing electrophoresis on a specimen that is injected into the capillary flow channel comprises: a physical quantity acquisition unit and a physical quantity determination unit. The physical quantity acquisition unit, with migration solution and specimen injected inside the capillary flow channel, acquires an electrical quantity that occurs in the capillary flow channel at a specified time when voltage is being applied to the electrodes. The physical quantity determination unit determines whether or not the electrical quantity that the physical acquisition unit acquires is within a specified range.
US08888977B2 Combination electrode for ion concentration measurement
A combination electrode for ion concentration measurement is provided including a glass electrode and a reference electrode whose internal electrode is made of silver-silver chloride, wherein a sealing means is provided configured to seal an upper surface of a reference electrode chamber formed in an outer tube which houses an internal electrode and an internal solution of the reference electrode, and around a glass support tube penetrating the outer tube along a substantially center axis thereof an annular silver member which the glass support tube penetrates along a center axis thereof, annular sealing elastic members for liquid-tightly sealing a space between the silver member and the glass support tube, and a space between the silver member and the outer tube, respectively, and the reference electrode internal electrode is connected to a terminal for a reference electrode through the silver member.
US08888976B2 Corrosion resistance evaluator
The present invention is directed to a corrosion resistance evaluator suitable for corrosion testing coated metals substrates, such as autobodies. A corrosion resistance evaluator provided with a chamber containing electrolyte to which anode and cathode coated with protective coating being tested are exposed. These coatings are provided with predetermined and standardized defects, such as micro-holes to accelerate the corrosion of the underlying metal substrate in a predictable and repeatable manner. The coated cathode/anode pair is subject to a start-up period followed by series preset DC voltages for preset durations that are interspaced with recovery periods. The impedance date collected is then used to arrive at the corrosion performance resistance of the coating applied over the cathode/anode pair. The foregoing evaluator substantially reduces the time required to test corrosion from several days (40 plus days) to few days (about two days).
US08888975B2 Biosensor, biosensor chip and biosensor device
A biosensor includes a working electrode 101, a counter electrode 102 opposing the working electrode 101, a working electrode terminal 103 and a working electrode reference terminal 10 connected to the working electrode 101 by wires, and a counter electrode terminal 104 connected to the counter electrode 102 by a wire. By employing a structure with at least three electrodes, it is possible to assay a target substance without being influenced by the line resistance on the working electrode side.
US08888974B2 Biosensor, biosensor chip and biosensor device
A biosensor includes a working electrode 101, a counter electrode 102 opposing the working electrode 101, a working electrode terminal 103 and a working electrode reference terminal 10 connected to the working electrode 101 by wires, and a counter electrode terminal 104 connected to the counter electrode 102 by a wire. By employing a structure with at least three electrodes, it is possible to assay a target substance without being influenced by the line resistance on the working electrode side.
US08888972B2 Electrochemical biosensor structure and measuring method using the same
The present invention provides there is provided an electrochemical biosensor electrode structure that includes: a working electrode and a reference electrode used as electrodes for sample measurement being arranged separately from each other in lengthwise direction of a sample insertion path, the working electrode and the reference electrode each having at least one projection and at least one recess alternately arranged on a portion thereof corresponding to the sample insertion path, the projection of the working electrode being correspondingly adjacent to the recess of the reference electrode, the recess of the working electrode being correspondingly adjacent to the projection of the reference electrode; and at least two sample recognition electrodes used as electrodes for sample recognition being separated from each other and arranged adjacent and parallel to the working electrode and the reference electrode. The present invention minimizes the effect of the double layer capacitance and independently applies the sample recognition signal to accurately detect the sample insert time and velocity.
US08888968B2 Electrolyzer apparatus and method of making it
An apparatus for the electrolytic splitting of water into hydrogen and oxygen gases is disclosed. The apparatus comprises: (i) a first hemi-enclosure; (ii) a second hemi-enclosure; (iii) a diaphragm electrode array positioned between the first hemi-enclosure and the second hemi-enclosure comprising: (a) a diaphragm, that passes ions and impedes the passage of gases, comprising a first side and a second opposed side; (b) a first plurality of electrodes in a first vicinity of the first side of the diaphragm; and (c) a second plurality of electrodes in a second vicinity of the second opposed side of the diaphragm; (iv) a fastener, for leak-tight fastening of the first hemi-enclosure, the diaphragm electrode array, and the second hemi-enclosure, whereby a leak-tight enclosure is formed; (v) contacts, for electrically powering the first and second pluralities of electrodes, and; (vi) pathways, configured to remove hydrogen and oxygen gases from the enclosure.
US08888965B2 Non-stoichiometric titanium nitride films
The present application relates to a process for the manufacture of transparent, large band gap, high refractive index and high temperature stable, non-stoichiometric titanium nitride thin film (TiNx0.1
US08888964B2 Divided wall distillation column for producing high purity normal butanol, and normal butanol distillation method
A dividing wall distillation column for producing high-purity n-butanol and a method for the production of high-purity n-butanol by fractional distillation are disclosed. More particularly, the method which provides a dividing wall distillation column with crude n-butanol as a feed to perform a fractional distillation of n-butanol and an apparatus thereof are disclosed. The dividing wall distillation column exhibits the effects of a two distillation column from only one distillation column, thereby reducing energy and the costs of installing the apparatus as compared to conventional distillation systems.
US08888963B2 Water vending apparatus
A water vending apparatus is disclosed. The water vending system includes a water vapor distillation apparatus and a dispensing device. The dispensing device is in fluid communication with the fluid vapor distillation apparatus and the product water from the fluid vapor distillation apparatus is dispensed by the dispensing device.
US08888955B2 Method and equipment for the evaporation of black liquor that is obtained from a digestion process during the production of cellulose pulp
The method and equipment are for the evaporation of hot black liquor obtained from a digestion process during the production of cellulose pulp. The black liquor is led to a multistage evaporation line with at least five evaporation stages. The black liquor that is to undergo evaporation in the evaporation line is cooled in at least one heat exchange process in a heat exchanger (HE1) against the partially evaporated black liquor that has undergone evaporation in at least one stage (I). The black liquor that is to undergo evaporation is cooled and the partially evaporated black liquor is heated by at least 5-10° C. The risk for the formation of foam and the separation of methanol are reduced. The greater part of the evaporation takes place at low temperature and at the lowest level of dry matter content in the liquor, which also suppresses encrustation in the stage.
US08888953B2 Method and apparatus for display screen shield replacement
A method and apparatus for replacement of damages display shield (typically glass) covering a display screen on a device, typically a mobile phone. Mobile phones have an electronic display protected by a glass shield. Between the glass and the display is often a plastic polarizing or other intermediary sheet. Removal of a damage glass can be accomplished by cutting thru the polarizer with a moving wire or blade. This separates the glass from the sensitive display and allows replacement of the glass without damaging the more expensive display.
US08888952B2 Apparatus for wet treatment of plate-like articles
Disclosed is an apparatus for wet treatment of a plate-like article, which includes: a spin chuck for holding and rotating the plate-like article including an element for holding the plate-like article at the plate-like article's edge and a gas supply element for directing gas towards the side of the plate-like article, which faces the spin chuck, wherein the gas supply element includes a gas nozzle rotating with the spin chuck, for providing a gas cushion between the plate-like article and the spin chuck; a fluid supply element for directing fluid onto the side of the plate-like article, which is facing the spin chuck, through a non-rotatable fluid nozzle.
US08888947B2 Method and system for advanced process control in an etch system by gas flow control on the basis of CD measurements
By controlling the flow rate of one or more gaseous components of an etch ambient during the formation of metal lines and vias on the basis of feedback measurement data from critical dimensions, process variations may be reduced, thereby enhancing performance and reliability of the respective metallization structure.
US08888945B2 Label applicator having a heat idler
The use of a heat idler moveable along a path provides speed and flexibility in the heat labeling of containers.
US08888944B2 Affinity bond layer
A method and apparatus for bonding a first substrate to a second substrate can include an intermediate layer disposed between the substrates. In one embodiment, the intermediate layer can be disposed to a bonding area of the first substrate and only one adhesive layer can be disposed between the intermediate layer and the second substrate. In other embodiments, a plurality of intermediate layers can be used.
US08888943B1 Process for producing metal foil labels in roll form
A method of transferring a plurality of metal foil labels from a metal foil strip onto a continuous film liner in spaced apart juxtapositions, the method comprising placing metal foil strips onto a continuous web to form an assembly of the strips and the web, feeding the foil strip assembly through a compression roller module, and then feeding the foil strip assembly to a rotary die cutting module to cut through said metal foil strip and portions of said web to form a plurality of metal foil labels.
US08888941B2 Method of making multilayer product having honeycomb core of improved strength
A process of making a multilayered product having an interior honeycomb layer or core. The interior layer is formed by extruding a generally corrugated web of material. The corrugated web is cut and folded to create the honeycomb core. Outer protective skins are applied to exterior surfaces of the interior layer to create a multilayered material which is then cut to size.
US08888940B2 Methods for forming composite housing frames
Electronic device frames may be formed from fiber composites. Carbon fiber material may be formed into frame members using pultrusion tools. Notches may be machined into the frame members. The machined frame members may be assembled to form a rectangular frame assembly using lap joints. Fiber tape may be wound around the periphery of the frame assembly. Following curing, additional parts such as a metal blank may be bonded to the frame assembly and machined.
US08888937B2 Method for continuously manufacturing liquid crystal display device
The method for continuously manufacturing a liquid crystal display device, includes: a peeling step of peeling off a sheet piece of a pressure-sensitive adhesive-containing polarizing film from a carrier film; a taking-up step of taking up the carrier film; a bonding step of bonding the sheet piece to a liquid crystal panel with the pressure-sensitive adhesive interposed therebetween; and a velocity control step of controlling V1 and V2 so that in a process of bonding the sheet piece to the liquid crystal panel, the relation V1=V2 can be satisfied during a period from a bonding start time when bonding the sheet piece to the liquid crystal panel is started to a time when the sheet piece is peeled off from the carrier film and that the relation V1
US08888935B2 Family of modifiable high performance electrically controlled propellants and explosives
A composition capable of producing either solid propellant grains, liquid or gel monopropellants, all of which are electrically ignitable and capable of sustained controllable combustion at ambient pressure. Additional compositions capable of sustained controllable combustion at elevated pressures are described. Applications for the compositions disclosed herein are provided, and include among other applications use in small micro thrusters, large core-burning solid propellant gains, shaped explosives charges for military application, and pumpable liquids and gel monopropellants or explosives for military, commercial mining or gas and oil recovery. In alternative embodiments the above compositions may also incorporate an energetic nitrate polymer, bum rate modifiers, and/or metal fuel(s). The HIPEP formulation makes it possible to ignite and sustain combustion at ambient and vacuum conditions (a) without continuous electrical power and (b) while providing faster bum rates.
US08888933B2 High-strength steel sheet, hot-dipped steel sheet, and alloy hot-dipped steel sheet that have excellent fatigue, elongation, and collision characteristics, and manufacturing method for said steel sheets
This high-strength steel sheet includes: in terms of percent by mass, 0.03 to 0.10% of C; 0.01 to 1.5% of Si; 1.0 to 2.5% of Mn; 0.1% or less of P; 0.02% or less of S; 0.01 to 1.2% of Al; 0.06 to 0.15% of Ti; and 0.01% or less of N; and contains as the balance, iron and inevitable impurities, wherein a tensile strength is in a range of 590 MPa or more, and a ratio between the tensile strength and a yield strength is in a range of 0.80 or more, a microstructure includes bainite at an area ratio of 40% or more and the balance being either one or both of ferrite and martensite, a density of Ti(C,N) precipitates having sizes of 10 nm or smaller is in a range of 1010 precipitates/mm3 or more, and a ratio (Hvs/Hvc) of a hardness (Hvs) at a depth of 20 μm from a surface to a hardness (Hvc) at a center of a sheet thickness is in a range of 0.85 or more.
US08888932B2 Indium-containing lead-free solder for vehicle-mounted electronic circuits
A lead-free solder alloy which can be used for soldering of vehicle-mounted electronic circuits and which exhibits high reliability is provided.The alloy consists essentially of Ag: 2.8-4 mass %, In: 3-5.5 mass %, Cu: 0.5-1.1 mass %, if necessary Bi: 0.5-3 mass %, and a remainder of Sn. In is at least partially in solid solution in the Sn matrix.
US08888931B2 Dishwasher pump inlet macerator system
A dishwasher is provided having a wash chamber that is supplied with wash water by a water circulation pump assembly. The pump assembly has a motor, an inlet in fluid communication with a sump, and an outlet in fluid communication with the wash chamber. A macerator system is configured with the pump assembly and includes a filter screen disposed across the inlet and a chopper blade rotationally driven by the pump assembly at a defined axial distance upstream from the filter screen. The chopper blade is biased by materials in magnetic flux communication to the defined axial distance so as to maintain the defined distance in a running and stopped states of the pump assembly.
US08888924B2 Freestanding detergent composition not requiring an automated dispenser
A solid controlled release composition according to the present invention includes at least one cellulosic material, water, at least one active ingredient, and optionally at least one of a saccharide, sugar alcohol or salt. The active ingredient can be a polycarboxylic acid.
US08888921B2 Catalytic converter, a kit for servicing a catalytic converter, and methods for servicing a catalytic converter
A method is disclosed. The method comprises the steps of preparing a serviceable catalytic converter such that a catalyst substrate of the catalytic converter is serviceable while the catalytic converter remains connected to an exhaust system by forming a lower surface of the body of the catalytic converter with an absence of material in order to provide at least one opening in the lower surface of the body of the catalytic converter for permitting selective access to a fluid-flow passage that extends through the body of the catalytic converter that contains the catalyst substrate; and disposing at least one close-out member in the at least one opening for fluidly-sealing the at least one opening. A component of an exhaust system that is serviceable while remaining fluidly-connected and physically-connected to the exhaust system is also disclosed. A kit for servicing a component of an exhaust system that is serviceable while remaining fluidly-connected and physically-connected to the exhaust system is also disclosed.
US08888917B2 Restricted radiated heating assembly for high temperature processing
A vapor deposition reactor and associated method are disclosed that increase the lifetime and productivity of a filament-based resistive-heated vapor deposition system. The reactor and method provide for heating the filament while permitting the filament to move as it expands under the effect of increasing temperature while limiting the expanding movement of the filament to an amount that prevents the expanding movement of the filament from creating undesired contact with any portions of the reactor.
US08888916B2 Thermal reactor with improved gas flow distribution
Embodiments of the present invention provide apparatus and method for improving gas distribution during thermal processing. One embodiment of the present invention provides an apparatus for processing a substrate comprising a chamber body defining a processing volume, a substrate support disposed in the processing volume, wherein the substrate support is configured to support and rotate the substrate, a gas inlet assembly coupled to an inlet of the chamber body and configured to provide a first gas flow to the processing volume, and an exhaust assembly coupled to an outlet of the chamber body, wherein the gas inlet assembly and the exhaust assembly are disposed on opposite sides of the chamber body, and the exhaust assembly defines an exhaust volume configured to extend the processing volume.
US08888910B2 Encapsulated zinc compounds and methods for preparing and using same
Disclosed are encapsulated zinc compounds, together with methods for preparing and use the same. Also disclosed are methods for mixing the encapsulated zinc mixtures with a cement, and the resulting concrete compositions.
US08888909B2 Method for upgrading combustion ash
A method of producing enhanced coal combustion ash for use in pozzolanic applications or cement manufacture, in which the enhanced combustion ash has lower mercury content. A slurry is formed of the combustion ash and water and is subjected to froth flotation to form a mercury-enriched ash slurry and a mercury-depleted ash slurry. The product mercury-depleted ash slurry is isolated and may optionally be dried. The combustion ash may be pulverized prior to being used to form the slurry, reducing its mean particle size. The mercury-depleted combustion ash product has reduced levels of mercury and ammonia, and reduced particle size.
US08888905B2 Fast crystallizing crystalline-amorphous ink compositions and methods for making the same
A phase change ink composition comprising an amorphous component, and a crystalline material, which are suitable for ink jet printing, including printing on coated paper substrates. In particular, the functional group(s) present in the amorphous component differ from the functional group(s) present in the crystalline component. In particular, the phase change inks compositions solidify fast and are suitable for high speed printing.
US08888902B2 Portable oxygen enrichment device and method of use
Lightweight, small, portable devices and methods are disclosed that provide oxygen-enriched air using an ultra rapid adsorption cycle based on advanced molecular sieve materials.
US08888901B2 Fuel vapor treating apparatus
A fuel vapor treating apparatus includes a fuel tank having an inlet pipe and being configured to preserve liquid fuel therein, an adsorbent canister filled with an adsorbent capable of adsorbing fuel vapor, a fuel vapor path connecting the fuel tank to the adsorbent canister, a diaphragm valve having a back pressure chamber and being configured to open and close the fuel vapor path, a circulation path connecting the fuel tank to the inlet pipe for circulating fuel vapor from the fuel tank to the inlet pipe during refueling and being configured to be blocked when the fuel tank is filled up, and a negative pressure generator connected to the circulation path and the back pressure chamber and configured to generate negative pressure by using a stream of the fuel vapor in the circulation path in order to cause the negative pressure to act on the back pressure chamber of the diaphragm valve.
US08888900B2 Apparatus for treating gas
Apparatus for treating gas comprises a casing (100) containing a gas scrubber section (118) and an electrostatic precipitator section (120) located above the scrubber section. A partition (136) may be located within the casing (100) to separate the precipitator section (120) from the scrubber section (118). The casing has a gas inlet (102) for supplying gas to the scrubber section, a gas outlet (104) for exhausting gas from the precipitator section, a scrubbing liquid inlet (106) for supplying scrubbing liquid to the precipitator section, and a scrubbing liquid outlet (126) for draining scrubbing liquid from the scrubber section. In one embodiment the partition comprises a set of apertures (138) through which scrubbing liquid drains from the precipitator section into the scrubber section, and a set of gas passages (140) for conveying gas from the scrubber section to the precipitator section.
US08888898B1 Vacuum filling and degasification system
A two-phase working fluid, having a liquid phase and a gas phase, is purged of non-condensable gas prior to being used to charge a closed thermal management system, improving the heat transfer performance of the thermal management system. The liquid phase of the two-phase working fluid is exposed to conditions that cause non-condensable gas to separate from the two-phase working fluid. The non-condensable gas is vented, and two-phase working fluid that vaporizes under the conditions is captured.
US08888897B2 Method and apparatus for handling gases
The invention relates to an apparatus and a method for handling gases, the apparatus comprising an inlet gas duct (2) leading said gas to a bubbling tank (21), and a gas buffer drum (3) opening into the tank (21) via perforations formed on a plate (3a) of the drum (3), and a suction duct (5) submerged in gas handling liquid (7) situated in the tank (21), and having a gas outlet duct (8) connected into the gas room (3b) of the tank (21). Said perforations formed on the plate (3a) of the drum (3) are shaped as nozzles (4) having a blow direction (J) inclined by an acute angle relating to the plate (3a). The apparatus further comprising a valve (31) for draining the liquid (7) and a turbine house (22) divided into two chambers (22a,22b) by a plate (27), the first chamber (22a) housing an air turbine (43) driven by a liquid turbine (23) housed in the second chamber (22b) and operated by a liquid jet nozzle (26). The first chamber (22a) is connected to the tank (21) by means of the gas outlet duct (8), and the suction duct (5) is connected to the liquid jet nozzle (26) via pump (29a), and the second chamber (22b) is connected to the tank (21).
US08888896B1 Contaminant removal from gas streams
A system and method of cleaning and scrubbing contaminants, including sulfides, from an unclean or raw gas includes one or more containers, each of which include a gas permeable receptacle or bag containing an appropriate gas scrubbing medium for removing the contaminants from the gas stream. A gas extraction device is adapted via inserted into the gas scrubbing medium with the gas permeable receptacle wherein the gas extraction device is connected to at least one gas outlet port in the container. A series of containers can be used wherein the containers are connected sequentially to remove the gas. A system for bypassing one of the plurality of containers in order to clean out the container while the gas cleaning mechanism is still in operation is also described.
US08888885B2 Filter and filter media having reduced restriction
A pleated filter media comprises a plurality of pleats comprised of pleat segments extending in an axial direction between first and second axial ends and extending in a transverse direction that is perpendicular to the axial direction between first and second sets of pleat tips at least partially defined by first and second sets of bend lines. Axial flow channels are defined between the pleat segments in the lateral direction and the plurality of pleats has a width in the transverse direction that varies along the axial direction.
US08888884B2 Cartridge filter dimensional compensator
A cartridge filter insert includes a body, an internal opening, and an exterior surface. The internal opening is configured to permit an airflow through the body. The exterior surface is configured to removably engage a surface of a cartridge filter having an outlet. The internal opening has an inside dimension smaller than an inside dimension of the outlet of the cartridge filter to effectively reduce the inside dimension of the outlet. An inlet filter house including the cartridge filter and the cartridge filter insert are also provided. Methods of filtering a fluid using the cartridge filter and the cartridge filter insert within the inlet filter house are also provided.
US08888879B1 Detection of one or more interstitial constituents in a polycrystalline diamond element by neutron radiographic imaging
In an embodiment, a method of non-destructively testing a polycrystalline diamond (“PCD”) element includes providing a PCD element including a plurality of bonded diamond grains defining a plurality of interstitial regions, at least a portion of the plurality of interstitial regions including one or more interstitial constituents disposed therein. The method further includes exposing the PCD element to neutron radiation from a neutron radiation source, receiving a portion of the neutron radiation that passes through the PCD element, and determining at least one characteristic of the PCD element at least partially based on the portion of the neutron radiation received. For example, the at least one characteristic may be the presence and distribution of metal-solvent catalyst, residual metal-solvent catalyst, an infiltrant, residual infiltrant, or other interstitial constituents within a PCD element.
US08888877B2 Forming alkaline-earth metal oxide polishing pad
The invention involves a method of preparing an alkaline-earth metal oxide-containing polishing pad useful for polishing at least one of semiconductor, magnetic and optical substrates. The method includes introducing a feed stream of gas-filled polymeric microelements into a gas jet, the polymeric microelements having varied density, varied wall thickness and varied particle size. The method passes the polymeric microelements in the gas jet adjacent a Coanda block, the Coanda block having a curved wall for separating the polymeric microelements with Coanda effect, inertia and gas flow resistance. Then it separates various alkaline earth metal oxide constituents from the curved wall of the Coanda block to clean the polymeric microelements.
US08888871B2 Production of renewable biofuels
Renewable fuels are produced in commercial quantities and with enhanced efficiency by integrating a bio-oil production system with a conventional petroleum refinery so that the bio-oil is co-processed with a petroleum-derived stream in the refinery. The techniques used to integrate the bio-oil production system and conventional petroleum refineries are selected based on the quality of the bio-oil and the desired product slate from the refinery.
US08888869B2 Systems, methods and apparatuses for magnetic processing of solar modules
Provided herein are methods, apparatuses and systems for fabricating photovoltaic cells and modules. In certain embodiments, the methods, apparatuses and systems involve coating ferromagnetic substrates with thin film solar cell materials and using magnetic force to constrain, move or otherwise manipulate partially fabricated cells or modules. According to various embodiments, the methods, apparatuses and systems provide magnetically actuated handling throughout a photovoltaic cell or module fabrication process, from forming photovoltaic cell layers on a substrate to packaging the module for transport and installation. The magnetically manipulated processing provides advantages over conventional photovoltaic module processing operations, including fewer mechanical components, greater control over placement and tolerances, and ease of handling. As a result, the methods, apparatuses and systems provide highly efficient, low maintenance photovoltaic module fabrication processes.
US08888865B2 Thiophene azo carboxylate dyes and laundry care compositions containing the same
This application relates to thiophene azo carboxylate dyes for use as hueing agents, laundry care compositions comprising such dyes that may serve as hueing agents, processes for making such dyes and laundry care compositions and methods of using the same. The aforementioned dyes contain a formally charged moiety and are generally comprised of at least two components: at least one chromophore component and at least one polymeric component. Suitable chromophore components generally fluoresce blue, red, violet, or purple color when exposed to ultraviolet light, or they may absorb light to reflect these same shades. Such dyes are advantageous in providing a hueing effect, for example, a whitening effect to fabrics, while not building up over time and causing undesirable blue discoloration to the treated fabrics. Such dyes are also generally stable to bleaching agents used in laundry care compositions.
US08888863B2 Surgical implant
A surgical implant has a mesh-like base structure (2) and a film (4). The film (4) extends over at least part of the base structure (2), is connected to the base structure (2) in partial regions, and has a coefficient of kinetic friction, relative to rat skin, of not more than 0.25. The film (4) is preferably absorbable.
US08888858B2 Femoral trochlea prostheses
Various embodiments of femoral trochlea prostheses useable in a knee joint. The knee joint includes a patella and a distal femur with a femoral trochlea, or patello-femoral groove. In one embodiment, a femoral trochlea prosthesis includes a distal tail. In another embodiment, a femoral trochlea prosthesis includes a wing or extension portion. In yet another embodiment, a set of femoral trochlea prostheses includes a plurality of prostheses having differing thicknesses. In still another embodiment, a femoral trochlea prosthesis includes a porous medium on various portions of the prosthesis.
US08888856B2 Total knee implant
A knee prosthesis is provided for use in knee arthroplasty. In one exemplary embodiment, the present invention provides a tibial prosthesis having a tibial baseplate with a fixed medial bearing component and a mobile lateral bearing component. In one exemplary embodiment, the lateral bearing component is secured to the lateral portion of the tibial baseplate utilizing at least one prosthetic ligament. Additionally, in one exemplary embodiment, a stop is provided to limit anterior or posterior movement of the lateral bearing component relative to the tibial baseplate. For example, the stop may be defined by cooperating shoulders formed on the lateral bearing and the tibial baseplate.
US08888855B2 Reverse shoulder humeral adapter trays
Disclosed herein are components of a reverse shoulder prosthesis. In an embodiment, a reverse shoulder prosthesis includes a humeral adapter tray configured to sit near a resected surface of a humerus, the humeral adapter tray comprising: a cavity; a central bore; and a distal face including a boss, the boss: (i) configured as an extension of the distal face, (ii) posteriorly offset from the central bore by at least 10 mm, and (iii) configured to engage a humeral stem; and a humeral liner comprising: a distal rim configured to sit within the cavity of the humeral adapter tray; and a concave articulating surface configured to mate with a convex articulating surface of a glenosphere. In an embodiment, the boss, in addition to being posteriorly offset, is superiorly offset from the central bore by at least 8 mm. In an embodiment, the reverse shoulder a humeral stem which engages the boss.
US08888853B2 Expandable fusion device and method of installation thereof
The present invention provides an expandable fusion device capable of being installed inside an intervertebral disc space to maintain normal disc spacing and restore spinal stability, thereby facilitating an intervertebral fusion. In one embodiment, the fusion device includes a body portion, a first endplate, and a second endplate, the first and second endplates capable of being moved in a direction away from the body portion into an expanded configuration or capable of being moved towards the body portion into an unexpanded configuration. The fusion device is capable of being deployed and installed in both configurations.
US08888851B2 Intervertebral disc prosthesis with transversally arched, curved cylindrical articulation surfaces for the lumbar and cervical spine
An intervertebral disc prosthesis for the total replacement of an intervertebral disc within the lumbar and cervical spine is disclosed. The intervertebral disc prosthesis comprises articulating sliding partners, wherein the upper sliding partner is adapted for a firm assembly to an upper vertebral body and the lower sliding partner is adapted for a firm assembly to a lower vertebral body. The functional two part design provides a dorsoventral motion and in the transversal plane a rotational motion around a fictitious vertical axis as a result of a laterolaterally aimed, transversally arched, ventrally curved cylindrical convexity and corresponding concavity but prevents an inclination of the sliding partners in a lateral direction. According to the invention, the intervertebral disk prostheses are suited for implantation from lateral and ventrolateral, particularly in revision surgeries.
US08888850B2 Combination spacer insert and support for providing inter-cervical vertebral support
A combination spacer insert and support for incorporating into a space defined between succeeding vertebrae. A first insert body is constructed of a composite material exhibiting at least one modulus of elasticity with a substantially cylindrical shape and is inserted within the space, such as established between a pair of previously non-consecutive vertebrae and corresponding to an absent vertebrae. An additional and exterior supported member engages locations associated with the (formerly) non-consecutive vertebrae and which, in combination with the insert body, provides a limited degree of mobility to the vertebrae.
US08888847B2 Cover having self-anchoring protrusions for use with an implantable medical device
A cover for receiving an implantable medical device includes self-anchoring protrusions that engage tissue of a pocket where the device is implanted to resist movement including rotation and flipping. The implantable medical device is placed into the cover prior to being placed into the pocket so that once in the pocket, the device may reduce rotating, flipping, or otherwise moving. The self-anchoring protrusions may include barbs of various shapes to frictionally engage the tissue of the pocket. The cover may include features such as a strap and elastic construction to assist in holding the implantable medical device within the cover. Apertures may be included to enable the device. The cover may include additional features like suture tabs to allow additional fixation via suturing the cover to the surrounding tissue.
US08888846B2 Passive ossicle prosthesis comprising applicator
An ossicle prosthesis has, at on one end, a first fastening element for connection to the tympanic membrane or a component of the ossicular chain, on the other end, a second fastening element for connection to a further component of the ossicular chain, or directly to the inner ear, and a connecting element that connects the two fastening elements in a sound-conducting manner, and it also includes an elongated applicator for transferring the ossicle prosthesis from a sterile packaging to the surgical site and for insertion into the middle ear or the auditory meatus, with a free end extending away from the prosthesis and used for handling purposes, and with an engagement part which is initially fastened to the prosthesis in a non-positive or form-fit manner, or via a material bridge which may be broken off or sheared off, and which may be detached and removed together with the applicator once the prosthesis has been inserted into the ear. This prosthesis may be removed from the sterile packaging and immediately inserted directly into the auditory meatus of the patient, or inserted into the middle ear in a standardized manner, without the use of additional tools, while ensuring that handling during surgery is optimal and tailored to the geometry of the prosthesis and ruling out the possibility of damage occurring via the gripping and transfer of the prosthesis.
US08888842B2 Implant made of a metallic material which can be resorbed by the body
The invention relates to an implant consisting of a metallic magnesium alloy that can be resorbed by the body, said metallic material being a magnesium alloy consisting of at least 96% w/w of magnesium, at least 1% w/w of manganese and at least 0.5% w/w of at least one metal of the rare earth group.
US08888837B2 Stent graft
The invention relates to a stent graft comprising a stent (1) having a plurality of ring segments (3) disposed adjacent to one another and connected to one another and at least one membrane (2) having at least one marginal ring segment (4) having a meandering web configuration, wherein web loops (5) which point inwards or outwards are cut in such a way as to produce spring tabs (6) which are disposed so that they engage positively in the web loops (5) and are resiliently movable against the web configuration, wherein the membrane (2) is gripped between the spring tabs (6) and the web.