Document Document Title
US08878370B2 Bond pad structure
A bond pad structure for an integrated circuit chip package is disclosed. The bond pad structure includes a top metal layer, a patterned metal layer and an interconnection structure. The patterned metal layer is formed below the top metal layer and includes an annular metal layer and a plurality of metal blocks evenly arranged at a central area of the annular metal layer; the patterned metal layer is connected to the top metal layer through both the annular metal layer and the metal blocks. The interconnection structure is formed below the patterned metal layer and is connected to patterned metal layer only through the annular metal layer. By using the above structure, active or passive devices can be disposed under the bond pad structure and will not be damaged by package stress. An integrated circuit employing the above bond pad structure is also disclosed.
US08878368B2 Rigid wave pattern design on chip carrier substrate and printed circuit board for semiconductor and electronic sub-system packaging
A rigid wave pattern formed on a first side of a substrate in a semiconductor die package. The rigid wave pattern aligns with and overlies the contact fingers formed on the second side of the substrate. The rigid wave pattern includes a first pattern with an etched portion and an unetched portion around the etched portion. When the substrate and dice are encased during the molding process, the rigid wave pattern effectively reduces deformation of and stresses on the dice, therefore substantially alleviating die cracking.
US08878361B2 Leadless package system having external contacts
A leadless package system includes: an integrated circuit die having contact pads; external contact terminals with a conductive layer and an external coating layer; connections between contact pads in the integrated circuit die and the external contact terminals; and an encapsulant encapsulates the integrated circuit die and the external contact terminals including the external coating layer.
US08878356B2 Package structure having micro-electro-mechanical system element and method of fabrication the same
A package structure is provided, including: a substrate having a ground pad and an MEMS element; a lid disposed on the substrate for covering the MEMS element; a wire segment electrically connected to the ground pad; an encapsulant encapsulating the lid and the wire segment; and a circuit layer formed on the encapsulant and electrically connected to the wire segment and the lid so as to commonly ground the substrate and the lid, thereby releasing accumulated electric charges on the lid so as to improve the reliability of the MEMS system and reduce the number of I/O connections.
US08878352B2 Semiconductor packaging structure and method
A system and method for packaging semiconductor dies is provided. An embodiment comprises a first package with a first contact and a second contact. A post-contact material is formed on the first contact in order to adjust the height of a joint between the contact pad a conductive bump. In another embodiment a conductive pillar is utilized to control the height of the joint between the contact pad and external connections.
US08878344B2 Compound semiconductor lateral PNP bipolar transistors
Compound semiconductor lateral PNP bipolar transistors are fabricated based on processes traditionally used for formation of compound semiconductor NPN heterojunction bipolar transistors and hence such PNP bipolar transistors can be fabricated inexpensively using existing fabrication technologies. In particular, GaAs-based lateral PNP bipolar transistors are fabricated using GaAs-based NPN heterojunction bipolar transistor fabrication processes.
US08878336B2 Fuse
A fuse includes a first conductor, an insulating film on the first conductor, a second conductor on the insulating film, a first plug coupled to the first conductor, a second plug and a third plug each coupled to the second conductor, and a cover film formed on the second conductor and having tensile strength.
US08878334B1 Integrated circuit resistors with reduced parasitic capacitance
Integrated circuits that include resistors are provided. An integrated circuit resistor may include a conductive structure disposed over a semiconductor substrate. An oxide layer may be interposed between the conductive structure and a top surface of the semiconductor substrate. A shallow trench isolation structure may be formed in the substrate directly beneath the oxide layer. The shallow trench isolation structure may be formed in a given region in the substrate that is contained within a surrounding n-well and a deep n-well. The given region within which the shallow trench isolation structure is formed may exhibit native substrate dopant concentration levels; the given region is neither an n-well nor a p-well. The surrounding n-well and the deep n-well may be reversed biased to help fully deplete the given region so that parasitic capacitance levels associated with the resistor are minimized.
US08878333B2 Semiconductor device having improved RF characteristics and moisture resistance and method for manufacturing the same
A semiconductor device includes: a semiconductor substrate having a main surface; an electrode in a device region on the main surface; a metal wiring on the main surface and having a first end connected to the electrode; an electrode pad outside the device region and spaced from the metal wiring; an air gap between the main surface and an air gap forming film on the main surface, enveloping the first end of the metal wiring and the electrode, and having a first opening; a resin closing the first opening and covering a second end of the metal wiring; a liquid repellent film facing the air gap and increasing contact angle of the resin, when liquid, relative to contact angles on the semiconductor substrate and the air gap forming film; and a metal film connecting the metal wiring to the electrode pad through a second opening located in the resin.
US08878320B2 Semiconductor memory device
According to one embodiment, a semiconductor memory device includes plural magneto-resistance elements being two-dimensionally arrayed on a semiconductor substrate. In the semiconductor memory device, each of the magneto-resistance elements includes: a first magnetic layer formed on the semiconductor substrate; a non-magnetic layer formed on the first magnetic layer; and a second magnetic layer formed on the non-magnetic layer, and an insulating film buried between the magneto-resistance elements adjacent to each other, a powder made of a metallic material or a magnetic material being dispersed in the insulating film.
US08878318B2 Structure and method for a MRAM device with an oxygen absorbing cap layer
MTJ stack structures for an MRAM device include an MTJ stack having a pinned ferromagnetic layer over a pinning layer, a tunneling barrier layer over the pinned ferromagnetic layer, a free ferromagnetic layer over the tunneling barrier layer, a conductive oxide layer over the free ferromagnetic layer, and an oxygen-based cap layer over the conductive oxide layer.
US08878315B2 Horizontal coplanar switches and methods of manufacture
A MEMS structure and methods of manufacture. The method includes forming a sacrificial metal layer at a same level as a wiring layer, in a first dielectric material. The method further includes forming a metal switch at a same level as another wiring layer, in a second dielectric material. The method further includes providing at least one vent to expose the sacrificial metal layer. The method further includes removing the sacrificial metal layer to form a planar cavity, suspending the metal switch. The method further includes capping the at least one vent to hermetically seal the planar cavity.
US08878308B2 Multi-fin device by self-aligned castle fin formation
The present disclosure provides a method includes forming a multi-fin device. The method includes forming a patterned mask layer on a semiconductor substrate. The patterned mask layer includes a first opening having a first width W1 and a second opening having a second width W2 less than the first width. The patterned mask layer defines a multi-fin device region and an inter-device region, wherein the inter-device region is aligned with the first opening; and the multi-fin device region includes at least one intra-device region being aligned with the second opening. The method further includes forming a material layer on the semiconductor substrate and the patterned mask layer, wherein the material layer substantially fills in the second opening; performing a first etching process self-aligned to remove the material layer within the first opening such that the semiconductor substrate within the first opening is exposed; performing a second etching process to etch the semiconductor substrate within the first opening, forming a first trench in the inter-device region; and thereafter performing a third etching process to remove the material layer in the second opening.
US08878300B1 Semiconductor device including outwardly extending source and drain silicide contact regions and related methods
A method for making a semiconductor device may include forming a plurality of semiconductor fins on a substrate, forming a gate overlying the plurality of semiconductor fins, forming respective unmerged semiconductor regions on the semiconductor fins on opposing sides of the gate, and forming a dielectric layer overlying the unmerged semiconductor regions. The method may further include etching the dielectric layer to define contact recesses having recess bottoms exposing the unmerged semiconductor regions, forming a respective semiconductor layer on each of the exposed unmerged semiconductor regions to extend outwardly from adjacent portions of the recess bottom, and siliciding each of the semiconductor layers to define respective source and drain contacts extending outwardly from adjacent portions of the recess bottom.
US08878299B2 Buried channel transistor and method of forming the same
A semiconductor device may include a plurality of memory cells. The memory cells may be formed with respective fin shaped active regions with respective recesses formed therein. Thicknesses of the fins may be made relatively thicker around the recesses, such as by selective epitaxial growth around the recesses. The additional thicknesses may be asymmetrical so that portions of the fin on one side are larger than an opposite side. Related methods and systems are also disclosed.
US08878295B2 DMOS transistor with a slanted super junction drift structure
A DMOS transistor with a lower on-state drain-to-source resistance and a higher breakdown voltage utilizes a slanted super junction drift structure that lies along the side wall of an opening with the drain region at the bottom of the opening and the source region near the top of the opening.
US08878293B2 Semiconductor device having DC structure
A semiconductor device includes an interlayer insulating layer on a substrate, and a direct contact (DC) structure vertically penetrating the interlayer insulating layer and contacting the substrate, the DC structure including a DC hole exposing the substrate, an insulating DC spacer on an inner wall of the DC hole, and a conductive DC plug on the DC spacer and filling the DC hole, the DC plug including a lower DC plug and an upper DC plug on the lower DC plug, the lower DC plug having a smaller horizontal width than that of the upper DC plug.
US08878287B1 Split slot FET with embedded drain
The present invention provides an FET which includes an epitaxial layer and first and second body regions formed over the epitaxial layer. Further, the FET includes a first trench formed in the epitaxial layer between the first and the second body regions. The FET also includes a conductive layer formed on the sidewall of the first trench. The conductive layer acts as gate of the FET. The FET also includes a second trench formed at the bottom of the first trench, a first dielectric layer formed over the conductive layer and on the sidewall of the second trench, and a second dielectric layer formed on the first dielectric layer. Further, the FET includes a conductive layer, which acts as drain, deposited in the first and the second trenches. The FET also includes first and a second source regions formed in the first and second body regions, respectively.
US08878286B2 Semiconductor device with enhanced mobility and method
In one embodiment, a vertical insulated-gate field effect transistor includes a feature embedded within a control electrode. The feature is placed within the control electrode to induce stress within predetermined regions of the transistor.
US08878285B2 Vertical semiconductor device, module and system each including the same, and method for manufacturing the vertical semiconductor device
A vertical semiconductor device having a vertical channel region is disclosed. The vertical semiconductor device includes a pillar having a vertical channel region, a bit line buried in a semiconductor substrate located at a lower part of the pillar, and a body connection unit configured to couple at least one sidewall of the pillar to the semiconductor substrate. As a result, the floating body effect of the vertical semiconductor device can be more effectively removed.
US08878282B2 Nonvolatile semiconductor storage device and method of manufacture thereof
A nonvolatile semiconductor storage device including a number of memory cells formed on a semiconductor substrate, each of the memory cells has a tunnel insulating film, a charge storage layer, a block insulating film, and a gate electrode which are formed in sequence on the substrate. The gate electrode is structured such that at least first and second gate electrode layers are stacked. The dimension in the direction of gate length of the second gate electrode layer, which is formed on the first gate electrode layer, is smaller than the dimension in the direction of gate length of the first gate electrode layer.
US08878279B2 Self-aligned floating gate in a vertical memory structure
A memory device or electronic system may include a memory cell body extending from a substrate, a self-aligned floating gate separated from the memory cell body by a tunneling dielectric film, and a control gate separated from the self-aligned floating gate by a blocking dielectric film. The floating gate is flanked by the memory cell body and the control gate to form a memory cell, and the self-aligned floating gate is at least as thick as the control gate. Methods for building such a memory device are also disclosed.
US08878274B2 Multi-resistive integrated circuit memory
A capacitor for use in integrated circuits comprises a layer of conductive material. The layer of conductive material including at least a first portion and a second portion, wherein the first portion and the second portion are arranged in a predetermined pattern relative to one another to provide a maximum amount of capacitance per semiconductor die area.
US08878273B2 Semiconductor memory device including narrower storage node contact plugs
A semiconductor memory device includes an active region protruding from a substrate. The active region includes first and second doped regions therein and a trench therein separating the first and second doped regions. A buried gate structure extends in a first direction along the trench between first and second opposing sidewalls thereof. A conductive interconnection plug is provided on the first doped region adjacent the first sidewall of the trench, and a conductive landing pad is provided on the second doped region adjacent the second sidewall of the trench. The landing pad has a width greater than that of the second doped region of the active region along the first direction. A conductive storage node contact plug is provided on the landing pad opposite the second doped region. The storage node contact plug has a narrower width than the landing pad along the first direction.
US08878271B2 Vertical access device and apparatuses having a body connection line, and related method of operating the same
Methods, apparatuses, and systems for providing a body connection to a vertical access device. The vertical access device may include a digit line extending along a substrate to a digit line contact pillar, a body connection line extending along the substrate to a body connection line contact pillar, a body region disposed on the body connection line, an electrode disposed on the body region, and a word line extending to form a gate to the body region. A method for operation includes applying a first voltage to the body connection line, and applying a second voltage to the word line to cause a conductive channel to form through the body region. A memory cell array may include a plurality of vertical access devices.
US08878267B2 Solid-state imaging device
A purpose of the present invention is to provide a preferable separation structure of wells when a photoelectric conversion unit and a part of a peripheral circuit unit or a pixel circuit are separately formed on separate substrates and electrically connected to each other. To this end, a solid-state imaging device includes a plurality of pixels including a photoelectric conversion unit and a amplification transistor configured to amplify a signal generated by the photoelectric conversion unit; a first substrate on which a plurality of the photoelectric conversion units are disposed; and a second substrate on which a plurality of the amplification transistors are disposed. A well of a first conductivity type provided with a source region and a drain region of the amplification transistor is separated from a well, which is disposed adjacent to the well in at least one direction, of the first conductivity type provided with the source region and the drain region of the amplification transistor.
US08878264B2 Global shutter pixel with improved efficiency
A global shutter pixel cell includes a serially connected anti-blooming (AB) transistor, storage gate (SG) transistor and transfer (TX) transistor. The serially connected transistors are coupled between a voltage supply and a floating diffusion (FD) region. A terminal of a photodiode (PD) is connected between respective terminals of the AB and the SG transistors; and a terminal of a storage node (SN) diode is connected between respective terminals of the SG and the TX transistors. A portion of the PD region is extended under the SN region, so that the PD region shields the SN region from stray photons. Furthermore, a metallic layer, disposed above the SN region, is extended downwardly toward the SN region, so that the metallic layer shields the SN region from stray photons. Moreover, a top surface of the metallic layer is coated with an anti-reflective layer.
US08878261B2 Semiconductor device and method of manufacturing the same
A semiconductor device comprising a MOS transistor provided in a semiconductor region, wherein a source region and a drain region of the MOS transistor have a first conductivity type, the source region includes a first region including an upper portion of a boundary portion between the source region and a channel region of the MOS transistor, and a second region including an lower portion of the boundary portion, and the first region contains an impurity having a second conductivity type different from the first conductivity type, in an amount larger than that in the second region.
US08878259B2 Super lattice/quantum well nanowires
Segmented semiconductor nanowires are manufactured by removal of material from a layered structure of two or more semiconductor materials in the absence of a template. The removal takes place at some locations on the surface of the layered structure and continues preferentially along the direction of a crystallographic axis, such that nanowires with a segmented structure remain at locations where little or no removal occurs. The interface between different segments can be perpendicular to or at angle with the longitudinal direction of the nanowire.
US08878257B2 Methods and apparatus for an ISFET
An ISFET includes a control gate coupled to a floating gate in a CMOS device. The control gate, for example, a poly-to-well capacitor, is configured to receive a bias voltage and effect movement of a trapped charge between the control gate and the floating gate. The threshold voltage of the ISFET can therefore by trimmed to a predetermined value, thereby storing the trim information (the amount of trapped charge in the floating gate) within the ISFET itself.
US08878255B2 Image sensors with multiple output structures
In various embodiments, image sensors incorporate multiple output structures by including multiple sub-arrays, at least one of which includes a region of active pixels, a dark pixel region that is fanned and/or slanted, a dark pixel region that is unfanned and unslanted, a horizontal CCD, and an output structure for conversion of charge to voltage.
US08878254B2 Semiconductor memory device
A semiconductor memory device according to one embodiment of the present invention includes a dielectric film configured to store information depending on presence or absence of a conductive path therein, and a plurality of electrodes provided to contact a first surface of the dielectric film. The conductive path can be formed between two electrodes arbitrarily selected form the plurality of electrodes. The conductive path has a rectifying property of allowing a current to flow more easily in a first direction connecting arbitrary two electrodes than in a second direction opposite to the first direction. The largest possible number of the conductive paths that may be formed is larger than the number of the plurality of electrodes.
US08878249B2 Method for heteroepitaxial growth of high channel conductivity and high breakdown voltage nitrogen polar high electron mobility transistors
A method for growing high mobility, high charge Nitrogen polar (N-polar) or Nitrogen face (In,Al,Ga)N/GaN High Electron Mobility Transistors (HEMTs). The method can provide a successful approach to increase the breakdown voltage and reduce the gate leakage of the N-polar HEMTs, which has great potential to improve the N-polar or N-face HEMTs' high frequency and high power performance.
US08878246B2 High electron mobility transistors and methods of fabricating the same
A High electron mobility transistor (HEMT) includes a source electrode, a gate electrode, a drain electrode, a channel forming layer in which a two-dimensional electron gas (2DEG) channel is induced, and a channel supplying layer for inducing the 2DEG channel in the channel forming layer. The source electrode and the drain electrode are located on the channel supplying layer. A channel increase layer is between the channel supplying layer and the source and drain electrodes. A thickness of the channel supplying layer is less than about 15 nm.
US08878245B2 Transistors and method for making ohmic contact to transistors
A transistor device having non-alloyed ohmic contacts formed by a process that improves the contact morphology and reduces metal spiking into the semiconductor layers. During fabrication, a regrowth mask is deposited on the semiconductor device. A portion of the regrowth mask and the epitaxial semiconductor layers is removed, defining areas for selective regrowth of a highly-doped semiconductor material. The remaining portion of the regrowth mask forms a regrowth mask residual layer. After regrowth, ohmic contacts are formed on the regrowth structures without the use of a high-temperature annealing process. The regrowth mask residual layer does not need to be removed, but rather remains on the device throughout fabrication and can function as a passivation layer and/or a spacer layer.
US08878244B2 Semiconductor device having strained silicon film
Gases for film formation are introduced from a plurality of holes provided at a gas nozzle into a processing chamber of a batch-type CVD film-forming apparatus to cause a turbulence of the gases within the processing chamber. In the state where the chamber is kept at a pressure within an atmospheric and quasi-atmospheric pressure region, a silicon-germanium film is epitaxially grown on a semiconductor wafer placed within the processing chamber. Subsequently, a strained silicon film is epitaxially grown on the silicon-germanium film. Thereafter, a semiconductor element is formed in the semiconductor wafer on which the silicon-germanium film and the strained silicon film have been formed, respectively.
US08878243B2 Lattice-mismatched semiconductor structures and related methods for device fabrication
Lattice-mismatched materials having configurations that trap defects within sidewall-containing structures.
US08878242B1 Pickup device structure within a device isolation region
A device includes a device isolation region formed into a semiconductor substrate, the device isolation region having gaps for photo-sensitive devices, a dummy gate structure formed over the substrate, the dummy gate structure comprising at least one structure that partially surrounds a doped pickup region formed into the device isolation region, and a via connected to the doped pickup region.
US08878238B2 MCT device with base-width-determined latching and non-latching states
Methods and systems for a gate-controlled thyristor which switches between narrow-base operation in the ON state and wide-base operation in the OFF state, and which can only sustain latch-up in the narrow-base ON state.
US08878237B2 Active edge structures providing uniform current flow in insulated gate turn-off thyristors
An insulated gate turn-off thyristor, formed as a die, has a layered structure including a p+ layer (e.g., a substrate), an n− layer, a p-well, vertical insulated gate regions formed in the p-well, and n+ regions between the gate regions, so that vertical NPN and PNP transistors are formed. The thyristor is formed of a matrix of cells. Due to the discontinuity along the edge cells, a relatively large number of holes are injected into the n− epi layer and drift into the edge p-well, normally creating a higher current along the edge and lowering the breakover voltage of the thyristor. To counter this effect, the dopant concentration of the n+ region(s) near the edge is reduced to reduce the NPN transistor beta and current along the edge, thus increasing the breakover voltage. Alternatively, a deep trench may circumscribe the edge cells to provide isolation from the injected holes.
US08878236B1 High voltage breakover diode having comparable forward breakover and reverse breakdown voltages
In a first embodiment, an ultra-fast breakover diode has a turn on time TON that is less than 0.3 microseconds, where the forward breakover voltage is greater than +400 volts and varies less than one percent per ten degrees Celsius change. In a second embodiment, a breakover diode has a reverse breakdown voltage that is greater, in absolute magnitude, than the forward breakover voltage, where the forward breakover voltage is greater than +400 volts. In a third embodiment, a string of series-connected breakover diode dice is provided, along with a resistor string, in a packaged circuit. The packaged circuit acts like a single breakover diode having a large forward breakover voltage and a comparably large reverse breakdown voltage, even though the packaged circuit includes no discrete high voltage reverse breakdown diode. The packaged circuit is usable to supply a triggering current to a thyristor in a voltage protection circuit.
US08878232B2 Method for producing group III nitride semiconductor light-emitting device
An MQW-structure light-emitting layer is formed by alternately stacking InGaN well layers and AlGaN barrier layers. Each well layer and each barrier layer are formed so as to satisfy the following relations: 12.9≦−2.8x+100y≦37 and 0.65≦y≦0.86, or to satisfy the following relations: 162.9≦7.1x+10z≦216.1 and 3.1≦z≦9.2, here x represents the Al compositional ratio (mol %) of the barrier layer, and y represents the difference in bandgap energy (eV) between the barrier layer and the well layer, and z represents the In compositional ratio (mol %) of the well layer.
US08878231B2 Light emission device and manufacturing method thereof
The present invention provides a light emission device and a manufacturing method thereof. The light emission device includes: i) a substrate; ii) a mask layer disposed on the substrate and having at least one opening; iii) a light emission structure formed on the mask layer surrounding the opening and extended substantially perpendicular to a surface of the substrate; iv) a first electrode formed on the mask layer while surface-contacting the external surface of the light emission structure; and v) a second electrode disposed in the light emission structure and surface-contacting the internal surface of the light emission structure.
US08878228B2 Method for producing large lighting with power LED
A method of packaging a power light emitting diode (LED). The method may include providing a printed circuit board (PCB) wherein first and second copper (Cu) thin films are formed on both faces of the PCB respectively, forming a single upper opening through an entire thickness of the first Cu thin film and an partial thickness of the PCB, forming a plurality of lower openings, each lower opening extending vertically from the upper opening to the second Cu thin film, forming solder pads on the first Cu thin film, filing a cream solder in the upper opening and the plurality of lower openings so as to be in-plane with the solder pads, mounting a power LED on the PCB so that lead frames of the LED are aligned with the solder pads and a heat-discharge region of the LED is aligned with the cream solder, and soldering the cream solder.
US08878227B2 Optoelectronic semiconductor chip and method for producing optoelectronic semiconductor chips
An optoelectronic semiconductor chip includes a carrier and a semiconductor body having a semiconductor layer sequence, the semiconductor body arranged on the carrier wherein an emission region and a detection region are formed in the semiconductor body having the semiconductor layer sequence; the semiconductor layer sequence includes an active region arranged between a first semiconductor layer and a second semiconductor layer and provided in the emission region to generate radiation; the first semiconductor layer is arranged on the side of the active region facing away from the carrier; and the emission region has a recess extending through the active region.
US08878226B2 Light emitting device
A light emitting device includes a substrate, and a plurality of light emitting structures disposed thereon. Each of the light emitting structures includes an auxiliary electrode disposed on the substrate, a first insulating layer disposed on the substrate and covering the auxiliary electrode, an electrode disposed on the first insulating layer, a second insulating layer disposed on the first insulating layer and having a first opening exposing the electrode, an organic light emitting layer disposed in the first opening, a cathode disposed on the organic light emitting layer, at least a conductive structure penetrating through the first insulating layer and the second insulating layer, and a closed ring structure disposed on the second insulating layer and around the cathode, wherein a thickness of the closed ring structure is larger than that of the cathode.
US08878220B2 Light emitting diode with improved luminous efficiency
Exemplary embodiments of the present invention relate to light emitting diodes. A light emitting diode according to an exemplary embodiment of the present invention includes a substrate having a first side edge and a second side edge, and a light emitting structure arranged on the substrate. The light emitting structure includes a first conductivity-type semiconductor layer, an active layer, and a second conductivity-type semiconductor layer. A transparent electrode layer including a concave portion and a convex portion is arranged on the second conductivity-type semiconductor layer. A first electrode pad contacts an upper surface of the first conductivity-type semiconductor layer and is located near a center of the first side edge. Two second electrode pads are located near opposite distal ends of the second side edge to supply electric current to the second conductivity-type semiconductor layer. A first pad extension extends from the first electrode pad and a second pad extension extends from each of the two second electrode pads.
US08878211B2 Heterogeneous substrate, nitride-based semiconductor device using same, and manufacturing method thereof
Provided are a heterogeneous substrate, a nitride-based semiconductor device using the same, and a manufacturing method thereof to form a high-quality non-polar or semi-polar nitride layer on a non-polar or semi-polar plane of the heterogeneous substrate by adjusting a crystal growth mode. A base substrate having one of a non-polar plane and a semi-polar plane is prepared, and a nitride-based nucleation layer is formed on the plane of the base substrate. A first buffer layer is grown faster in the vertical direction than in the lateral direction on the nucleation layer. A lateral growth layer is grown faster in the lateral direction than in the vertical direction on the first buffer layer. A second buffer layer is formed on the lateral growth layer. A silicon nitride layer having a plurality of holes may be formed between the lateral growth layer on the first buffer layer and the second buffer layer.
US08878209B2 High efficiency group III nitride LED with lenticular surface
A high efficiency Group III nitride light emitting diode is disclosed. The diode includes a Group III nitride-based light emitting region including a plurality of Group III nitride-based layers. A lenticular surface directly contacts one of the Group III nitride-based layers of the light emitting region. The lenticular surface includes a transparent material that is different from the Group III nitride-based layer of the light emitting region that the lenticular surface directly contacts.
US08878207B2 Display device
According to one embodiment, a display device includes a first substrate, a second substrate, a display layer, a seal unit, a protrusion and a spacing adjustment layer. The display layer is provided between the first substrate and the second substrate. The seal unit surrounds the display layer between the first substrate and the second substrate. The protrusion is provided along an outer edge of the seal unit at an outside of the seal unit on a first major surface of the first substrate facing the display layer. The spacing adjustment layer is provided along the outer edge at the outside of the seal unit, includes a portion overlaying the protrusion as viewed along a direction from the first substrate toward the second substrate, and is in contact with the protrusion.
US08878206B2 Organic light emitting display apparatus including an auxiliary layer and method for manufacturing the same
An organic light emitting display apparatus is manufactured using a simplified manufacturing process and prevents or reduces the formation of dark spots. The organic light emitting display apparatus includes: red, green, and blue sub-pixel regions, each including a first electrode on a substrate; a distributed Bragg reflector (DBR) layer between the substrate and the first electrode; a hole injection layer on the DBR layer and covering the first electrode; a hole transport layer on the hole injection layer; an auxiliary layer between the hole injection layer and the hole transport layer in the green sub-pixel region; a green light-emission layer on the hole transport layer in the blue and green sub-pixel regions; a blue light-emission layer on the green light-emission layer in the blue sub-pixel region; and a red light-emission layer on the hole transport layer in the red sub-pixel region.
US08878204B2 Submount based light emitter components and methods
Submount based light emitter components and methods are provided herein. In one aspect, a submount based light emitter component can include a primary submount, a secondary submount, and at least one light emitter chip. The at least one light emitter chip can be disposed over the primary submount and electrically connected to the secondary submount.
US08878202B2 Display apparatus and method of fabricating the same
A display apparatus comprises a first substrate, a second substrate separated from the first substrate and facing the first substrate, and a first sealing portion interposed between the first substrate and the second substrate, wherein the first substrate comprises a first region overlapped by the second substrate and a second region not overlapped by the second substrate, and the first sealing portion is situated on a boundary between the first region and the second region and comprises one or more injection holes.
US08878198B2 Organic light emitting display apparatus and method of manufacturing the organic light emitting display apparatus
An organic light-emitting display apparatus includes a substrate including a plurality of red, green, and blue sub-pixel regions, a pixel electrode in each of the plurality of the red, green, and blue sub-pixel regions on the substrate, a Distributed Bragg Reflector (DBR) layer between the substrate and the pixel electrodes, a high-refractive index layer between the substrate and the DBR layer in the blue sub-pixel region, the high-refractive index layer having a smaller area than an area of a corresponding pixel electrode in the blue sub-pixel region, an intermediate layer including an emissive layer on the pixel electrode, and an opposite electrode on the intermediate layer.
US08878195B2 Semiconductor based component, receptacle for a semiconductor based component, and method for producing a semiconductor based component
A semiconductor based component with radiation-emitting properties. A glass substrate (1) is provided, which has a first surface (2) and a second surface (1), where a semiconductor element (5) with radiation-emitting properties is accommodated on the first surface (2). Also disclosed is a method for fabricating a semiconductor based component, with the following steps: providing a glass substrate (1), application of a semiconductor element (5) to the first surface (2) of the glass substrate. Also disclosed is a receptacle for a semiconductor based component in which two electrical contact areas (13) are provided, which can be electrically connected to contact areas (7) of the semiconductor based component.
US08878194B2 Semiconductor element, semiconductor device, and semiconductor element manufacturing method
A method for fabricating a semiconductor element according to the present disclosure includes the steps of: (A) forming a first silicon carbide semiconductor layer of a first conductivity type on a semiconductor substrate; (B) forming a first mask to define a body region on the first silicon carbide semiconductor layer; (C) forming a body implanted region of a second conductivity type in the first silicon carbide semiconductor layer using the first mask; (D) forming a sidewall on side surfaces of the first mask; (E) defining a dopant implanted region of the first conductivity type and a first body implanted region of the second conductivity type in the first silicon carbide semiconductor layer using the first mask and the sidewall; and (F) thermally treating the first silicon carbide semiconductor layer.
US08878193B2 Graphene channel-based devices and methods for fabrication thereof
Graphene-channel based devices and techniques for the fabrication thereof are provided. In one aspect, a semiconductor device includes a first wafer having at least one graphene channel formed on a first substrate, a first oxide layer surrounding the graphene channel and source and drain contacts to the graphene channel that extend through the first oxide layer; and a second wafer having a CMOS device layer formed in a second substrate, a second oxide layer surrounding the CMOS device layer and a plurality of contacts to the CMOS device layer that extend through the second oxide layer, the wafers being bonded together by way of an oxide-to-oxide bond between the oxide layers. One or more of the contacts to the CMOS device layer are in contact with the source and drain contacts. One or more other of the contacts to the CMOS device layer are gate contacts for the graphene channel.
US08878190B2 Semiconductor device and method for manufacturing the same
A semiconductor device according to the present embodiment includes a diamond substrate having a surface plane inclined from a (100) plane in a range of 10 degrees to 40 degrees in a direction of <011>±10 degrees, and an n-type diamond semiconductor layer containing phosphorus (P) and formed above the surface plane described above.
US08878185B2 Display device having projection with connection hole above the projection enabling connection of source electrode and pixel
In order to improve the transmissivity of each pixel and the brightness of a high-definition screen, a TFT and a projection are disposed in each pixel, a source electrode of the TFT extends so as to cover the projection, an inorganic passivation film is formed over the TFT and the projection, an organic passivation film is formed on the inorganic passivation film on the TFT, an opposed electrode is formed on the organic passivation film, an upper insulation film is formed over the opposed electrode, a pixel electrode is formed on the upper insulation film, and the pixel electrode is connected to the source electrode through a connection hole formed in the inorganic passivation film and the upper insulation film on the projection. Accordingly, the diameter of a through-hole can be made smaller.
US08878183B2 Method and apparatus for monitoring semiconductor fabrication
A semiconductor chip for process monitoring of semiconductor fabrication, has a plurality of arrays with a plurality of diodes, each diode being formed in the chip, each diode being associated with a stack with at least one horizontal interconnect, the stack and the diode connected in series to form a diode stack combination, wherein the horizontal interconnect has a salicided polysilicon interconnect comprising complementary doped polysilicon sections to form a reverse biased diode.
US08878178B2 Method for manufacturing semiconductor device
An object is to manufacture a semiconductor device including an oxide semiconductor at low cost with high productivity in such a manner that a photolithography process is simplified by reducing the number of light-exposure masks. In a method for manufacturing a semiconductor device including a channel-etched inverted-stagger thin film transistor, an oxide semiconductor film and a conductive film are etched using a mask layer formed with the use of a multi-tone mask which is a light-exposure mask through which light is transmitted so as to have a plurality of intensities. The etching step is performed by wet etching in which an etching solution is used.
US08878177B2 Semiconductor device and method for manufacturing semiconductor device
Provided is a miniaturized transistor having high electrical characteristics. The transistor includes a source electrode layer in contact with one side surface of the oxide semiconductor layer in the channel-length direction and a drain electrode layer in contact with the other side surface thereof. The transistor further includes a gate electrode layer in a region overlapping with a channel formation region with a gate insulating layer provided therebetween and a conductive layer having a function as part of the gate electrode layer in a region overlapping with the source electrode layer or the drain electrode layer with the gate insulating layer provided therebetween and in contact with a side surface of the gate electrode layer. With such a structure, an Lov region is formed with a scaled-down channel length maintained.
US08878175B2 Semiconductor device and manufacturing method thereof
An object is to reduce a capacitance value of parasitic capacitance without decreasing driving capability of a transistor in a semiconductor device such as an active matrix display device. Further, another object is to provide a semiconductor device in which the capacitance value of the parasitic capacitance was reduced, at low cost. An insulating layer other than a gate insulating layer is provided between a wiring which is formed of the same material layer as a gate electrode of the transistor and a wiring which is formed of the same material layer as a source electrode or a drain electrode.
US08878174B2 Semiconductor element, memory circuit, integrated circuit, and driving method of the integrated circuit
A novel semiconductor element contributing to an increase in circuit scale is provided. In the semiconductor element, two different electrical switches are formed using a single oxide semiconductor layer. For example, in the semiconductor element, formation of a channel (a current path) in the vicinity of a bottom surface (a first surface) of the oxide semiconductor layer and formation of a channel in the vicinity of a top surface (a second surface) of the oxide semiconductor layer are independently controlled. Therefore, the circuit area can be reduced as compared to the case two electrical switches are separately provided (for example, the case where two transistors are separately provided). That is, a circuit is formed using the semiconductor element, whereby an increase in the circuit area due to an increase in circuit scale can be suppressed.
US08878172B2 Oxide semiconductor, thin film transistor, and display device
An object is to control composition and a defect of an oxide semiconductor, another object is to increase a field effect mobility of a thin film transistor and to obtain a sufficient on-off ratio with a reduced off current. A solution is to employ an oxide semiconductor whose composition is represented by InMO3(ZnO)m, where M is one or a plurality of elements selected from Ga, Fe, Ni, Mn, Co, and Al, and m is preferably a non-integer number of greater than 0 and less than 1. The concentration of Zn is lower than the concentrations of In and M. The oxide semiconductor has an amorphous structure. Oxide and nitride layers can be provided to prevent pollution and degradation of the oxide semiconductor.
US08878171B2 Organic light emitting display device
An organic light emitting display device includes first and second electrodes facing each other on a substrate, a first stack including a first hole transport layer, a second hole transport layer, a first emitting layer, and a first electron transport layer, the layers being sequentially stacked on the first electrode, a second stack including a third hole transport layer, a fourth hole transport layer, a second emitting layer including at least two hosts and a single dopant and having at least three emitting areas, and a second electron transport layer, the layers being sequentially stacked between the first stack and the second electrode, wherein the second emitting layer includes a first emitting area including a material of the fourth hole transport layer, a second emitting area including a material of the second electron transport layer, and a third emitting area including the at least two hosts.
US08878168B2 Organic light-emitting display device with improved color property
An organic light-emitting display device including a red light-emitting layer that includes a deep-red light-emitting layer and a light-red light-emitting layer, and a blue light-emitting layer that includes a deep-blue light-emitting layer and a light-blue light-emitting layer. The organic light-emitting display device is capable of displaying a deep color and a light color so as to be distinguishable from each other.
US08878167B2 Organic light emitting diode lighting equipment
An organic light emitting diode lighting equipment includes a transparent substrate main body, a first electrode formed on the substrate main body, a subsidiary electrode formed on the first electrode to partition the first electrode at a predetermined distance, an organic emissive layer formed on the first electrode, and a second electrode formed on the organic emissive layer. The subsidiary electrode has an inclined lateral side facing toward the organic emissive layer.
US08878164B2 Organic light-emitting diode having optical resonator
The invention relates to an organic light-emitting diode, known under the abbreviation OLED, and to a method for the production of such an organic light-emitting diode. According to the invention, an OLED or organic light-emitting diode having an emitter layer (5) is produced, said emitter layer emitting white light in particular. The emitter layer (5) is arranged within a lossy, optical resonator. The optical path length between the two reflecting layers of the resonator determines the color of the light emitting from the optical resonator and, consequently, from the light-emitting diode. In order to be able to create a variety of colors, there must be different optical path lengths between the two reflecting surfaces. The correspondingly different distances can be produced in only one work step, in contrast to the prior art, by a photolithographic method. The result is an organic light-emitting diode having a lossy optical resonator, having an emitter layer (5) and a layer (4) that can be photolithographically structured. Said layer (4) is comprised of photochemically curable materials. Organic light-emitting diodes according to the invention can be used in light sources, lighting, sensors or spectrometers.
US08878163B2 Light-emitting device and 9.9 biphenyl fluorene materials therefor
An organic light-emitting device comprising an anode; a hole transport layer; a light-emitting layer; and a cathode, characterized in that the hole transport layer comprises a polymer having a repeat unit comprising a 9,9 biphenyl fluorene unit wherein the 9-phenyl rings are independently and optionally substituted and the fluorene unit is optionally fused.
US08878160B2 III-V light emitting device with thin n-type region
A device includes a semiconductor structure comprising a III-phosphide light emitting layer disposed between an n-type region and a p-type region. A transparent, conductive oxide is disposed in direct contact with the n-type region. In some embodiments, a total thickness of semiconductor material between the light emitting layer and the transparent, conductive oxide is less than one micron.
US08878159B2 Light-emitting element and light-emitting device
It is an object of the present invention to provide a light-emitting element having a layer containing a light-emitting material and a transparent conductive film between a pair of electrodes, in which electric erosion of the transparent conductive film and metal can be prevented, and also to provide a light-emitting device using the light-emitting element. According to one feature of the invention, a light-emitting element includes a first layer 102 containing a light-emitting material, a second layer 103 containing a material having a donor level, a third layer 104 including a transparent conductive film, and a fourth layer 105 containing a hole-transporting medium between a first electrode 101 and a second electrode 106, in which the first layer 102, the second layer 103, the third layer 104, the fourth layer 105, and the second electrode 106 are provided sequentially, in which the second electrode 106 has a layer containing metal.
US08878157B2 Semiconductor-graphene hybrids formed using solution growth
A novel method for fabrication of hybrid semiconductor-graphene nanostructures in large scale by floating graphene sheets on the surface of a solution is provided. Using this approach, crystalline ZnO nano/micro-rod bundles on graphene fabricated using chemical vapor deposition were prepared. UV detectors fabricated using the as-prepared hybrid ZnO-graphene nano-structure with graphene being one of the two electrodes show high sensitivity to ultraviolet light, suggesting the graphene remained intact during the ZnO growth. This growth process provides a low-cost and robust scheme for large-scale fabrication of semiconductor nanostructures on graphene and may be applied for synthesis of a variety of hybrid semiconductor-graphene nano-structures demanded for optoelectronic applications including photovoltaics, photodetection, and photocatalysis.
US08878155B2 Resistance variable memory device with nanoparticle electrode and method of fabrication
A chalcogenide-based programmable conductor memory device and method of forming the device, wherein a nanoparticle is provided between an electrode and a chalcogenide glass region. The method of forming the nanoparticle utilizes a template over the electrode or random deposition of the nanoparticle.
US08878151B2 Multistate nonvolatile memory elements
Multistate nonvolatile memory elements are provided. The multistate nonvolatile memory elements contain multiple layers. Each layer may be based on a different bistable material. The bistable materials may be resistive switching materials such as resistive switching metal oxides. Optional conductor layers and current steering elements may be connected in series with the bistable resistive switching metal oxide layers.
US08878144B2 Electron microscope and sample holder
The electron beam apparatus sample holding means has a diaphragm which is placed on upper and lower sides of a sample to form a cell for separating a gas atmosphere and a vacuum atmosphere of a sample chamber and sealing an ambient atmosphere of the sample; a gas supply means for supplying gas to an inside of the cell; and exhaust means for exhausting gas. The exhaust means includes a gas exhaust pipe provided in the inside of the cell and an openable/closable exhaust hole provided in a sidewall of the sample holding means so as to pass through the cell. The diaphragm is an amorphous film made of light elements which can transmit an electron beam, such as carbon films, oxide films, and nitride films.
US08878141B2 Drawing apparatus, and method of manufacturing article
The present invention provides a drawing apparatus which performs drawing on a substrate with a plurality of charged particle beams, the apparatus including a stage configured to hold the substrate and to be moved, a charged particle optical system including a deflector configured to deflect the plurality of charged particle beams, a detector configured to detect a charged particle arrived thereat by causing a charged particle beam to impinge on a mark including a plurality of mark elements formed on one of the substrate and the stage, and a processor configured to perform a process of obtaining a position of the mark.
US08878140B2 Methods for radiation detection and characterization using a multiple detector probe
Apparatuses, methods, and systems relating to radiological characterization of environments are disclosed. Multi-detector probes with a plurality of detectors in a common housing may be used to substantially concurrently detect a plurality of different radiation activities and types. Multiple multi-detector probes may be used in a down-hole environment to substantially concurrently detect radioactive activity and contents of a buried waste container. Software may process, analyze, and integrate the data from the different multi-detector probes and the different detector types therein to provide source location and integrated analysis as to the source types and activity in the measured environment. Further, the integrated data may be used to compensate for differential density effects and the effects of radiation shielding materials within the volume being measured.
US08878139B2 Neutron measurement apparatus and neutron measurement method
A neutron measurement apparatus includes: an analog signal processor; a digitizing processor; an FFT calculation processor; and a signal processor. The analog signal processor amplifies alternating current components of detector output signals output from a neutron detector, and filters to remove high frequency components from the output signals, which the digitizing processor digitizes at a constant sampling period in a time series; the FFT calculation processor converts certain of the signals in a time domain from the digitizing processor into signals in a frequency domain, and filters the signals in the frequency domain; and the signal processor selects and extracts signals having required frequency components through the calculation processing on the FFT calculation processor, to calculate power spectral densities of the extracted signals, and to convert the calculated power spectral densities into a neutron measurement value.
US08878138B2 Multi-sensor neutron source location system
An apparatus for determining a location of a neutron emitting source includes: a plurality of neutron detectors configured to receive incoming neutrons from an area of interest, each neutron detector being configured to produce an image of a path of light depicting a direction of travel of an incoming neutron; and a central processor coupled to each neutron detector in the plurality of neutron detectors and configured to receive the direction of travel of the incoming neutron from each neutron detector and to compute the location using the received directions.
US08878135B2 Lithium based scintillators for neutron detection
A neutron scintillator composite (NSC) is made of a neutron scintillator and a binder. The neutron scintillator of the composite has the formula LiyMgBry+2, where y=2, 4 or 6 and may further comprise cerium as a scintillation activator. The binder of the composite has an index of refraction substantially identical to that of the neutron scintillator. The neutron scintillator and binder are mixed into a solid or semi-solid neutron scintillator composite having sufficient flowability for molding into a shaped article, such as a neutron sensing element of a radiation detector. The neutron scintillator composite collects and channels photons through the material itself and into a photosensing element optically coupled to the composite. Because the indices of refraction for both the neutron scintillator and the binder are substantially identical, scattering at the scintillator-binder interface(s) is minimized, thereby producing transmission efficiencies that approach single crystals.
US08878133B2 Passive optical gaseous emissions sensor
A probe assembly for measuring the concentration of exhaust's emissions in a turbine engine. The probe assembly including a first probe member configured and operable to passively generate a beam of light wherein the wavelength of the beam of light is contingent upon the configuration of the first probe member. A second probe member positioned in the probe assembly to receive the beam of light generated by the first probe member, the second probe member configured and operable to attenuate the light passively generated from the first probe member contingent upon the gaseous species present in the exhaust emissions of the turbine engine.
US08878130B2 Scanning electron microscope and scanning transmission electron microscope
A scanning transmission electron microscope according to the present invention includes an electron lens system having a small spherical aberration coefficient for enabling three-dimensional observation of a 0.1 nm atomic size structure. The scanning transmission electron microscope according to the present invention also includes an aperture capable of changing an illumination angle; an illumination electron lens system capable of changing the probe size of an electron beam probe and the illumination angle; a secondary electron detector (9); a transmission electron detector (13); a forward scattered electron beam detector (12); a focusing unit (16); an image processor for identifying image contrast; an image processor for computing image sharpness; a processor for three-dimensional reconstruction of an image; and a mixer (18) for mixing a secondary electron signal and a specimen forward scattered electron signal.
US08878129B1 Pattern measurement apparatus and pattern measurement method
According to one embodiment, a pattern measurement apparatus includes a scan controller, a focus controller, a stage, a sensor, a signal processor, and a measurement unit. The scan controller is configured to control a scanning direction of an electron beam. The focus controller is configured to control a focus position of the electron beam. The stage is configured to have a substrate placed on the stage, a pattern being provided in the substrate. The sensor is configured to sense secondary electrons due to the electron beam irradiated onto the pattern. The signal processor is configured to process a signal sensed by the sensor. The signal processor is configured to determine at least one of third signals from at least one of first signals and at least one of second signals. The measurement unit is configured to measure a position of the pattern from the third signals.
US08878127B2 Miniature charged particle trap with elongated trapping region for mass spectrometry
A miniature electrode apparatus is disclosed for trapping charged particles, the apparatus including, along a longitudinal direction: a first end cap electrode; a central electrode having an aperture; and a second end cap electrode. The aperture is elongated in the lateral plane and extends through the central electrode along the longitudinal direction and the central electrode surrounds the aperture in a lateral plane perpendicular to the longitudinal direction to define a transverse cavity for trapping charged particles.
US08878123B2 Lens sheet and photoelectric conversion module
A lens sheet is provided which is configured to create, below the lens sheet, a region not irradiated with light when light is incident on the lens sheet from above. A photoelectric conversion element is efficiently irradiated with light incident on the lens sheet. In addition, a high-efficiency photoelectric conversion module is provided. The lens sheet includes a light-transmitting substrate having lens arrays on both sides, and the lens arrays each have lens regions and non-lens regions placed alternately (in stripes), in which an end portion of each lens region on the front side overlaps with an end portion of each lens region on the back side.
US08878121B2 Solid-state imaging device with overflow drain region and contract thereto in different stacked substrates
A solid-state imaging device includes: a plurality of substrates stacked via a wiring layer or an insulation layer; a light sensing section that is formed in a substrate, of the plurality of substrates, disposed on a light incident side and that generates a signal charge in accordance with an amount of received light; and a contact portion that is connected to a non-light incident-surface side of the substrate in which the light sensing section is formed and that supplies a desired voltage to the substrate from a wire in a wiring layer disposed on a non-light incident side of the substrate.
US08878117B2 Image sensor and image capture apparatus
An image sensor includes pixel sections corresponding to unit pixels, a column signal line arranged for each column of the pixel sections, a row scanning circuit which selects the pixel sections for each row and transfers an analog signal to the column signal line, a column amplifier which amplifies the analog signal, an A/D converter which converts the analog signal into a digital signal for each column, a column scanning circuit which outputs the signal converted into the digital signal to a horizontal signal line, and a digital adder which adds the digital signals. The column amplifier adds the signals output from the pixel sections to the column signal line. The column scanning circuit outputs digitized signals of a plurality of columns. The digital adder adds the signals of the plurality of columns.
US08878116B2 Method of manufacturing solid-state imaging element, solid-state imaging element and electronic apparatus
A method of manufacturing a solid-state imaging element includes: manufacturing an element chip in which photoelectric conversion units are arranged on a main surface side; preparing a base configured using a material with an expansion coefficient greater than the element chip and having an opening of which the periphery of the opening is shaped as a flat surface; expanding the base by heating, mounting the element chip on the flat surface of the base in a state where the opening of the base is covered; and three-dimensionally curving a portion corresponding to the opening in the element chip by cooling and contracting the base in a state where the element chip is fixed to the flat surface of the expanded base.
US08878105B2 Steam cooker
After a preheating operation is performed to raise an inner temperature of a heating chamber to a target preheating temperature (first specified temperature) by a first steam superheater of a steam temperature-raising device, a cooking operation is performed to raise, by the first steam superheater of the temperature-raising device, a temperature of steam obtained by heating of water in a steam generator by heaters 42A, 42B of the steam generator and then supply the steam to the heating chamber. In this process, when inner temperature of the heating chamber detected by an inner temperature sensor 81 during the preheating operation has come to or beyond a steam generation start temperature (second specified temperature) which is lower than a target preheating temperature, a control unit 80 decides that a steam generation start condition has been satisfied, and heats water in the steam generator by the heaters of the steam generator.
US08878101B2 Heating element and method for manufacturing same
The present specification relates to a heating element in which a heating value for each region is controlled or a heating element in which the heating value for each region is controlled and which is inconspicuous, and a method for manufacturing the same.
US08878100B2 Method and apparatus for welding metal panels having flanges
Methods are provided for joining two panels by welding together a seam formed at a flange of each panel using a welding tractor adapted to travel along the seam to be welded. A welding tractor adapted for use in the methods is also provided, as is a welded panel product fabricated using the methods provided.
US08878085B2 Input device and manufacturing method thereof
An input device and a manufacturing method of the input device are provided. The input device includes a circuit board and a plurality of mechanical switches. The circuit board has a first surface, a second surface and a plurality of conductive sheets, the second surface and the first second surface are opposed each other. A plurality of holes are formed on the circuit board and the conductive sheets are disposed at one side of the first surface in pairs around the hole, and each of the conductive sheets has a coupling section bent away from the first surface and the second surface. The mechanical switches are detachable from the circuit board, and each mechanical switch includes a plunger and a plurality of pins. Each of the mechanical switches has a positioning column inserted in the hole. One end of the pin is connected to the plunger, and the other end of the pin passes through the circuit board and is attached to the coupling section of the conductive sheets for the mechanical switch to be electrically connected to the circuit board.
US08878079B2 Electro-magnetic interface termination structures and systems and methods for making the same
Compact, high-speed data cable structures that include shielding to protect from electro-magnetic interference (EMI) are disclosed. The cable structures can include the conductors necessary to communicate signals that comply with the HDMI™ standard. The cable structures are formed for use with portable electronic devices and may include specific connectors, such as the 30 pin connector found on many products, such as the iPhone™ from Apple Inc. The cable structures include a micro crimp that enables the cable to be smaller than traditional high-speed data cables. The cable structures also include a shield body housing formed from a pair of virtually identical halves that are mated together to form the housing.
US08878078B2 Printed wiring board
A printed wiring board including solder pads excellent in frequency characteristic is provided. To do so, each solder pad 73 is formed by providing a single tin layer 74 on a conductor circuit 158 or a via 160. Therefore, a signal propagation rate can be increased, as compared with a printed wiring board of the prior art on which two metal layers are formed. In addition, due to lack of nickel layers, manufacturing cost can be decreased and electric characteristics can be enhanced.
US08878077B2 Wiring substrate and method of manufacturing the same
A method of manufacturing a wiring substrate, includes forming a laminated body in which a nickel copper alloy layer is formed via an insulating resin layer, on a first wiring layer, forming a via hole reaching the first wiring layer in the nickel copper alloy layer and the insulating resin layer, applying a desmear process to an inside of the via hole, forming a seed layer on the nickel copper alloy layer and an inner surface of the via hole, forming a plating resist in which an opening portion is provided on a part containing the via hole, forming a metal plating layer in the opening portion in the plating resist by an electroplating, removing the plating resist, and forming a second wiring layer by etching the seed layer and the nickel copper alloy layer while using the metal plating layer as a mask.
US08878073B2 Printed circuit board and methods of manufacturing the same
A printed circuit board is provided. The printed circuit board includes a base having a top and a bottom. The top has a first circuit area, a second circuit area and a slotted area disposed between the first circuit area and the second circuit area. The slotted area includes a first row of a plurality of first slots, each first slot of the plurality of first slots has a first length and is separated from an adjacent first slot by a first space. The slotted area includes a second row of a plurality of second slots that is positioned parallel with respect to the first row. Each second slot of the plurality of second slots has a second length that is different than the first length and is separated from an adjacent second slot by a second space. The second space includes a different length than the first space.
US08878072B2 High reliability fluid-tight low-profile electrically conductive interconnects for large scale frame attachment
A method for forming a frame attachment interconnect between a substrate and a frame is disclosed. The method can include applying a composite material (e.g., epoxy-glass prepreg) to a surface of a substrate. The composite material can have one or more holes disposed to substantially align with a corresponding pad on the surface of the substrate. A metal disc is placed in each hole of the composite material on top of the corresponding pad. A frame member can be placed on top of the composite material and the metal discs. The frame member can have one or more pads disposed to substantially align with the metal discs. The substrate, composite material, metal discs and frame combination can be cured in a controlled atmosphere that can include a vacuum and a predetermined temperature to create discrete electrical connections between adjacent pads but with each encapsulated and electrically isolated.
US08878071B2 Integrated device with defined heat flow
An integrated device includes at least one heat generating component which generates heat when operated, at least one temperature-sensitive component, and one or more hollow insulation regions arranged between the at least one heat generating component and the at least one temperature-sensitive component. The hollow insulation region may be provided as a vacuum gap.
US08878070B2 Wiring board and method of manufacturing a semiconductor device
A wiring board of this invention includes a product formation area in which are arranged a plurality of product formation sections on which a semiconductor chip is mounted; a molding area that is provided on an outer circumferential side of the product formation area, and with which a seal portion that covers the semiconductor chips mounted on the product formation sections makes contact; a clamp area that is provided on an outer circumferential side of the molding area, and that is held by a molding die that forms the seal portion; wiring that is provided in the product formation area, and that is electrically connected to the semiconductor chips; a first solid pattern that is provided in the molding area, and in which a plurality of dots are arranged; and a second solid pattern that is provided in the clamp area, and in which a plurality of dots that are larger than the dots of the first solid pattern are arranged.
US08878066B2 Power transmission cable
A power transmission cable includes at least one power conductor, an insulating layer surrounding the conductor to form at least one insulated conductor, a flame-retardant halogen free protective sheath provided in a radially external position with respect to the insulated conductor, wherein the sheath has an inner and an outer layer in contact with each other, the inner layer having a thickness at least equal to a thickness of the outer layer, the inner layer including a polymer material having a glass transition temperature equal to or lower than −30° C., and the outer layer including a mud resistant polymer material.
US08878065B2 Flexible circuit cable with at least two bundled wire groups
Disclosed is a flexible circuit cable with at least two bundled wire groups. The circuit cable has first and second ends respectively connected to first and second connection sections. The circuit cable includes a cluster section, which is formed of a plurality of cluster wires formed by slitting the circuit cable, in an extension direction of the cable, at a predetermined cut width. The cluster section includes at least two independent bundles, which are formed by dividing the cluster wires of the circuit cable into different signal groups according to electrical signals transmitted therethrough. Bundling members are used to the cluster wires of the independent bundles according to predetermined bundling modes. Further, the circuit cable has a surface forming a shielding conductive layer for electromagnetic interference protection and impedance control for internal signals of the circuit cable.
US08878060B2 Zero force conductive membrane
An electronic device may include housing structures, electronic components, and other structures. A gap may be formed between the structures. A membrane structure may be used to bridge the gap to form and environmental seal and electrical pathway between the structures. The membrane structure may be deployed using a temporary biasing member or may be installed by forming an inflatable structure. The inflatable structure may include an elastomeric balloon that may be pressurized. Adhesive such as conductive adhesive may be used in attaching the membrane structure to the structures in the electronic device. An inflatable structure may be depressurized following installation in an electronic device to minimize residual forces.
US08878054B2 Three-layer film for a photovoltaic cell
The invention relates to a multilayer film of A/B/C structure comprising: a first layer of composition A, comprising a fluoropolymer; a second layer of composition B, comprising a filled fluoropolymer; and a third layer of composition C, comprising a fluoropolymer, characterized in that the first and third layers have a melting point above 150° C., measured by DSC, and in that the transmittance in visible light is less than 30% for a multilayer film thickness of 25 μm. The invention also relates to the use of a fluoropolymer-based film preferably for a photovoltaic cell back panel, a high-performance textile or a metal, the film adhering to the substrate by means of an adhesive layer placed between the substrate and the film.
US08878053B2 Front contact solar cell with formed emitter
A bipolar solar cell includes a backside junction formed by an N-type silicon substrate and a P-type polysilicon emitter formed on the backside of the solar cell. An antireflection layer may be formed on a textured front surface of the silicon substrate. A negative polarity metal contact on the front side of the solar cell makes an electrical connection to the substrate, while a positive polarity metal contact on the backside of the solar cell makes an electrical connection to the polysilicon emitter. An external electrical circuit may be connected to the negative and positive metal contacts to be powered by the solar cell. The positive polarity metal contact may form an infrared reflecting layer with an underlying dielectric layer for increased solar radiation collection.
US08878052B2 Apparatus of large-scaled solar cell module
An apparatus of solar cell module is provided. The apparatus is large-scaled. A supporting frame is combined with solar cell modules. Thus, the present invention can be easily installed; material cost is saved; and the cost spent on adjusting levelness of the solar cell modules can be reduced.
US08878051B2 Vacuum element and method for producing the same
For the production of vacuum elements, which optionally contain fittings in the form of at least one solar module (photovoltaic element) and/or a solar collector or a display element, negative pressure is produced in a space between two flat components, in particular translucent or transparent plates, such as glass panes that are bound together via a bead made of sealing material, such that an arrangement that consists of a first component provided with a bead and at a distance therefrom but parallel to the second component arranged therein, is introduced into a vacuum chamber and pressed under vacuum. In this case, an elevated temperature also optionally can be applied to laminate films provided between the components with the components and optionally present fittings.
US08878049B2 Durable, lightweight, and efficient solar concentrator
A solar concentrator, which is modular, durable, lightweight, and cost-efficient is described. The solar concentrator is constructed from a plurality of interconnected reflector panels that are secured to a contoured support frame assembly by means of an adjustable fastening mechanism. The reflector panels are preferably fabricated from a low-cost composite material and have an optically flat front surface. A specularly reflective front surface is formed on the reflector panel by deposition of a plurality of thin films which are highly reflective. Individual reflector panels can be interconnected and arranged into a two-dimensional grid having a predetermined degree of curvature by means of the contoured support frame assembly. Electromagnetic radiation incident upon the reflector panel arrangement is reflected to and concentrated upon a collector plate or receiving surface or receiver where it may be converted into a usable form of energy.
US08878048B2 Solar cell structure including a silicon carrier containing a by-pass diode
A solar cell structure including a silicon carrier defining a front side and a back side, and including an N-type portion having an exposed portion on the front side of the carrier and a P-type portion having an exposed portion on the front side of the carrier, the N-type portion and the P-type portion defining a P-N junction, and a solar cell defining a front side and a back side, wherein the solar cell is connected to the front side of the carrier such that the back side of the solar cell is electrically coupled to the exposed portion of the N-type portion, and wherein the front side of the solar cell is electrically coupled to the exposed portion of the P-type portion.
US08878044B2 Processing device and method for displaying a state of tone generation apparatus
An electronic musical instrument is capable of generating tones in correspondence with individual ones of a plurality of sound generation parts and allocating desired sound colors and pitch ranges to the individual sound generation parts. A display area for displaying information related to the plurality of sound generation parts is presented on a display device. The individual sound generation parts are set either in a sound generating state or in a non-sound-generating state. The display area is divided in order to set sub display areas corresponding to one or more of the sound generation parts having been set in the sound generating state. The information related to the sound generation parts, having been set in the sound generating state, is displayed in the corresponding sub display areas.
US08878042B2 Stringed instrument practice device and system
The present invention extends to practice devices and systems for practicing to play stringed instruments. An electronic stringed instrument practice device can be configured to perform one or more of the following: detect when finger positions and/or string to fret contact on a finger or fret board forms an appropriate musical note or musical chord, visually indicate appropriate positions on a finger or fret board for forming a musical note or musical chord, and detect when strings have been selected (e.g., strummed). The electronic stringed instrument practice device can emit sound in the form of musical notes and chords. The electronic stringed instrument practice device can include communication modules for communicating with other computing devices, including mobile phones and tablets. The electronic stringed instrument practice device can interact with applications on other computing devices to further assist users in learning how to play a stringed musical instrument.
US08878041B2 Detecting beat information using a diverse set of correlations
A beat analysis module is described for determining beat information associated with an audio item. The beat analysis module uses an Expectation-Maximization (EM) approach to determine an average beat period, where correlation is performed over diverse representations of the audio item. The beat analysis module can determine the beat information in a relative short period of time. As such, the beat analysis module can perform its analysis together with another application task (such as a game application task) without disrupting the real time performance of that application task. In one application, a user may select his or her own audio items to be used in conjunction with the application task.
US08878039B2 Drumstick holder
A drumstick holder, comprising: a member providing a surface; one or more retaining members (for example clips arranged to grip a drumstick) arranged to prevent or restrict free movement of a drumstick across the surface; a plurality of protrusions from the member; wherein the drumstick holder is adapted for fitting to a rim of a drum or further comprises means for fitting the member to a rim of a drum (for example a clamp); and when the drumstick holder is fitted to a drum rim, each respective protrusion engages a drum at a different respective position around a circumference of a drum rim. The surface may be substantially planar. The drum may be a bass drum.
US08878034B2 Plants and seeds of common wheat culitvar XY06-892
A wheat cultivar, designated XY06-892, is disclosed. The invention relates to the seeds, plants, and hybrids of wheat cultivar XY06-892, and to methods for producing a wheat plant produced by crossing plants from wheat cultivar XY06-892 with themselves or with plants from another wheat variety. The invention also relates to methods for producing a wheat plant containing in its genetic material one or more transgenes and to the transgenic wheat plants and plant parts produced by those methods. The invention also relates to wheat varieties or breeding varieties and plant parts derived from wheat cultivar XY06-892, to methods for producing other wheat varieties, lines or plant parts derived from wheat cultivar XY06-892, and to the wheat plants, varieties, and their parts derived from the use of those methods. The invention further relates to hybrid wheat seeds and plants produced by crossing wheat cultivar XY06-892 with another wheat cultivar.
US08878030B2 Sweet corn hybrid QHY6RH1077 and parents thereof
The invention provides seed and plants of sweet corn hybrid QHY6RH1077 and the parent lines thereof. The invention thus relates to the plants, seeds and tissue cultures of sweet corn hybrid QHY6RH1077 and the parent lines thereof, and to methods for producing a sweet corn plant produced by crossing such plants with themselves or with another sweet corn plant, such as a plant of another genotype. The invention further relates to seeds and plants produced by such crossing. The invention further relates to parts of such plants, including the parts of such plants.
US08878022B1 Soybean variety XB74F13
A novel soybean variety, designated XB74F13 is provided. Also provided are the seeds of soybean variety XB74F13, cells from soybean variety XB74F13, plants of soybean XB74F13, and plant parts of soybean variety XB74F13. Methods provided include producing a soybean plant by crossing soybean variety XB74F13 with another soybean plant, methods for introgressing a transgenic trait, a mutant trait, and/or a native trait into soybean variety XB74F13, methods for producing other soybean varieties or plant parts derived from soybean variety XB74F13, and methods of characterizing soybean variety XB74F13. Soybean seed, cells, plants, germplasm, breeding lines, varieties, and plant parts produced by these methods and/or derived from soybean variety XB74F13 are further provided.
US08878021B1 Soybean variety XB74E12
A novel soybean variety, designated XB74E12 is provided. Also provided are the seeds of soybean variety XB74E12, cells from soybean variety XB74E12, plants of soybean XB74E12, and plant parts of soybean variety XB74E12. Methods provided include producing a soybean plant by crossing soybean variety XB74E12 with another soybean plant, methods for introgressing a transgenic trait, a mutant trait, and/or a native trait into soybean variety XB74E12, methods for producing other soybean varieties or plant parts derived from soybean variety XB74E12, and methods of characterizing soybean variety XB74E12. Soybean seed, cells, plants, germplasm, breeding lines, varieties, and plant parts produced by these methods and/or derived from soybean variety XB74E12 are further provided.
US08878018B2 Soybean variety A1026622
The invention relates to the soybean variety designated A1026622. Provided by the invention are the seeds, plants and derivatives of the soybean variety A1026622. Also provided by the invention are tissue cultures of the soybean variety A1026622 and the plants regenerated therefrom. Still further provided by the invention are methods for producing soybean plants by crossing the soybean variety A1026622 with itself or another soybean variety and plants produced by such methods.
US08878017B2 Soybean variety A1026611
The invention relates to the soybean variety designated A1026611. Provided by the invention are the seeds, plants and derivatives of the soybean variety A1026611. Also provided by the invention are tissue cultures of the soybean variety A1026611 and the plants regenerated therefrom. Still further provided by the invention are methods for producing soybean plants by crossing the soybean variety A1026611 with itself or another soybean variety and plants produced by such methods.
US08878015B2 Soybean variety A1026415
The invention relates to the soybean variety designated A1026415. Provided by the invention are the seeds, plants and derivatives of the soybean variety A1026415. Also provided by the invention are tissue cultures of the soybean variety A1026415 and the plants regenerated therefrom. Still further provided by the invention are methods for producing soybean plants by crossing the soybean variety A1026415 with itself or another soybean variety and plants produced by such methods.
US08878014B2 Soybean variety A1026413
The invention relates to the soybean variety designated A1026413. Provided by the invention are the seeds, plants and derivatives of the soybean variety A1026413. Also provided by the invention are tissue cultures of the soybean variety A1026413 and the plants regenerated therefrom. Still further provided by the invention are methods for producing soybean plants by crossing the soybean variety A1026413 with itself or another soybean variety and plants produced by such methods.
US08878012B2 Methods for improving the yield of cucumber plants
The present invention relates to a plant of a cucumber breeding line having an introgression from cucumber accession PI 169383, a representative sample of seed of which has been deposited with the NCIMB, Aberdeen, Scotland under accession number NCIMB 41532 and depositors reference PI169383, wherein said introgression is an introgression on linkage group 4 associated with increased yield of said plants, wherein said plant exhibits an increased yield relative a plant of said cucumber breeding line lacking said introgression, and wherein said increased yield refers to a higher total fruit weight per plant.
US08878011B1 Canola line NS6227
A novel canola variety designated NS6227 and seed, plants and plant parts thereof. Methods for producing a canola plant that comprise crossing canola variety NS6227 with another canola plant. Methods for producing a canola plant containing in its genetic material one or more traits introgressed into NS6227 through backcross conversion and/or transformation, and to the canola seed, plant and plant part produced thereby. Hybrid canola seed, plant or plant part produced by crossing the canola variety NS6227 or a locus conversion of NS6227 with another canola variety.
US08878010B1 Canola variety D3153
A novel canola variety designated D3153 and seed, plants and plant parts thereof, produced by crossing Pioneer Hi-Bred International, Inc. proprietary inbred canola varieties. Methods for producing a canola plant that comprises crossing canola variety D3153 with another canola plant. Methods for producing a canola plant containing in its genetic material one or more traits introgressed into D3153 through backcross conversion and/or transformation, and to the canola seed, plant and plant part produced thereby. This invention relates to the canola variety D3153, the seed, the plant produced from the seed, and variants, mutants, and minor modifications of canola variety D3153. This invention further relates to methods for producing canola varieties derived from canola variety D3153.
US08878008B1 Lettuce variety stage coach
The present invention provides novel lettuce cultivar Stage Coach and plant parts, seed, and tissue culture therefrom. The invention also provides methods for producing a lettuce plant by crossing the lettuce plants of the invention with themselves or another lettuce plant. The invention also provides lettuce plants produced from such a crossing as well as plant parts, seed, and tissue culture therefrom.
US08878003B2 Storage-induced promoter
A promoter with an organ-specific activity in plants. The promoter is characterized in that it exhibits greater activity in the storage organs of plants than in other organs of said plants and that the promoter activity is modified after the harvest of the storage organs and is greater than prior to said harvest.
US08878001B2 Humanized IL-6 and IL-6 receptor
Mice that comprise a replacement of endogenous mouse IL-6 and/or IL-6 receptor genes are described, and methods for making and using the mice. Mice comprising a replacement at an endogenous IL-6Rα locus of mouse ectodomain-encoding sequence with human ectodomain-encoding sequence is provided. Mice comprising a human IL-6 gene under control of mouse IL-6 regulatory elements is also provided, including mice that have a replacement of mouse IL-6-encoding sequence with human IL-6-encoding sequence at an endogenous mouse IL-6 locus.
US08878000B2 Absorbent article having traverse reinforcing element
An absorbent article having a front end region, a rear end region, a central region disposed between the front and rear end regions, a fluids source area, and a transverse axis and a longitudinal axis. The absorbent article includes a topsheet, a backsheet, an absorbent core disposed between the topsheet and the backsheet, and a reinforcing structure disposed within the central region. In one aspect of the invention, the reinforcing structure includes a pair of traverse reinforcing elements each of which is formed by two traverse channels. The two traverse channels may be disposed generally parallel to the transverse axis with a reinforcement distance such that the absorbent core has an average density of in the range of about 5% to about 500% higher at the traverse reinforcing element than the fluids source area.
US08877998B2 Absorbent articles with multiple indicating widths
An absorbent article comprises a first location of a first portion of a visual fullness indicating area that is configured to change to a subsequent visual state when indicating the presence of a bodily exudate. At the first location, the first portion has a first overall width. The absorbent article also comprises a second location of a second portion of a visual fullness indicating area that is configured to change to a subsequent visual state when indicating the presence of a bodily exudate. The second location is outboard from the first location. The second location has a second overall width that is wider than the first overall width.
US08877992B2 Methods and apparatus for converting waste materials into fuels and other useful products
Conversion of waste and other organic feedstock into sustainable energy, feed, fertilizer, and other useful products of reliable purities is accomplished using water, heat, and pressure. More specifically, the invention provides methods and apparatus that handle mixed streams of various feedstocks, e.g. agricultural waste, biological waste, municipal solid waste, municipal sewage sludge, and shredder residue, to yield gas, oil, specialty chemicals, and carbon solids that can be used as is or are further processed. Useful products can be diverted at various points of the process or internalized to enhance the efficiency of the system.
US08877986B2 Process for recovering alcohol
Recovery of an alcohol such as ethanol from a crude alcohol product, preferably obtained from the hydrogenation of acetic acid using a low energy process. The crude ethanol product is separated in a column to produce a distillate stream comprising ethyl acetate and a residue stream comprising ethanol, acetic acid, and water. The ethanol product is recovered in a second column as an ethanol side stream.
US08877985B2 Co-production of biofuels and glycols
Methods and systems for co-producing higher hydrocarbons and glycols from bio-based feedstocks containing carbohydrates are disclosed.
US08877983B2 Process for the preparation of 1-alkyl glycerol ethers
A process for the preparation of a 1-alkyl glycerol ether of the formula (I) in which: (a) an alkyl glycidyl ether of the formula (II) in which R is an unbranched or branched C1- to C24-alkyl group, where the alkyl group may be substituted with one or more hydroxy and/or C1- to C4-alkoxy group(s) and/or the alkyl chain may be interrupted by up to four oxygen atoms, is added to: (x) a carboxylic acid having 1-10 carbon atoms; (y) an ester of a carboxylic acid having 1-10 carbon atoms; and/or (z) an anhydride of a carboxylic acid having 1-10 carbon atoms. The low-water reaction mixture containing a catalytic amount of a strong acid is reacted at a temperature of more than 40° C. to give an acylated alkyl glycerol ether; and (b) the acylated alkyl glycerol ether is reacted in order to obtain the alkyl glycerol ether of the formula (I).
US08877978B2 Method for producing tertiary amine
The present invention discloses the method for producing a tertiary amine, using the column reactor packed with catalyst layers, containing supplying a liquid and a gaseous raw materials from the bottom of the column, reacting these raw materials in the column, and discharging the product from the top of the column, wherein the column reactor includes two or more honeycomb catalyst layers as the catalyst layers, one or more spaces between each honeycomb catalyst layer, and one or more rectifying sections that prevents a partial or whole back flow of the raw materials, arranged in each space without contacting with the honeycomb catalyst layer.
US08877973B2 Process for the synthesis of choline salts
A method to synthesize choline salts to be used as inexpensive ingredients for application in ionic liquids or other applications is disclosed.
US08877971B2 Method for preparing formamide compounds
The present invention relates to a method for preparing formamide compounds using carbon dioxide, and to the use of said method for manufacturing vitamins, pharmaceutical products, adhesives, acrylic fibers, synthetic leathers, pesticides and fertilizers. The invention also relates to a method for manufacturing vitamins, pharmaceutical products, adhesives, acrylic fibers, synthetic leathers, pesticides and fertilizers which includes a step of preparing formamide compounds by the method according to the invention.
US08877969B2 Photosensitive composition, compound for use in the photosensitive composition and pattern forming method using the photosensitive composition
A photosensitive composition comprising: (A) a compound capable of generating a compound having a specific structure upon irradiation with actinic rays or radiation, a pattern forming method using the photosensitive composition, and a compound capable of generating a compound having a specific structure upon irradiation with actinic rays or radiation.
US08877965B2 Process for preparing formic acid by reaction of carbon dioxide with hydrogen
A process for preparing formic acid by reaction of carbon dioxide (1) with hydrogen (2) in a hydrogenation reactor (I) in the presence of a catalyst comprising an element of group 8, 9 or 10 of the Periodic Table, a tertiary amine comprising at least 12 carbon atoms per molecule and a polar solvent comprising one or more monoalcohols selected from among methanol, ethanol, propanols and butanols, to form formic acid/amine adducts as intermediates which are subsequently thermally dissociated, where the work-up of the output (3) from the hydrogenation reactor (I) is carried out by addition of water so as to increase the distribution coefficient of the catalyst between the upper phase (4) and the lower phase.
US08877964B2 Catalyst and method for producing acrylic acid
The present invention relates to a method for producing acrylic acid through vapor-phase contact oxidation of acrolein, wherein a reactor tube is divided into at least two catalyst layers, and catalysts having a higher activity are charged in the reactor tube sequentially toward an outlet port side from a material source gas inlet port side for a reaction therein to give acrylic acid, and wherein a catalyst activity-controlling method is a method comprising: a step of mixing a molybdenum-containing compound, a vanadium-containing compound, a copper-containing compound and an antimony-containing compound with water, then drying and calcining a resulting mixture, in which a catalytically-active element composition is kept constant but material source compounds are made to vary in type to give composite metal oxides having a different activity.
US08877963B2 Production of acetic acid with high conversion rate
The present invention is to a process for producing acetic acid comprising the step of reacting a carbon monoxide feed and methanol and/or a methanol derivative in a first reactor to produce a crude acetic acid product. The carbon monoxide may comprise less than 99.5 mol % carbon monoxide. The process further comprises the step of purging a carbon monoxide purge stream comprising a first amount of residual carbon monoxide and one or more impurities. Preferably, the first amount is greater than 20 mol %. The process further comprises the step of separating the crude acetic acid product into at least one derivative stream comprising a second amount of residual carbon monoxide. The process further comprises the step of reacting at least a portion of the second amount of residual carbon monoxide and methanol and/or a methanol derivative in a second reactor to produce additional acetic acid.
US08877962B2 Manufacturing method for sulfonic acid group-containing ether compound
The present invention provides a method for efficiently producing a sulfonic acid group-containing ether compound having a high purity and a good polymerizability while preventing production of a byproduct, and provides a sulfonic acid group-containing ether compound containing fewer impurities and having a good radical (co)polymerizability.The present invention provides a method of producing a sulfonic acid group-containing ether compound by reacting a sulfurous acid compound with a compound represented by the formula (1): wherein R1 represents a single bond, a CH2 group, or a CH2CH2 group, and R2 represents H, or a CH3 group, the method comprising the steps of: adjusting pH of a reaction system to 5.5 or greater with use of an alkaline substance; and adding the compound represented by the formula (1) to a reaction vessel containing the sulfurous acid compound.
US08877959B2 Method for preparing pyruvate ester
A method for preparing a pyruvate ester is disclosed. In the method of the present invention, a lactate ester is oxidized by hydrogen peroxide in the presence of a Ti—Si molecular sieve catalyst. In the present invention, the Ti—Si molecular sieve catalyst is easily filtered and recycled, the reaction conditions are mild due to the usage of hydrogen peroxide, the process is simple and easily performed, the conversion rate of the lactate ester is high, and the selectivity of the pyruvate ester is high.
US08877957B2 Sythesis of P-chiral compounds
Shown is the preparation and subsequent elaboration of P-chiral compounds that can be used as a building block for many P-chiral ligands used, for example, in asymmetric catalytic reactions. Specifically, a synthesis is shown for RP(O)(OR*)CH2OH, with R=H, Ph, aryl, alkyl, and R*=menthol (and other chiral alcohol-derived moieties), especially HP(O)(OMen)CH2OH (Men=L-menthol). This versatile building block is easily synthesized via reaction of inexpensive starting materials, H3PO2, menthol as the chiral auxiliary, and paraformaldehyde.
US08877956B2 1,3,2-dioxaphosphorinane, 2-sulfide derivatives for use as anti-wear additives in lubricant compositions
The present disclosure relates to a non-acidic, sulfur-containing, phosphorus-containing compound of the formula I where R1, R2, R3, R4, R5, R6, and R7 are as defined herein. Such a compound may exhibit improved antiwear performance and thermal stability in lubricating compositions.
US08877955B2 Secondary aminosilanes
The present disclosure invention relates to novel secondary aminosilanes, a method for producing same, and the use thereof. The secondary aminosilanes can be produced from readily available reactants in a simple manner. The secondary aminosilanes are characterized for example by a low viscosity and are well suited for producing silane-functional polymers that have a low viscosity, fast curing, and good thermal stability.
US08877954B2 Functionalized nanoparticles
The present invention discloses functionalized nanoparticles comprising on the surface a covalently bound radical of the formula (I) wherein the nanoparticles are SiO2, Al2O3 or mixed SiO2 and Al2O3 nanoparticles, and wherein the general symbols are as defined in claim 1. These functionalized nanoparticles are for example useful as stabilizers and/or compatibilizers in organic materials, or as photoinitiators in pre-polymeric or pre-crosslinking formulations, or as reinforcer of coatings and improver of scratch resistance in coating compositions for surfaces.
US08877950B2 Method for the synthesis of 5-hydroxymethylfurfural ethers and their use
Method for the manufacture of 5-hydroxymethylfurfural derivatives by reacting a fructose and/or glucose-containing starting material with an alcohol in the presence of a catalytic or sub-stoichiometric amount of solid (“heterogeneous”) acid catalyst. The catalysts may be employed in a continuous flow fixed bed or catalytic distillation reactor. The ethers can be applied as a fuel or fuel additive.
US08877947B2 Process for preparation of substantially pure fosamprenavir calcium and its intermediates
The present invention relates to fosamprenavir calcium (Ia) substantially free of isomer impurity, (3R)tetrahydro-3-furanyl(1S,2R)-3-[[(4-aminophenyl)sulfonyl](isobutyl)amino]-1-benzyl-2-(phosphonooxy)propyl carbamate (Ib), and its process for preparation thereof. The present invention also provides fosamprenavir calcium intermediate, (S)-3-tetrahydrofuranyl-N-succinimidyl carbonate (IIa) substantially free of (R)-3-tetrahydrofuranylsuccinimidyl carbonate (IIb) and its process for preparation thereof.
US08877942B2 2,2′-disubstituted dipyrrin compounds, synthesis thereof and methods of use thereof
The present invention relates to 2,2′-di-substituted dipyrrins. The invention also relates to methods for the preparation of 2,2′-disubstituted dipyrrins, and to the use of 2,2′-disubstituted dipyrrins in, for example, sensing, imaging and laser applications.
US08877940B2 Antibiotic tolerance inhibitors
The present disclosure relates to benzimidazole-benzamide derivatives, and the use thereof, e.g., to treat infections.
US08877939B2 Copper-catalysed ligation of azides and acetylenes
A copper catalyzed click chemistry ligation process is employed to bind azides and terminal acetylenes to provide 1,4-disubstituted 1,2,3-triazole triazoles. The process comprises contacting an organic azide and a terminal alkyne with a source of reactive Cu(I) ion in human blood plasma to form by cycloaddition a 1,4-disubstituted 1,2,3-triazole. The source of reactive Cu(I) ion can be, for example, a Cu(I) salt, Cu(II) ion in the presence of a reducing agent, or copper metal.
US08877936B2 Ruthenium olefin metathesis catalysts bearing N-heterocyclic carbene ligands with substituted backbone
This invention relates generally to olefin metathesis, more particularly, to tri- or tetra-substituted imidazolinium salts which are precursors to N-heterocyclic carbene (NHC) ligands with tri- or tetra-substituted imidazolinium rings, organometallic ruthenium complexes comprising gem di-substituted imidazolinium NHC ligands, organometallic ruthenium complexes comprising tri- or tetra-substituted imidazolinium NHC ligands, and to olefin metathesis methods using them. The catalysts and methods of the invention have utility in the fields of catalysis, organic synthesis, and industrial chemistry.
US08877934B2 N-heteroaryl compounds
This invention relates to certain N-heteroaryl compounds that are generally useful as medicaments, more specifically as medicaments for animals. The medicament can preferably be used for the treatment of helminth infections and the treatment of parasitosis, such as caused by helminth infections. This invention also relates to uses of the compounds to make medicaments and treatments comprising the administration of the compounds to animals in need of the treatments. This invention also relates to novel N-heteroaryl compounds and the preparation of said compounds. Moreover this invention relates to pharmaceutical compositions and kits comprising the compounds.
US08877933B2 Thermodynamically stable form of a tosylate salt
The present invention relates to a novel form, thermodynamically stable at room temperature, of the tosylate salt of 4-{4-[({[4-chloro-3-(trifluoromethyl)phenyl]amino}carbonyl)amino]phenoxy}-N-methylpyridine-2-carboxamide, to processes for its preparation, to medicaments comprising it and to its use in the control of disorders.
US08877931B2 Process and intermediates for preparing integrase inhibitors
The invention provides synthetic processes and synthetic intermediates that can be used to prepare 4-oxoquinolone compounds having useful integrase inhibiting properties.
US08877925B2 2,6-dihalo-5-alkoxy-4-substituted-pyrimidines, pyrimidine-carbaldehydes, and methods of formation and use
2,6-Dihalo-5-alkoxy-4-substituted-pyrimidines, 2,6-dihalo-5-alkoxy-4-pyrimdine carbaldehydes, and derivatives of each are useful intermediates in forming potent herbicides that demonstrate a broad spectrum of weed control. These compounds are disclosed, as are methods of forming and using these compounds.
US08877923B2 Substituted 3-(5-membered unsaturated heterocyclyl-1, 3-dihydro-indol-2-ones and derivatives thereof as kinase inhibitors
The present invention relates to organic molecules capable of modulating tyrosine kinase signal transduction in order to regulate, modulate and/or inhibit abnormal cell proliferation.
US08877919B2 Cellulose-containing mass
The present disclosure concerns a method for producing a cellulose-containing mass, including an organic material, the method comprising the steps a) preparation of an input comprising organic material and a liquid content; and b) exposing said input to an active zone of an electromagnetic field. Moreover a method for producing a composite material that is based on said cellulose-containing mass is disclosed as well as a product produced of said composite material.
US08877917B2 Glycoconjugates of RNA interference agents
The present invention relates to agents, compositions and methods for inhibiting the expression of a target gene, comprising an RNAi agent bearing at least one galactosyl moiety. These are useful for delivering the gene expression inhibiting activity to cells, particularly hepatocytes, and more particularly in therapeutic applications.
US08877915B2 Transcription regulatory factors for mannanases or cellulases, and genes for the transcription regulatory factor
Disclosed are: transcription regulatory factors capable of regulating the transcription or expression of genes for mannanases or cellulases, as mentioned below; and others. Specifically disclosed is a protein selected from the following proteins (a), (b) and (c): (a) a protein comprising the amino acid sequence depicted in SEQ ID NO:2; (b) a protein which comprises an amino acid sequence produced by deleting, substituting or adding one or several amino acid residues (e.g., 1 to 5 amino acid residues) in the amino acid sequence depicted in SEQ ID NO:2 and which is capable of regulating the transcription of genes for mannanases or cellulases; and (c) a protein which comprises an amino acid sequence having a 70% or higher sequence identity to the amino acid sequence depicted in SEQ ID NO:2 and which is capable of regulating the transcription of genes for mannanases or cellulases, or a partial fragment of the protein. Also specifically disclosed are a gene encoding the protein, and others.
US08877911B2 Methods and compositions for analyzing AHASL genes
The invention relates to methods and compositions for analyzing plant acetohydroxy acid synthase large subunit (AHASL) genes. In particular, the invention relates to methods for the detection of wild-type AHASL alleles and mutant AHASL alleles that encode imidazolinone-tolerant AHASL proteins. The methods involve the use of PCR amplification and novel compositions comprising allele-specific and gene-specific primers to detect the presence of mutant and/or wild-type alleles present at the individual AHASL genes of a plant. Specifically, the methods and compositions are useful for analyzing the three AHASL genes of Triticum aestivum and the two AHASL genes of Triticum turgidum ssp. durum.
US08877905B2 Nucleotides and nucleosides and methods for their use in DNA sequencing
The present invention relates generally to labeled and unlabeled cleavable terminating groups and methods for DNA sequencing and other types of DNA analysis. More particularly, the invention relates in part to nucleotides and nucleosides with chemically cleavable, photocleavable, enzymatically cleavable, or non-photocleavable groups and methods for their use in DNA sequencing and its application in biomedical research.
US08877901B2 Camptothecin-binding moiety conjugates
The invention relates to therapeutic conjugates with improved ability to target various diseased cells containing a targeting moiety (such as an antibody or antibody fragment), a linker and a camptothecin as a therapeutic moiety, and further relates to processes for making and using the said conjugates.
US08877899B2 Anti-CD38 antibody and lenalidomide or bortezomib for the treatment of multipe myeloma and NHL
The present disclosure describes a pharmaceutical combination of an anti-CD38 antibody and lenalidomide and a pharmaceutical combination of an anti-CD38 antibody and bortezomib.
US08877898B2 Fluorescent fusion polypeptides and methods of use
Embodiments of the present invention provide for the facile generation of a stable recombinant fusion polypeptides with intrinsic fluorescent properties. The recombinant antibodies may be suitable for qualitative and/or quantitative immunofluorescence analysis. Generally, the fluorescent polypeptides include a fluorescent domain comprising a C-terminus and an N-terminus; a first antibody domain covalently linked to the C-terminus; and a second antibody domain covalently linked to the N-terminus.
US08877893B2 Stabilized low affinity conformation of integrins for drug discovery
The methods and compositions described herein are based, in part, on the discovery that the introduction of a disulfide bond into an integrin polypeptide by the substitution of at least one cysteine residue in the polypeptide permits stabilization of the integrin in a “closed/inactive” state. This stabilizing disulfide bond permits integrins to be screened for a candidate molecule that can bind to the closed state. In particular, this approach can be used to screen for agents that bind to the closed state of an integrin polypeptide, and are useful as therapeutic treatments to prevent integrin activation.
US08877892B2 Conjugation reactions
We describe methods that allow either carbodiimides or other carboxyl-reactive substances to be mixed with solutions of carboxylic acids or phosphates or amines or combinations thereof, so as to form a homogeneous mixture which is then dried, preferably in a freeze drying process. The mixture is then contacted with an entity, which preferably involves the dissolution of the mixture with a buffered solution of the entity, so as to initiate a conjugation reaction between the entity and a component in the mixture.
US08877888B2 High-flow polyamides
A polyamide modified by a multi-functional compound, and a method for producing said polyamide and compositions comprising same are described. A method for the production of a polyamide comprising linear macromolecular chains and star-shaped macromolecular chains is also described. A resulting polyamide having optimal mechanical and rheological properties in order to (i) improve mould filling speed and quality, and (ii) produce moldable compositions with high filler contents is also described.
US08877887B2 Multifunctional sulfur-containing polymers, compositions thereof and methods of use
Disclosed are multifunctional sulfur-containing polymers that are the reaction products of a sulfur-containing diol, a polyol containing at least three hydroxyl groups per polyol molecule, and an aldehyde, a ketone, or a combination thereof. Sealant compositions comprising the multifunctional sulfur-containing polymers are also disclosed.
US08877885B2 Tin free silyl-terminated polymers
Embodiments of the invention provide for methods of producing a composition comprising a crosslinkable silane-terminated polymer having at least one crosslinkable silyl group in each molecule. The method comprises providing a polymer having at least one unsaturated group and at least one alcoholic hydroxyl group in each molecule, adding to the polymer a compound having a hydrogen-silicon bond and a crosslinkable silyl group in each molecule and a hydrosilylation catalyst to thereby carry out a hydrosilylation reaction to form a composition comprising hydrosilylated polyoxyalkylene polymers, reacting the hydrosilylated polyoxyalkylene polymers with at least one isocyanate in the presence of a first tin-free catalyst to form an isocyanate reacted hydrosilylated polymer, and optionally reacting the isocyanate reacted hydrosilylated polymer with a polyol having a nominal functionality of at least 2 to form a polyol reacted crosslinkable silane-terminated polymer.
US08877884B2 Adhesive composition, film adhesive, and method for producing the adhesive composition
An adhesive composition is disclosed which includes a polymer prepared by copolymerizing a monomer containing a polymerizable group, the polymer including a low-molecular-weight component having a molecular weight equivalent to 1% or less of the weight-average molecular weight of the polymer, the low-molecular-weight component is contained in a range of not less than 0 weight % to less than 0.3 weight % of the total weight of the polymer. This allows provision of an adhesive composition having great adhesive strength in a high-temperature environment, especially at temperatures from 140° C. to 200° C., as well as high heat resistance and favorable crack resistance.
US08877882B1 Non-self-adherent coating materials
Liquid adhesive coating materials are prepared that protect surfaces, such as medical devices and biological surfaces, including skin and mucous membranes, from pressure, shear, and friction. The liquid coating materials utilize an amphiphilic siloxysilane/hydroxyalkyl ester polymer-containing coating material dissolved in a volatile solvent, with or without an antimicrobial agent, where when the polymer coating is formed after solvent evaporation and is folded against itself or placed against another material, the surface of said coating does not adhere, while the bottom of the coating remains attached to the original surface.
US08877881B2 Catalyst compounds and use thereof
This invention relates to Group 4 catalyst compounds containing anionic bidentate nitrogen/oxygen based ligands catalyst compounds useful for polymerization and or oligomerization of unsaturated monomers. The catalyst compounds are particularly useful, with or without activators, to polymerize olefins, particularly α-olefins, or other unsaturated monomers.
US08877876B2 High-temperature plastic article
A plastic article having a thickness of about 2 mm to about 3.2 mm is disclosed. The article is formed from a thermoplastic composition that includes a first polymer that is a polycarbonate, a second polymer that is a polyphthalatecarbonate, a perfluoroakyl sulfonate salt; and a cyclic siloxane compound. The thermoplastic has a light transmittance at a thickness of 3.2 mm of at least 86% according to ASTM D1003-11E1, a UL94 flammability rating of V0, a notched Izod impact strength at a thickness of 3.2 mm of at least 500 J/m according to ASTM D256-05 with a 2.27 kg weight at a, and a heat deflection temperature at a thickness of 3.2 mm of at least 160° C. according to ASTM D648-06 under a load of 0.45 MPa.
US08877875B2 Golf ball
The object of the present invention is to provide a golf ball that satisfies both of the flight distance at the shot with a driver, a long iron, and a middle iron and the controllability at the approach shot with a short iron and is also excellent in the abrasion resistance of the cover. The present invention provides a golf ball comprising a core consisting of a center and at least one intermediate layer covering the center, and a cover covering the core, wherein the cover is formed from a cover composition containing, as a resin component, a thermoplastic polyurethane (A) and a polyisocyanate mixture (B) in which a urethane prepolymer (b-1) having at least two isocyanate groups or a polyisocyanate (b-2) having at least three isocyanate groups is dispersed in a thermoplastic resin (b-3) which does not substantially react with an isocyanate group; and wherein the cover composition has a slab hardness of 60 or less in Shore D hardness, and the core has a hardness difference (Hs−Ho) between a surface hardness Hs and a center hardness Ho of from 15 to 50 in Shore D hardness.
US08877868B2 Hot melt adhesive comprising cyanoacrylate curing compounds
A curable adhesive composition comprising (i) 10 to 80 wt-% of a derivatives of cyanoacrylic esters, cyanopentadienoate esters, methyliden malonate esters (ii) 15 to 50 wt-% non-reactive (co)polymer(s) based on unsaturated monomers selected from vinyl esters or alkyl (meth)acrylates, iii) hydrocarbon resins and optionally iv) additives.
US08877863B2 Process for production of polymer polyols
The present invention provides a process for preparing a polymer polyol (PMPO) by alkoxylating a starter compound(s) having active hydrogen atoms in the presence of a double metal cyanide (DMC) catalyst, radical initiator(s) and optionally PMPO stabilizers and simultaneously polymerizing unsaturated monomer(s) with radical initiator(s). The polymer polyols (PMPOs) made by the inventive process may find use in the preparation of polyurethane foams and elastomers.
US08877861B2 One-pot synthetic method for synthesizing silver-containing waterborne polyurethane
The present invention relates to a one-pot synthetic method for synthesizing silver-containing waterborne polyurethane (WBPU). The method includes the steps of adding a silver ion-containing solution, such as silver nitrate solution, into WBPU emulsion to form a silver-containing PU emulsion by stirring, and dehydrating the silver-containing PU emulsion so as to obtain a WBPU containing nano-scaled silver particles having a uniform particle size and good dispersibility. The present invention provides a method that can be easily and speedily operated, and the silver-containing WBPU prepared according to the method of the present invention exhibits excellent antibacterial, bactericidal and deodorant effects but using a small amount of silver.
US08877855B2 TPE composition having good reseal, articles produced therefrom, and method
A thermoplastic elastomer (TPE) composition is provided which contains a blend of a hydrogenated styrenic block copolymer (SBC) having a number average molecular weight (Mn) greater than about 200,000 Daltons (Da), a polypropylene, a mineral oil, and at least one filler. The composition has a hardness less than about 80 Shore A and is resealable. An article produced from such a TPE composition reseals itself so as to exhibit no leakage after puncture. A method for preventing leakage in an elastomeric article involves providing an elastomeric article formed from the TPE composition, puncturing the elastomeric article by inserting a sharp object, and removing the sharp object; the article reseals itself such that no leakage occurs from the punctured article after removal of the sharp object.
US08877853B2 Delamination-resistant multilayer container preform, article and method with oxygen barrier formulations
A plastic preform, container or article includes a multilayer wall having at least one layer of a matrix resin, at least one layer of a barrier resin, an adhesion-promoting material blended with the barrier resin and/or matrix resin, and an active oxygen barrier composition blended with the barrier resin and/or matrix resin. The adhesion-promoting material promotes bonding between the barrier and matrix resin layers and includes an amine polymer, preferably an imine polymer having a number of available primary, secondary or tertiary amine groups. The matrix resin preferably is an ester-containing resin, such as PET. The barrier resin preferably is EVOH. The active oxygen barrier composition includes a metal with an additive compound, and may also include a host polymer.
US08877851B2 Graphite filled polyester compositions
Polyester compositions comprising: 3 to 40 weight percent, preferably 3 to 30 weight percent, of at least one polyester, preferably polybutylene terephthalate, polytrimethylene terephthalate, polyethylene terephthalate, poly(ethylene 2,6-naphthoate), and poly(1,4-cyclohexyldimethylene terephthalate); 25 to 50 weight percent non-fibrous graphite, preferably a platy or particulate graphite; 10 to 40 weight percent inorganic filler selected from the group consisting of wollastonite, glass fibers, aramid fibers, ceramic fibers, potassium titanate whiskers, or combinations of them; 3 to 10 weight percent copolyether ester elastomer; wherein: the amount of a+b+c+d is 100 weight percent of the composition; the amount of b+c is at least 50 weight percent of the composition; the weight ratio of copolyether ester elastomer to polyester is from 0.3 to 0.4; and the composition has at least a 0.2 percent elongation, a thermal conductivity of at least 3 WmK, and a tensile strength of at least 25 MPa. Articles prepared from these compositions.
US08877849B2 Thermosetting silicone resin composition for reflector of LED, reflector for LED using the same and optical semiconductor apparatus
A thermosetting silicone resin composition for an LED reflector has: a thermosetting resin; at least one kind of white pigment selected from titanium oxide, zinc oxide, zirconium oxide, magnesium oxide, barium carbonate, magnesium silicate, zinc sulfate, and barium sulfate; and an inorganic filler other than the pigment, which contains at least one kind of inorganic filler that has an average particle diameter of 30 μm to 100 μm and a refraction index that is different from a refraction index of a cured material of the thermosetting resin by 0.05 or more, and at least one kind of inorganic filler that has an average particle diameter of less than 30 μm. The thermosetting resin composition provides a cured material that has excellent heat and light resisting properties and hardly leaks light, a reflector for an LED obtained by molding using the composition, and an optical semiconductor apparatus using the reflector.
US08877847B2 Paper coating compositions
Paper coating compositions contain specific polyethers which are obtained by reacting a diglycidyl ether with a water soluble polyol containing at least one polyoxyethylene chain acting as deflocculants, water retention agents and gloss enhancers.
US08877846B2 Surface coating and fuser member
The present teachings disclose a surface coating composition. The surface coating composition includes fluorine containing particles, aerogel particles and positive tribocharging particles. The surface coating is useful as the release layer for fuser members.
US08877844B2 Highly pigmented aqueous coating compositions with improved resistance to blocking
Blocking resistance of highly pigmented aqueous coating compositions is improved by addition of an anti-blocking organosilicon composition containing. A) 100 parts by weight of at least one aminoalkyl-functional organopolysiloxane; B) from 1 to 20 parts by weight of at least one aminoalkyl-functional alkoxysilane or partial hydrolysis product thereof, and C) from 10 to 500 parts by weight of at least one silanol-functional organopolysiloxane free of aminoalkyl groups, wherein the weight percentages of A), B), and C) are relative to the total weight of components A), B), and C). The organosilicon composition is advantageously supplied in the form of an aqueous emulsion.
US08877843B2 Resin composition and method for producing circuit board
The present invention relates to a resin composition which includes a copolymer consisting of a first monomer containing a monomer unit having at least one carboxyl group and a second monomer copolymerizable with the first monomer, and also includes an ultraviolet absorber. The resin composition used is a resin composition for which, when ∈1 represents an absorbance coefficient per unit weight of a resin film 2 in a solution prepared by dissolving, in a solvent, the resin film 2 formed by application of the resin composition as a liquid, ∈1 at a light wavelength at which the resin film 2 is to be irradiated is at least 0.01 (L/(g·cm)).
US08877842B2 Aqueous binders for granular and/or fibrous substrates
Binder for granular and/or fibrous substrates.
US08877841B2 Molding material containing unsaturated polyester resin and microfibrillated plant fiber
The present invention relates to a molding material comprising an unsaturated polyester resin and microfibrillated plant fibers, the microfibrillated plant fibers comprising cellulose and hemicellulose, and having a specific surface area of 5 to 20 m2/g; a method of producing the molding material; a molded article obtained by curing the molding material; and a method of producing an unsaturated polyester resin molded article comprising microfibrillated plant fibers.
US08877835B2 Heat-activatable free-radical initiators and composite material which comprises magnetic particles
Composite material comprising—one or more heat-activatable free-radical initiators selected from the group consisting of organic peroxides and/or initiators having labile carbon-carbon bonds and particles which have a core-shell structure and the core of which comprises one or more magnetic materials, while the shell comprises silicon dioxide.
US08877834B2 Carbon fiber-reinforced resin composition
A carbon fiber-reinforced resin composition including (A) a polyolefin resin, (B) an acid-modified polyolefin resin and (C) modified carbon fibers of which the adhesion amount of an amino group-containing modified polylolefin resin is 0.2 to 5.0 mass %, wherein the mass ratio of (A):(B) is 0 to 99.5:100 to 0.5 and the mass ratio [(A)+(B)]:(C) is 40 to 97:60 to 3.
US08877830B2 Stress relief for crosslinked polymers
The invention provides methods for inducing reversible chain cleavage of polymer chains in a crosslinked polymeric material or during polymerization of a polymeric material. Reversible cleavage of the polymer backbone is capable of relieving stress in the polymeric material as the bonds reform in a less stressed state. The invention also provides mixtures for making crosslinked polymeric materials, methods for making polymeric materials capable of reversible chain cleavage, materials made by the methods of the invention, and linear monomers containing reversible chain cleavage groups which are useful in the materials and methods of the invention. The mixtures of the invention may be dental restorative compositions used for forming dental restorative materials.
US08877827B2 Active ray-curable inkjet ink, and image formation method
The active ray-curable inkjet ink comprises a gelling agent, photopolymerizable compounds and a photoinitiator, and reversibly transitions into a sol-gel phase according to the temperature. Therein: (1) a (meth)acrylate compound having a molecular weight of 300-1,500 and having 3-14 (—CH2—CH2—O—) structural units within a molecule is included as the first photopolymerizable compound at a proportion of 30-70 mass % relative to the total mass of the ink; (2) a (meth)acrylate compound having a molecular weight of 300-1,500 and a C log P value of 4.0-7.0 is included as the second photopolymerizable compound at a proportion of 10-40 mass % relative to the total mass of the ink; and (3) the gelling agent has a total of at least 12 carbon atoms, and has a straight or branched alkyl chain including at least three carbon atoms.
US08877821B2 Method and system for synthesizing liquid hydrocarbon compounds
Provided is a method for synthesizing liquid hydrocarbon compounds wherein synthesizing liquid hydrocarbon compounds from a synthesis gas by a Fisher-Tropsch synthesis reaction. The method includes a first absorption step of absorbing a carbon dioxide gas, which is contained in gaseous by-products generated in the Fisher-Tropsch synthesis reaction, with an absorbent, and a second absorption step of absorbing a carbon dioxide gas, which is contained in the synthesis gas, with the absorbent which is passed through the first absorption step.
US08877819B2 Methods for treating heat stress and related compositions
Methods of treating, reducing, and/or preventing heat stress are provided. For example, provided is a method of treating or preventing heat stress in a subject comprising selecting a subject in need of heat stress treatment or prevention and administering an effective amount of one or more of astaxanthin and milk casein hydrolysate, or a derivative thereof to the subject. Also provided are methods of reducing the effects of a fever in a subject comprising administering an effective amount of one or more of astaxanthin, chromium and milk casein hydrolysate, or a derivative thereof, to the subject. Also provided are pharmaceutical compositions, comprising astaxanthin and one or more of chromium or milk casein hydrolysate.
US08877816B2 4-(or 5-) substituted catechol derivatives
Compounds of the formula: are disclosed. The compounds act as phosphodiesterase-4 modulators, and useful for treating stroke, myocardial infarct, and cardiovascular inflammatory conditions. Other embodiments are also disclosed.
US08877815B2 Substituted carbamoylcycloalkyl acetic acid derivatives as NEP
The present invention provides a compound of formula I; or a pharmaceutically acceptable salt thereof, wherein R1, R2, R3, R4, R5, B, X, m and n are defined herein. The invention also relates to a method for manufacturing the compounds of the invention, and its therapeutic uses. The present invention further provides pharmaceutical composition of compounds of the invention, and a combination of pharmacologically active agents and a compound of the invention.
US08877813B2 Antiinflammatory and analgesic preparation for external use
It is intended to provide an antiinflammatory and analgesic preparation for external use whereby side effects of a nonsteroidal antiinflammatory and analgesic drug on the skin can be regulated, the nonsteroidal antiinflammatory and analgesic drug can be prevented from degeneration with time due to a dibenzoylmethane derivative contained as an UV absorbent therein, the dibenzoylmethane derivative can be prevented from crystallization and sedimentation due to an oily phase component contained therein, and the inherent effects of the nonsteroidal anti-inflammatory and analgesic drug can be fully exerted without showing skin irritation caused by the oily phase component, an emulsifier and a thickener. The above problem can be solved by providing an antiinflammatory and analgesic preparation for external use which comprises a base for external use containing an oily phase component, a nonsteroidal antiinflammatory and analgesic drug and a dibenzoylmethane derivative and in which the total amount of fatty acid esters in the oily phase component is at least thrice as much as the content of the dibenzoylmethane derivative.
US08877809B2 Compositions and methods for treating macular degeneration
Methods of retarding formation of a lipofuscin pigment in the retina and of treating or ameliorating the effects of a disease characterized by an accumulation of a lipofuscin pigment in a retina are provided. These methods include the step of administering to a patient in need thereof a substituted C20-retinoid in an amount sufficient to reduce accumulation of a lipofuscin pigment in the retina. Further provided are methods of retarding formation of A2E and/or ATR-dimer by replacing an all-frans-retinal (ATR) substrate with a C20-D3-retinal substrate under conditions sufficient to impede the formation of A2E. Compositions for retarding formation of a lipofuscin pigment in the retina containing a substituted C20-retinoid and a pharmaceutically acceptable carrier are also provided.
US08877801B2 Compounds and compositions as selective estrogen receptor degraders
The present invention relates to compounds of formula I: in which n, m, X, Y1, R1, R2, R3, R4 and R5 are defined in the Summary of the Invention; capable of being both potent antagonists and degraders of estrogen receptors. The invention further provides a process for the preparation of compounds of the invention, pharmaceutical preparations comprising such compounds and methods of using such compounds and compositions in the management of diseases or disorders associated with aberrant estrogen receptor activity.
US08877798B2 1-aryl-3-azabicyclo[3.1.0]hexanes: preparation and use to treat neuropsychiatric disorders
The invention provides novel, multiply-substituted 1-aryl-3-azabicyclo[3.1.0]hexanes, and related processes and intermediates for preparing these compounds, as well as compositions and methods employing these compounds for the treatment and/or prevention of central nervous system (CNS) disorders, including depression and anxiety.
US08877793B2 Method and therapeutic/cosmetic topical compositions for the treatment of rosacea and skin erythema using α1-adrenoceptor agonists
The present invention is directed to the treatment of skin erythema as exhibited in rosacea and other conditions characterized by increased erythema (redness) of the skin. These conditions exhibit dilation of blood vessels due to a cutaneous vascular hyper-reactivity. In particular, the present invention is directed to a novel composition and method for the treatment of skin erythema using α1-adrenergic receptor (α1-adrenoceptor) agonists incorporated into cosmetic, pharmacological or dermatological compositions for topical application to the skin.
US08877787B2 EP4 agonist
Provided is a compound represented by the formula (1): wherein R1 and R2 are each independently a hydrogen atom or a straight chain alkyl group having a carbon number of 1-3, R3 is a hydrogen atom, an alkyl group having a carbon number of 1-4, an alkoxyalkyl group, an aryl group, a halogen atom or a haloalkyl group, or a pharmaceutically acceptable salt thereof, which has, unlike known PGI2 analogs, a selective EP4 agonist action, and a medicament containing the compound, which is useful for the prophylaxis and/or treatment of immune diseases, diseases of the digestive tract, cardiovascular diseases, cardiac diseases, respiratory diseases, neurological diseases, ophthalmic diseases, renal diseases, hepatic diseases, bone diseases, skin diseases and the like.
US08877786B2 Substituted carbamoylmethylamino acetic acid derivatives as novel NEP inhibitors
The present invention provides a compound of formula I; or a pharmaceutically acceptable salt thereof, wherein R1, R2, R3, R4, R6, A1, A2, X1, s and m are defined herein. The invention also relates to a method for manufacturing the compounds of the invention, and its therapeutic uses. The present invention further provides a combination of pharmacologically active agents and a pharmaceutical composition.
US08877784B2 TRPA1 antagonists
Compounds of formula (I) wherein R1, R2, R3, and Y are defined in the description are TRPA1 antagonists. Compositions comprising such compounds and methods for treating conditions and disorders using such compounds and compositions are also disclosed.
US08877780B2 5-substituted isoindoline compounds
This invention relates to 5-substituted isoindoline compounds, and pharmaceutically acceptable salts, solvates, stereoisomers, and prodrugs thereof. Methods of use, and pharmaceutical compositions of these compounds are disclosed.
US08877776B2 (L)-malate salt of N-(4-{[6,7-bis(methyloxy) quinolin-4-yl]oxy}phenyl)-N'-(4-fluorophenyl)cyclopropane-1,1-dicarboxamide
Disclosed are malate salts of N-(4-{[6,7-bis(methyloxy)-quinolin-4-yl]oxy}phenyl)-N′-(4-fluorophenyl)cyclopropane -1,1-dicarboxamide, including a (L)-malate salt, a (D)-malate salt, a (DL) malate salt, and mixtures thereof; and crystalline and amorphous forms of the malate salts. Also disclosed are pharmaceutical compositions comprising at least one malate salts of N-(4-{[6,7-bis(methyloxy)quinolin-4-yl]oxy}phenyl)-N′-(4-fluorophenyl)-cyclopropane-1,1-dicarboxamide; and methods of treating cancer comprising administering at least one malate salt of N-(4-{[6,7-bis(methyloxy)quinolin-4-yl]oxy}phenyl)-N′-(4-ffuorophenyl)cyclopropane-1,1-dicarboxamide.
US08877775B2 Substituted 2-aza-bicyclo[2.2.2]octane-3-carboxylic acid (benzyl-cyano-methyl)-amides inhibitors of cathepsin C
This invention relates to 2-Aza-bicyclo[2.2.2]octane-3-carboxylic acid (benzyl-cyano-methyl)-amides of formula 1 and their use as inhibitors of Cathepsin C, pharmaceutical compositions containing the same, and methods of using the same as agents for treatment and/or prevention of diseases connected with dipeptidyl peptidase I activity, e.g. respiratory diseases.
US08877771B2 Treatment of solid tumors with rapamycin derivatives
The present invention is directed to the use of rapamycin derivatives for use in treating solid tumors, optionally in combination with a chemotherapeutic agent.
US08877769B2 Heteroaryl-substituted urea modulators of fatty acid amide hydrolase
Certain heteroaryl-substituted piperidinyl and piperazinyl urea compounds are described, which are useful as FAAH inhibitors. Such compounds may be used in pharmaceutical compositions and methods for the treatment of disease states, disorders, and conditions mediated by fatty acid amide hydrolase (FAAH) activity, such as anxiety, pain, inflammation, sleep disorders, eating disorders, insulin resistance, diabetes, osteoporosis, and movement disorders (e.g., multiple sclerosis).
US08877768B2 Method and composition for treating alzheimer-type dementia
There is described a method for increasing the maximal tolerated close and thus the efficacy of an acetyl choline esterase inhibitor (AChEI) in a patient suffering from an Alzheimer type dementia by decreasing concomitant adverse effects by administration of said AChEI in combination with a non-anticholinergic antiemetic agent, whereby an enhanced acetyl choline esterase inhibition in the CNS of said patient is achieved and alleviation of the symptoms of Alzheimer type dementia in said patient is thereby improved to a greater extent. The use of a non-anticholinergic antiemetic agent for the preparation of a pharmaceutical composition for the treatment of Alzheimer type dementia in combination with an acetyl choline esterase inhibitor (AChEI) and pharmaceutical compositions comprising (a) a 5HT3 receptor antagonist, a dopamine antagonist, a H1-receptor antagonist, a cannabinoid agonist, aprepitant or casopitant as an antiemetic agent and (b) an acetylcholine esterase inhibitor are also described.
US08877761B2 N-pyrimidin-4-yl-3-amino-pyrrolo[3,4-c]pyrazole derivatives as PKC kinase inhibitors
The present invention relates to compounds and pharmaceutically acceptable salts of Formulas A and B: wherein A, B, R1, R2, R3, R4, R5, R6, R7, R8, R9 and R10 are as defined above. The invention further relates to pharmaceutical compositions comprising the compounds and pharmaceutically acceptable salts and to methods of treating diabetes mellitus and its complications, cancer, ischemia, inflammation, central nervous system disorders, cardiovascular disease, Alzheimer's disease and dermatological disase pression, virus diseases, inflammatory disorders, or diseases in which the liver is a target organ.
US08877752B2 4(1H)-quinolones having antimalarial activity with reduced chemical resistance
Provided are 4(1H)-quinolone derivatives effective in inhibiting or eliminating the viability of at least one of the stages in the life-cycle of the malarial parasite, and to show a reduced propensity to induce resistance to the compound by the target parasite. In particular, the compounds can be derivatives of phenoxyethoxy-quinolones, and including, but not only, 7-(2-phenoxyethoxy)quinolin derivatives. These compounds may be administered by themselves, with at least one other derivative compound, or with other antimalarial compounds, to an animal or human subject. The therapeutic compositions can be and formulated to reduce the extent of a Plasmodium infection in the recipient subject, or to reduce the likelihood of the onset or establishment of a Plasmodium infection if administered prior to the parasite contacting the subject. The therapeutic compositions can be formulated to provide an effective single dose amount of an antimalarial compound or multiple doses for administering over a period of time.
US08877751B2 Benzothiazolone derivatives
Compounds of the formula I, in which R1, R2, R3, R3′, R4, R4′, E, E′, E″ and E′″ have the meanings indicated in Claim 1, are inhibitors of tyrosine kinases, in particular Met kinase, and can be employed, inter alia, for the treatment of tumors.
US08877748B2 Heterocyclic fused anthraquinone derivatives, manufacturing method and pharmaceutical composition using thereof
A heterocyclic fused anthraquinone derivative, which is represented by a formula (I): wherein R1 is a substituent being one selected from a group consisting of hydrogen, halogens, aminoalkyl group, sulfoalkyl group, haloalkyl group, piperazino group, sulfonyl group, morpholino group, alkali group or one substituent represented by a formula (II): wherein R2 is amino group, oxyl group or a thiol group. In the meantime, a method for manufacturing the above-mentioned heterocyclic fused anthraquinone derivatives and a pharmaceutical composition using thereof are also disclosed here.
US08877746B2 Compositions for delivery of insoluble agents
Compositions and methods of making the same for in vivo delivery of lixivaptan to a subject in need thereof are described. The composition includes a substantially water-insoluble pharmacologically active agent (e.g., lixivaptan) and a substantially water-insoluble matrix forming material (e.g., a Vitamin E semi-ester), wherein the pharmacologically active agent is dispersed in said matrix forming material, and wherein the composition delivers said substantially water-insoluble pharmacologically active agent upon exposure to physiological medium.
US08877745B2 CCR2 receptor antagonists, method for producing the same, and use thereof as medicaments
The present invention relates to novel antagonists for CCR2 (CC chemokine receptor 2) of formula (I) wherein HET is a group selected from among formulas (IIa) (IIb) (IIc) (IId) and their use for providing medicaments for treating conditions and diseases, especially pulmonary diseases like asthma and COPD and pain diseases.
US08877744B2 1,4-Oxazepines as BACE1 and/or BACE2 inhibitors
The present invention relates to 1,4 Oxazepines of formula I having BACE1 and/or BACE2 inhibitory activity, their manufacture, pharmaceutical compositions containing them and their use as therapeutically active substances. The active compounds of the present invention are useful in the therapeutic and/or prophylactic treatment of e.g. Alzheimer's disease and type 2 diabetes.
US08877742B2 Compounds
The present invention relates to novel NADPH oxidase II inhibitors and their use in the treatment of diseases mediated by the NADPH oxidase enzymes.
US08877740B2 Compound composition for inhalation used for treating asthma
A compound composition for inhalation comprising β2 receptor agonist and corticosteriod is provided in the present invention. The composition is used as a reliever for a patient with asthma or chronic obstructive pulmonary disease, or a controller in eccentric way, and can reduce drug acute resistance of β2 receptor agonist.
US08877739B2 Prophylactic agent or therapeutic agent for diabetes or obesity
A medicament having an excellent CaSR agonist action which enables the prevention or treatment of diabetes or obesity is provided by a composition comprising the compound represented by general formula (I) as defined, or a salt thereof.
US08877732B2 Combination, kit and method of reducing intraocular pressure
The present invention is directed to a combination or a kit comprising a prostaglandin analog and an adenosine receptor A1 agonist and to a method of reducing intraocular pressure (IOP) in a subject using such combination or kit. In one embodiment, the prostaglandin analog is latanoprost and the adenosine receptor A1 agonist is Compound A, ((2R,3S,4R,5R)-5-(6-(cyclopentylamino)-9H-purin-9-yl)-3,4-dihydroxytetrahydrofuran-2-yl)methyl nitrate, having the structure,
US08877728B2 Genetic changes in ATM and ATR/Chek1 as prognostic indicators in cancer
The present invention relates to the discovery that, in human cancer, an 11q deletion of ATM together with an increase in ATR and CHEK1 expression correlates with resistance to ionizing radiation which could be overcome by inhibition of the ATR/CHEK1 pathway. It provides for methods of identifying patients unlikely to exhibit an adequate response to radiation therapy and/or chemotherapy who may benefit from ATR/CHEK1 pathway inhibition, as well as methods of treating said patients.
US08877726B2 Method and medicament for inhibiting the expression of a given gene
The invention relates to an isolated RNA that mediates RNA interference of an mRNA to which it corresponds and a method of mediating RNA interference of mRNA of a gene in a cell or organism using the isolated RNA.
US08877723B2 Method for identification of sensitivity of a patient to telomerase inhibition therapy
The invention provides methods for determining the susceptibility of cancer patients to developing adverse reactions if treated with a telomerase inhibitor drug by measurement of telomere length in appropriate cells of the patient prior to initiation of the telomerase inhibitor treatment.
US08877722B2 Compositions for inhibiting gene expression and uses thereof
The inventors have examined the means for providing more efficacious miRNA blocking compounds. The inventors have discovered new structural features that surprisingly improve the efficacy of miRNA blocking molecules. These features include the presence of multiple 3′ ends and a linker at the 5′ ends. Surprisingly, these features improve the efficacy of the gene expression blocking compounds in a manner that decreases the compound's biologic instability. Even more surprisingly, this effect has been found to be applicable to both DNA and RNA oligonucleotide-based compounds and to have application in traditional antisense and RNAi technology.
US08877720B2 Epirubicin derivatives
Disclosed are compounds represented by formula (I), pharmaceutically acceptable salts thereof, solvates thereof, and solvates of the pharmaceutically acceptable salts thereof, wherein R1, R2, R3, R4, W and are as defined in the present application.
US08877714B2 Compositions comprising receptor-associated protein (RAP) variants specific for LRP2 and uses thereof
The present invention relates generally to receptor-selective variants of the low-density lipoprotein receptor-associated protein (RAP) and compositions thereof, methods of generating such variants and methods of using such receptor-selective RAP variant compositions for therapeutic purposes. The invention also relates to antibodies that bind to one or a small subset of CR-containing proteins.
US08877711B2 Octanoate-reduced human albumin
A method is described that improves the transport properties of albumin produced on an industrial scale, wherein the albumin is mixed, during the production process, with substances that saturate the binding points on the albumin. Furthermore, pasteurized and then octanoate-reduced human albumin and therapeutic uses of such albumin is described. Such albumin may be useful in detoxifying human plasma, e.g. for the intravenous treatment of acute or chronic liver diseases, and as a dialysate in extracorporeal liver dialysis.
US08877710B2 Methods of identifying critically ill patients at increased risk of development of organ failure and compounds for the treatment hereof
The present invention relates to compounds for treatment that protects the endothelium, prevent pathologic thrombus formation in the microcirculation and preserve platelet number and function and thus may be related to minimizing or preventing development of organ failure, including multiple organ failure (MOF), and, hence, death in critically ill patients by administration of agent(s) limiting the platelets ability to aggregate and form clots and/or by agents modulating/preserving endothelial integrity and/or by agent(s) increasing the rate of thrombus lysis, and Another aspect of the invention related to by a cell-based whole blood viscoelastical haemostatic assay identifying critically ill patients at increased risk of development of organ failure, including multiple organ failure (MOF) and death.
US08877702B2 Detergent composition
The present invention relates to the detergent composition, containing (a) a nonionic surfactant represented by the formula (1); and (b) a sulfuric acid ester salt represented by the formula (2): R—O-(EO)m—(PO)n-(EO)m′—H  (1) wherein, R represents a hydrocarbon group having 6 to 24 carbon atoms; EO represents an ethyleneoxy group; PO represents a propyleneoxy group; m=0.1 to 25; m′=0 to 24.9; m+m′=0.5 to 25; and n=0.1 to 10; with the proviso that (EO)m, (PO)n, and (EO)m′ are arranged in a block addition mode in this order; R1—O—(PO)x-(EO)y—SO3M  (2) wherein, R1 represents a hydrocarbon group having 6 to 24 carbon atoms; x=0.1 to 10; y=0 to 30; (PO)x and (EO)y are arranged in any order in any addition mode, being a block addition or a random addition, M is a counter ion.
US08877700B2 Aqueous cleaning agent comprising an alkanolamine salt of at least one carboxylic acid
An aqueous detergent according to the invention contains substantially no polyalkylene glycol, but contains a salt of at least one of the following carboxylic acids A, B and C: A: an aliphatic monobasic carboxylic acid having a total carbon number of 9 or 10 and including a branched alkyl group; B: sebacic acid; and C: an aromatic monobasic carboxylic acid having a total carbon number of 11 to 13.
US08877698B2 Method and composition for disinfecting hard surfaces
Aqueous hard surface treatment compositions necessarily comprise an alcohol constituent selected from the group consisting of methanol, ethanol, n-propanol, isopropanol, n-butanol, benzyl alcohol, and mixtures thereof which alcohol constituent comprises from about 40 and 70 weight percent (“% wt”) of the total weight of the composition of which it forms a part. Preferably however the alcohol constituent is present in an amount of from about 50% wt to about 70% wt, more preferably is present in amounts of from about 60% wt to about 70% wt.
US08877697B2 Cleaning agent for removal of, removal method for, and cleaning method for water-soluble, lead-free solder flux
An object of this invention is to provide a cleaner for the removal of water-soluble, lead-free soldering flux, the cleaner capable of cleaning off, in a short period of time, water-soluble flux residues generated during soldering with lead-free solder using water-soluble flux, the cleaner further having excellent dissolution property as well as excellent narrow gap cleaning property. The cleaner for removing water-soluble, lead-free soldering flux of the present invention comprises 5 to 100 parts by weight of a glycol ether compound (A) per 100 parts by weight of water.
US08877695B2 Estolide and lubricant compositions that contain ene and diels alder compounds
Provided herein are compositions containing at least one estolide compound and at least one ene and/or Diels Alder compound. In certain embodiments, the addition of at least one ene and/or Diels Alder compound to an estolide-containing composition may improve the cold temperature, viscometric, and/or anti-wear properties of the composition.
US08877690B2 Treatment of gas well production wastewaters
A method of treating a wastewater is provided and can be used, for example, to treat a gas well production wastewater to form a wastewater brine. The method can involve crystallizing sodium chloride by evaporation of the wastewater brine with concurrent production of a liquor comprising calcium chloride solution. Bromine and lithium can also be recovered from the liquor in accordance with the teachings of the present invention. Various metal sulfates, such as barium sulfate, can be removed from the wastewater in the production of the wastewater brine. Sources of wastewater can include gas well production wastewater and hydrofracture flowback wastewater.
US08877687B2 Assays for anti-drug antibodies in the presence of abundant endogenous protein counterpart of the drug
Methods and kits for detecting antibodies (e.g., anti-drug antibodies) specific for abundant body fluid components are provided. Such methods and kits permit the detection of, for example, human serum albumin in human body fluids, such as blood, plasma and serum.
US08877686B2 Surface display of recombinant proteins in lower eukaryotes
Methods for display of recombinant proteins or protein libraries on the surface of lower eukaryotes such as yeast and filamentous fungi are described. The methods are useful for screening libraries of recombinant proteins in lower eukaryotes to identify particular proteins with desired properties from the array of proteins in the libraries. The methods are particularly useful for constructing and screening antibody libraries in lower eukaryotes.
US08877671B2 Method of making hydroprocessing catalyst
A chelated hydroprocessing catalyst exhibiting low moisture is obtained by hearing an impregnated, calcined carrier to a temperature higher than 200° C. and less than a temperature and for a period of time that would cause substantial decomposition of the chelating agent.
US08877670B2 Fibrous substrate-based hydroprocessing catalysts and associated methods
Catalysts are disclosed comprising fibrous substrates having silica-containing fibers with diameters generally from about 1 to about 50 microns, which act effectively as “micro cylinders.” Such catalysts can dramatically improve physical surface area, for example per unit length of a reactor or reaction zone. At least a portion of the silica, originally present in the silica-containing fibers of a fibrous material used to form the fibrous substrate, is converted to a zeolite (e.g., having a SiO2/Al2O3 ratio of at least about 150) that remains deposited on these fibers. The fibrous substrates possess important properties, for example in terms of acidity, which are useful in hydroprocessing (e.g., hydrotreating or hydrocracking) applications.
US08877664B2 Zirconia sintered body, and mixture, pre-sintered compact and pre-sintered calcined body for sintering zirconia sintered body
Provided is a high-strength zirconia sintered body in which the progression of low-temperature degradation is inhibited. The zirconia sintered body contains partially-stabilized zirconia as a matrix phase and contains at least one element from among phosphorus (P), arsenic (As), antimony (Sb), and bismuth (Bi). The content of the abovementioned element(s) in the zirconia sintered body ranges from 4×10−4 mol to 4×10−2 mol with respect to 1 mol of zirconium(IV) oxide. Preferably, the zirconia sintered body further contains 0.03% to 3% by mass of silicon dioxide.
US08877662B2 Silica glass having improved properties
The invention relates to a silica glass compound having improved physical and chemical properties. In one embodiment, the present invention relates to a silica glass having a desirable brittleness in combination with a desirable density while still yielding a glass composition having a desired hardness and desired strength relative to other glasses. In another embodiment, the present invention relates to a silica glass composition that contains at least about 85 mole percent silicon dioxide and up to about 15 mole percent of one or more dopants selected from F, B, N, Al, Ge, one or more alkali metals (e.g., Li, Na, K, etc.), one or more alkaline earth metals (e.g., Mg, Ca, Sr, Ba, etc.), one or more transition metals (e.g., Ti, Zn, Y, Zr, Hf, etc.), one or more lanthanides (e.g., Ce, etc.), or combinations of any two or more thereof.
US08877661B2 Energy-absorbing textile structure, in particular for use in vehicle construction and method for producing said structure
Energy-absorbing textile structure, in particular for use in vehicle construction, which has high-tensile yarns for absorbing force, is formed by a braided fabric (2) with standing ends (3) in the force input direction and in that the textile structure has at least one region (4) with local modification of the fiber structure (2, 3).
US08877660B2 Base fabric for air bag
A base fabric for an air bag obtained by applying a reactive compound, a water-dispersed resin composition and a water-dispersible pigment to a woven/knitted fabric made of synthetic fiber and then subjecting the resulted coated fabric to a thermal treatment.
US08877652B2 Substrate structure and method of manufacturing the same
A substrate structure and method of manufacturing the same are disclosed. The substrate structure may includes a substrate on which a plurality of protrusions are formed on one surface thereof and a plurality of buffer layers formed according to a predetermined pattern and formed spaced apart from each other on the plurality of protrusions.
US08877647B2 Patterning method and method of forming memory device
A method of forming memory device is provided. A substrate having at least two cell areas and at least one peripheral area between the cell areas is provided. A target layer, a sacrificed layer and a first mask layer having first mask patterns in the cell areas and second mask patterns in the peripheral area are sequentially formed on the substrate. Sacrificed layer is partially removed to form sacrificed patterns by using the first mask layer as a mask. Spacers are formed on sidewalls of the sacrificed patterns. The sacrificed patterns and at least the spacers in the peripheral area are removed. A second mask layer is formed in the cell areas. Target layer is partially removed, using the second mask layer and remaining spacers as a mask, to form word lines in the cell areas and select gates in a portion of cell areas adjacent to the peripheral area.
US08877646B2 Film stacks and methods thereof
A method of manufacturing a plurality of spacers in a film stack includes forming at least one electrically-conductive element having sidewalls on a substrate, depositing a plurality of passivation layers proximate to the substrate, and performing etching on one of the plurality of passivation layers to form a plurality of spacers substantially across from the sidewalls of the at least one electrically-conductive element.
US08877642B2 Double-pattern gate formation processing with critical dimension control
Fabricating of one or more semiconductor devices with critical gate dimension control is facilitated by: providing a multilayer stack structure over a substrate; etching through the multilayer stack structure, with critical gate dimension control, to define multiple gate lines; providing a protective layer over the multiple gate lines; and patterning and cutting one or more gate lines of the multiple gate lines to facilitate defining multiple gate structures of the one or more semiconductor devices. Etching through the multilayer stack structure is facilitated by lithographically patterning the multilayer stack structure, and critical dimension feedback control is provided to at least one of the lithographically patterning or the etching through the multilayer stack structure.
US08877638B2 ESD/antenna diodes for through-silicon vias
Roughly described, an antenna diode is formed at least partially within the exclusion zone around a TSV, and is connected to the TSV by way of a metal 1 layer conductor at the same time that the TSV is connected to either the gate poly or a diffusion region of one or more transistors placed outside the exclusion zone.
US08877636B1 Processing of nanostructured devices using microfabrication techniques
Systems and methods that incorporate nanostructures into microdevices are discussed herein. These systems and methods can allow for standard microfabrication techniques to be extended to the field of nanotechnology. Sensors incorporating nanostructures can be fabricated as described herein, and can be used to reliably detect a range of gases with high response.
US08877634B2 Methods of forming a fine pattern on a substrate and methods of forming a semiconductor device having a fine pattern
The inventive concept provides methods of manufacturing semiconductor devices having a fine pattern. In some embodiments, the methods comprise forming an etch-target film on a substrate, forming a first mask pattern on the etch-target film, forming a second mask pattern by performing an ion implantation process in the first mask pattern, and etching the etch-target film using the second mask pattern.
US08877632B1 Providing a void-free filled interconnect structure in a layer of package substrate
Embodiments of the present disclosure are directed towards techniques and configurations for providing void-free filled interconnect structures in a dielectric layer of a package assembly. In one embodiment, the method for providing a void-free filled interconnect structure may include forming a through hole through a layer of a package substrate, and depositing a conductive material to fill the through hole. Depositing the conductive material may be performed while gradually increasing a current density of the conductive material and correspondingly changing a flow rate of the conductive material. Other embodiments may be described and/or claimed.
US08877630B1 Semiconductor structure having a silver alloy bump body and manufacturing method thereof
The present disclosure provides a method for manufacturing a semiconductor structure. The method includes forming a conductive pad on a semiconductor die; forming a seed layer over the conductive pad; defining a first mask layer over the seed layer; and forming a silver alloy bump body in the first mask layer. The forming a silver alloy bump body in the first mask layer includes operations of preparing a first cyanide-based bath; controlling a pH value of the first cyanide-based bath to be within a range of from about 6 to about 8; immersing the semiconductor die into the first cyanide-based bath; and applying an electroplating current density of from about 0.1 ASD to about 0.5 ASD to the semiconductor die.
US08877627B2 Method of forming PN floating gate non-volatile storage elements and transistor having N+ gate
Non-volatile storage elements having a PN floating gate are disclosed herein. The floating gate may have a P− region near the tunnel oxide, and may have an N+ region near the control gate. In some embodiments, a P− region near the tunnel oxide helps provide good data retention. In some embodiments, an N+ region near the control gate helps to achieve a good coupling ratio between the control gate and floating gate. Therefore, programming of non-volatile storage elements is efficient. Also erasing the non-volatile storage elements may be efficient. In some embodiments, having a P− region near the tunnel oxide (as opposed to a strongly doped p-type semiconductor) may improve erase efficiency relative to P+.
US08877622B2 Process for producing an integrated circuit
A process for producing an integrated circuit on the surface of a substrate, the process including: producing a first layer, including active zones and insulating zones, on the surface of the substrate; producing gate zones on the surface of the first layer, the gate zones each being surrounded by insulating spacers; producing source/drain electrodes; producing a dielectric layer between the insulating spacers, the dielectric layer having an upper surface level with the upper surfaces of the gate zones; partially etching each gate zone so as to lower the upper surface of a first part of each gate zone; and depositing an insulating dielectric layer on the first parts of the gate zones.
US08877620B2 Method for forming ultra-shallow doping regions by solid phase diffusion
A method for forming ultra-shallow dopant regions in a substrate is provided. One embodiment includes depositing a first dopant layer containing a first dopant in direct contact with the substrate, patterning the first dopant layer, depositing a second dopant layer containing a second dopant in direct contact with the substrate adjacent the patterned first dopant layer, the first and second dopant layers containing an oxide, a nitride, or an oxynitride, where the first and second dopant layers contain an n-type dopant or a p-type dopant with the proviso that the first or second dopant layer do not contain the same dopant, and diffusing the first dopant from the first dopant layer into the substrate to form a first ultra-shallow dopant region in the substrate, and diffusing the second dopant from the second dopant layer into the substrate to form a second ultra-shallow dopant region in the substrate.
US08877618B2 Method for producing a field effect transistor with a SiGe channel by ion implantation
The semiconductor-on-insulator substrate includes a support, an electrically insulating film, a crystalline film made from semiconductor material, and a protection layer. Germanium ions are implanted in the semiconductor material film through the protection layer so as to form an amorphized area in contact with the protection layer and a crystalline area in contact with the electrically insulating film. The semiconductor material film is annealed so as to recrystallize the amorphized area from the crystalline area.
US08877617B2 Methods and structures for forming and protecting thin films on substrates
A method for forming of a thin film on a substrate is disclosed. The method includes cleaning a process chamber by flowing a first gas having fluorine. The method also includes coating the process chamber with a first encapsulating layer including amorphous silicon (A-Si) by flowing a second gas for a first duration, where the first encapsulating layer protects against fluorine contamination. The method further includes loading a substrate into the process chamber, depositing a thin film on the substrate by flowing a third gas into the process chamber and unloading the substrate from the process chamber. The thin film can include silicon nitride (SiN), the first gas can include nitrogen triflouride (NF3) gas and second gas can include silane (SiH4) gas. The thin film can be formed using plasma-enhanced chemical vapor deposition. The substrate can be a solar cell or a liquid crystal display (LCD).
US08877605B1 Silicon substrate fabrication
A method of etching a silicon substrate includes providing a silicon substrate including a first surface and a second surface. A plurality of grooves spaced apart from each other are etched from the first surface of the silicon substrate. A dielectric material is deposited on the first surface of the silicon substrate and into the plurality of grooves. A hole through the silicon substrate is etched from the second surface of the substrate to the dielectric material. A portion of the hole is located between the plurality of grooves.
US08877599B2 Method of forming a semiconductor device
A semiconductor device having dislocations and a method of fabricating the semiconductor device is disclosed. The exemplary semiconductor device and method for fabricating the semiconductor device enhance carrier mobility. The method includes providing a substrate having an isolation feature therein and two gate stacks overlying the substrate, wherein one of the gate stacks is atop the isolation feature. The method further includes performing a pre-amorphous implantation process on the substrate. The method further includes forming a stress film over the substrate. The method also includes performing an annealing process on the substrate and the stress film.
US08877595B2 Transistor structure with silicided source and drain extensions and process for fabrication
A transistor is formed in a semiconductor substrate with a gate over a channel region, source/drain extension regions in the substrate adjacent the channel region, and source/drain regions in the substrate adjacent the source/drain extension regions. Silicide is formed on the source/drain extension regions and the source/drain regions so that the silicide has a first thickness over the source/drain extension regions and a second thickness over source/drain regions, with the second thickness being greater than the first thickness. Silicide on the source/drain extension regions lowers transistor series resistance which boosts transistor performance and also protects the source/drain extension regions from silicon loss and silicon damage during contact etch.
US08877594B2 CMOS device for reducing radiation-induced charge collection and method for fabricating the same
A CMOS device for reducing a radiation-induced charge collection and a method for fabricating the same. In the CMOS device, a heavily doped charge collection-suppressed region is disposed directly under the source region and the drain region. The region has a doping type opposite that of the source region and the drain region, and has a doping concentration not less than that of the source region and the drain region. The charge collection-suppressed region has a lateral part slightly less than or equal to that of the source region and the drain region, and has a lateral range toward to the channel not exceed the edges of the source region and the drain region. The CMOS device may greatly reduce a range of the funnel that appears under the action of a single particle, so that charges collected instantaneously under a force of an electric field may be reduced.
US08877592B2 Epitaxial growth of doped film for source and drain regions
Embodiments of mechanisms for epitaxially growing one or more doped silicon-containing materials to form source and drain regions of finFET devices are provided in this disclosure. The dopants in the one or more doped silicon-containing materials can be driven into the neighboring lightly-doped-drain (LDD) regions by thermal anneal to dope the regions. The epitaxially growing process uses a cyclical deposition/deposition/etch (CDDE) process. In each cycle of the CDDE process, a first and a second doped materials are formed and a following etch removes most of the second doped material. The first doped material has a higher dopant concentration than the second material and is protected from the etching process by the second doped material. The CDDE process enables forming a highly doped silicon-containing material.
US08877587B2 Nonvolatile memory device and method for fabricating the same
A method for fabricating a nonvolatile memory device includes forming a stacked structure over a substrate defining a cell area and a peripheral area and having a source region, the stacked structure including interlayer dielectric layers and sacrifice layers, forming channel layers connected to the substrate through the stacked structure of the cell area, forming a first slit in the stacked structure of the cell area, forming a second slit in the stacked structure, the second slit including a first portion and a second portion, removing the sacrifice layers exposed through the first and second slits, forming conductive layers to fill spaces from which the sacrifice layers are removed, forming an insulating layer in the second slit, and forming a source contact by burying a conductive material in the first portion of the second slit having the insulating layer formed therein.
US08877586B2 Process for forming resistive switching memory cells using nano-particles
A process for forming reversible resistance-switching memory cells having resistance-switching nano-particles which provide a reduced contact area to top and bottom electrodes of the memory cells, thereby limiting a peak current. Recesses are formed in a layered semiconductor material above the bottom electrodes, and one or more coatings of nano-particles are applied. The nano-particles self-assemble in the recesses so that they are positioned in a controlled manner. A top electrode material is then deposited. In one approach, the recesses are formed by spaced-apart trenches, and the nano-particles self-assemble along the spaced-apart trenches. In another approach, the recesses for each resistance-switching memory cell are separate from one another, and the resistance-switching memory cells are pillar-shaped. The coatings can be provided in one layer, or in multiple layers which are separated by an insulation layer.
US08877582B2 Methods of inducing a desired stress in the channel region of a transistor by performing ion implantation/anneal processes on the gate electrode
One method herein includes forming a gate structure above an active area of a semiconductor substrate, forming sidewall spacer structures adjacent the gate structure, forming a masking layer that allows implantation of ions into the gate electrode but not into areas of the active region where source/drain regions for the transistor will be formed, performing a gate ion implantation process to form a gate ion implant region in the gate electrode and performing an anneal process. An N-type transistor including sidewall spacer structures positioned adjacent a gate structure, a plurality of source/drain regions for the transistor and a gate implant region positioned in a gate electrode, wherein the gate implant region is comprised of ions of phosphorous, arsenic or an implant material with an atomic size that is equal to or greater than the atomic size of phosphorous at a concentration level that falls within the range of 5e18-5e21 ions/cm3.
US08877579B2 Methods of manufacturing semiconductor devices
Methods of manufacturing semiconductor devices include providing a substrate including a NMOS region and a PMOS region, implanting fluorine ions into an upper surface of the substrate, forming a first gate electrode of the NMOS region and a second gate electrode of the PMOS region on the substrate, forming a source region and a drain region in portions of the substrate, which are adjacent to two lateral surfaces of the first gate electrode and the second gate electrode, respectively, and performing a high-pressure heat-treatment process on an upper surface of the substrate by using non-oxidizing gas.
US08877574B1 Elemental semiconductor material contact for high electron mobility transistor
Portions of a top compound semiconductor layer are recessed employing a gate electrode as an etch mask to form a source trench and a drain trench. A low temperature epitaxy process is employed to deposit a semiconductor material including at least one elemental semiconductor material in the source trench and the drain trench. Metallization is performed on physically exposed surfaces of the elemental semiconductor material portions in the source trench and the drain trench by depositing a metal and inducing interaction with the metal and the at least one elemental semiconductor material. A metal semiconductor alloy of the metal and the at least one elemental semiconductor material can be performed at a temperature lower than 600° C. to provide a high electron mobility transistor with a well-defined device profile and reliable metallization contacts.
US08877568B2 Methods of making logic transistors and non-volatile memory cells
Methods of making a logic transistor in a logic region and an NVM cell in an NVM region of a substrate include forming a conductive layer on a gate dielectric, patterning the conductive layer over the NVM region, removing the conductive layer over the logic region, forming a dielectric layer over the NVM region, forming a protective layer over the dielectric layer, removing the dielectric layer and the protective layer from the logic region, forming a high-k dielectric layer over the logic region and a remaining portion of the protective layer, and forming a first metal layer over the high-k dielectric layer. The first metal layer, the high-k dielectric, and the remaining portion of the protective layer are removed over the NVM region. A conductive layer is deposited over the remaining portions of the dielectric layer and over the first metal layer, and the conductive layer is patterned.
US08877564B2 Solder flow impeding feature on a lead frame
One embodiment is directed towards a method of manufacturing a packaged circuit. The method includes partially etching an internal surface of a lead frame at dividing lines between future sections of the lead frame as first partial etch. One or more dies are attached to the internal surface of the lead frame and encapsulated. The method also includes partially etching an external surface of the lead frame at the dividing lines to disconnect different sections of lead frame as a second partial etch, wherein the second partial etch removes a laterally wider portion of the lead frame than the first partial etch of the internal surface; and partially etching the external surface of the lead frame as a third partial etch, wherein the third partial etch overlaps a portion of the second partial etch and extends deeper into the lead frame than the second partial etch.
US08877563B2 Microfabricated pillar fins for thermal management
An electrical package with improved thermal management. The electrical package includes a die having an exposed back surface. The package further includes a plurality of fins extending outwardly from the back surface for dissipating heat from the package. The die can be arranged in a multi-die stacking configuration. In another embodiment, a method of forming a die for improved thermal management of an electrical package is provided.
US08877561B2 Methods of fabricating wafer-level flip chip device packages
In accordance with certain embodiments, semiconductor dies are at least partially coated with a conductive adhesive prior to singulation and subsequently bonded to a substrate having electrical traces thereon.
US08877559B2 Through-silicon via with sidewall air gap
Embodiments of the present invention provide a novel process integration for air gap formation at the sidewalls for a Through Silicon Via (TSV) structure. The sidewall air gap formation scheme for the TSV structure of disclosed embodiments reduces parasitic capacitance and depletion regions in between the substrate silicon and TSV conductor, and serves to also reduce mechanical stress in silicon substrate surrounding the TSV conductor.
US08877558B2 Method for making electronic device with liquid crystal polymer and related devices
A method of making an electronic device includes forming an electrically conductive pattern on a substrate, forming a coverlay layer on the substrate and the electrically conductive pattern, forming a partially cured, tacky adhesive layer on the coverlay layer, and forming openings in the coverlay layer and the partially cured, tacky adhesive layer aligned with the electrically conductive pattern. The method includes positioning an IC on the partially cured, tacky adhesive layer and thereafter curing the partially cured tacky adhesive layer to thereby simultaneously mechanically secure and electrically interconnect the IC to the substrate, the IC having bond pads on a surface thereof.
US08877557B2 Method of manufacturing organic light emitting display device
An organic light emitting display device is manufactured by: preparing a target substrate that includes sub-pixel areas (each having a thin film transistor) and pixel defining areas (each having a conductive layer) between the sub-pixel areas; preparing an ionized deposition material by vaporizing and ionizing a deposition material; applying a ground voltage to one of the sub-pixel areas while applying a voltage having a same polarity as the ionized deposition material to the neighboring ones of the sub-pixel areas and to the pixel defining areas; and depositing the ionized deposition material on the one of the sub-pixel areas to form an organic thin layer while the one of the sub-pixel areas is polarized by an electric field generated by the voltage being applied to the conductive layer of the pixel defining areas.
US08877551B2 Method of manufacturing a thin-film transistor, method of manufacturing a display substrate, and display substrate
In a method of manufacturing a thin film transistor, a gate electrode is formed on a first surface of a base substrate, a oxide semiconductor layer, insulation layer and photo resist layer are formed an the fast surface of the base substrate having the gate electrode. The insulation layer and the oxide semiconductor layer are patterned using a first photo resist pattern to form an etch-stopper and an active pattern. A source and a drain electrode are formed on the base substrate having the active pattern and the etch-stopper, the source electrode and the drain electrode are overlapped with both ends of the etch-stopper and spaced apart from each other. Therefore, a manufacturing cost may be decreased by omitting a mask when forming the active pattern and the etch-stopper.
US08877548B2 Planarized TCO-based anode for OLED devices, and/or methods of making the same
Certain example embodiments relate to organic light emitting diode (OLED)/polymer light emitting diode (PLED) devices, and/or methods of making the same. A first transparent conductive coating (TCC) layer is disposed, directly or indirectly, on a glass substrate. An outermost major surface of the TCC layer is planarized by exposing the outermost major surface thereof to an ion beam. Following said planarizing, the first TCC layer has an arithmetic mean value RMS roughness (Ra) of less than 1.5 nm. A hole transporting layer (HTL) and an electron transporting and emitting layer (ETL) are disposed, directly or indirectly, on the planarized outermost major surface of the first TCC layer. A second TCC layer is disposed, directly or indirectly, on the HTL and the ETL. One or both TCC layers may include ITO. The substrate and/or an optional optical out-coupling layer stack system may be planarized using an ion beam.
US08877547B2 Thin film transistor including organic semiconductor layer and substrate including the same
Provided is a thin film transistor including a gate electrode on a substrate; a gate insulating layer on the gate electrode; source and drain electrodes including first source and drain layers on the gate insulating layer, respectively, and spaced apart from each other, wherein at lease one of the first source and drain layers includes indium-tin-oxide doped with at least one Group III element; and an organic semiconductor layer on the gate insulating layer and contacting the first source and drain layers.
US08877541B2 Nanostructure and photovoltaic cell implementing same
Nanostructures and photovoltaic structures are disclosed. A nanostructure according to one embodiment includes an array of nanocables extending from a substrate, the nanocables in the array being characterized as having a spacing and surface texture defined by inner surfaces of voids of a template; an electrically insulating layer extending along the substrate; and at least one layer overlaying the nanocables. A nanostructure according to another embodiment includes a substrate; a portion of a template extending along the substrate, the template being electrically insulative; an array of nanocables extending from the template, portions of the nanocables protruding from the template being characterized as having a spacing, shape, and surface texture defined by previously-present inner surface of voids of the template; and at least one layer overlaying the nanocables.
US08877540B2 Solar cell module and manufacturing method of solar cell module
A solar cell module includes a structure in which a back surface material, a back-surface-side sealing resin, a solar cell, a light-receiving-surface-side sealing resin, and a front surface material are laminated in sequential order, in which a melting point of a portion, which is in contact with the solar cell, of at least one of the light-receiving-surface-side sealing resin and the back-surface-side sealing resin is lower than a melting point of a portion, which is in contact with the back surface material, of the back-surface-side sealing resin.
US08877538B2 Pressure sensor having nanostructure and manufacturing method thereof
The present disclosure relates to a pressure sensor having a nanostructure and a method for manufacturing the same. More particularly, it relates to a pressure sensor having a nanostructure attached on the surface of the pressure sensor and thus having improved sensor response time and sensitivity and a method for manufacturing the same. The pressure sensor according to the present disclosure having a nanostructure includes: a substrate; a source electrode and a drain electrode arranged on the substrate with a predetermined spacing; a flexible sensor layer disposed on the source electrode and the drain electrode; and a nanostructure attached on the surface of the flexible sensor layer and having nanosized wrinkles.
US08877534B2 Display device and method for manufacturing the same
A display device includes a substrate; a gate wire including a gate electrode and a first capacitor electrode formed on the substrate; a gate insulating layer formed on the gate wire; a semiconductor layer pattern formed on the gate insulating layer, and including an active region overlapping at least a part of the gate electrode and a capacitor region overlapping at least a part of the first capacitor electrode; an etching preventing layer formed on a part of the active region of the semiconductor layer pattern; and a data wire including a source electrode and a drain electrode formed over the active region of the semiconductor layer from over the etching preventing layer, and separated with the etching preventing layer therebetween, and a second capacitor electrode formed on the capacitor region of the semiconductor layer.
US08877531B2 Electronic apparatus
An electronic apparatus is provided that includes a number of first components on a first substrate and a number of second components on a second substrate. A lamination material that includes a conducting material is placed between the first components and the second components. Any one first component can couple to a varied subset of second components.
US08877530B2 Supporting substrate for preparing semiconductor light-emitting device and semiconductor light-emitting device using supporting substrates
A method may be provided for preparing a semiconductor light-emitting device. The method may include: preparing a first wafer in which a semiconductor multi-layered light-emitting structure is disposed on an upper part of an initial substrate; preparing a second wafer which is a supporting substrate; bonding the second wafer on an upper part of the first wafer; separating the initial substrate of the first wafer from a result of the bonding; and fabricating a single-chip by severing a result of the passivation. Other embodiments may be provided.
US08877529B2 Radiation-emitting body and method for producing a radiation-emitting body
A radiation-emitting body comprising a layer sequence having an active region for generating electromagnetic radiation, a coupling-out layer for coupling out the generated radiation, said coupling-out layer being arranged on a first side of the layer sequence, a reflection layer for reflecting the generated radiation, said reflection layer being arranged on a second side opposite the first side, and an interface of the layer sequence which faces the reflection layer and which has a lateral patterning having projecting structure elements, wherein the reflection layer is connected to the layer sequence in such a way that the reflection layer has a patterning corresponding to the patterning of the interface. A method for producing a radiation-emitting body is furthermore specified.
US08877528B2 Producing method of light emitting element transfer sheet, producing method of light emitting device, light emitting element transfer sheet, and light emitting device
A method for producing a light emitting transfer sheet includes the steps of preparing a light emitting element sheet including a light semiconductor layer connected to an electrode portion on one side surface and a phosphor layer laminated on the other side surface; dividing the light emitting element sheet into plural pieces to form a plurality of light emitting elements; disposing a plurality of the light emitting elements on a substrate to be spaced apart from each other; forming a reflecting resin layer containing a light reflecting component on the substrate so as to cover the light emitting elements; and removing the reflecting resin layer partially so that one side surface of the electrode portion is exposed from the reflecting resin layer.
US08877525B1 Low cost secure chip identification
Mechanisms are provided for chip (e.g., semiconductor chip) identification (e.g., low cost secure identification). In one example, a method of manufacturing for implementing integrated chip identification is provided. In another example, a method of using a chip with an integrated identification is provided.
US08877523B2 Recovery method for poor yield at integrated circuit die panelization
A method for making a packaged integrated circuit is provided. The method includes making a first panel of encapsulated die. In some embodiments, if a threshold number of die are not positioned in proper positions in the first panel, the die are separated from the first panel. The separated die are subsequently encapsulated in other panels of encapsulated die. Conductive interconnects can be formed over the other panels. The other panels are then separated into integrated circuit packages.
US08877519B2 Chemical sensor element, sensing apparatus, and sensing method
A chemical sensor element contains a resonator having a first reflector in which particles of a fine metal structure are arranged two-dimensionally and periodically is counterposed with interposition of a dielectric layer to a second reflector, wherein the resonance wavelength of a resonator in which the entire of the first reflector is replaced by a metal thin film having the same thickness as the metal fine structure is different from the surface plasmon resonance wavelength induced in the metal fine structure; and the mode of the surface plasmon resonance excited in the metal fine structure is coupled with the mode of the resonator in which the entire of the first reflector is replaced by the metal thin film.
US08877518B2 Multiplexed nanoscale electrochemical sensors for multi-analyte detection
Provided are nanoscale devices suitable for multiplexed, parallel detection of multiple analytes and methods for fabricating such devices.
US08877510B2 Method for conducting platelet aggregation analysis
A cartridge device having a receiving portion for receiving a blood sample and a jack portion for receiving a plug; a stirring device for circulating the blood sample within the receiving portion; and an electrode holder having at least one incorporated electrode wire pair; wherein the electrode holder is attachable to the cell such that one end of the at least one electrode wire pair forms a sensor unit for measuring the electrical impedance between the two electrode wires of the at least one electrode wire pair within the blood sample and that the opposite end of the at least one electrode wire pair forms a plug portion being connectable directly to the plug for an electrical connection of the sensor unit to an analyzer.
US08877505B2 Composition and method for stabilizing fluorescent particles
Embodiments of a composition for stabilizing fluorescent signal of nanoparticles and methods for its use are disclosed. In some embodiments, the composition has a pH from 7 to 10 and includes borate, protein and/or protein hydrolysate, an amine, a preservative, and a nonionic surfactant. In particular embodiments, the amine is an N-ethanol substituted amine, such as ethanolamine, diethanolamine, triethanolamine, N-methyldiethanolamine, N,N-dimethylethanolamine, or a combination thereof. In some embodiments, a fluorescent particle solution, such as a quantum dot solution or quantum dot conjugate solution, is diluted in the composition and stored at 4° C. In certain embodiments, the fluorescence intensity of the diluted fluorescent particle remains substantially the same when stored at 4° C. for at least one month or at least three months. In particular embodiments, a diluted quantum dot conjugate is used to detect a hybridized probe or a protein antigen.
US08877503B2 Sulfonylurea-responsive repressor proteins
Compositions and methods relating to the use of sulfonylurea-responsive repressors are provided. Compositions include polypeptides that specifically bind to an operator, wherein the specific binding is regulated by a sulfonylurea compound. Compositions also include polynucleotides encoding the polypeptides as well as constructs, vectors, prokaryotic and eukaryotic cells, and eukaryotic organisms including plants and seeds comprising the polynucleotide, and/or produced by the methods. Also provided are methods to provide a sulfonylurea-responsive repressor to a cell or organism, and to regulate expression of a polynucleotide of interest in a cell or organism, including a plant or plant cell.
US08877502B2 Plasmid curing
The present invention relates to plasmid curing, and particularly to efficient and stress-free methods for displacing resident or endogenous plasmids from a host cell, such as a bacterium. The invention extends to method of displacing a plasmid comprising a post-segregational killing system from a host cell, the method comprising introducing a recombinant nucleic acid molecule into a host cell harboring a plasmid comprising a post-segregational killing (PSK) system, characterized in that the recombinant nucleic acid molecule is adapted to neutralize the toxic effects of the plasmid's post-segregational killing system, and wherein the nucleic acid molecule is also adapted to outcompete or inhibit replication of the plasmid. The invention further extends to recombinant nucleic acid molecules that can be used in this method, as well as further uses of the methods and nucleic acid molecules of the invention.
US08877499B2 Bone anchor
A biocompatible implantable bone anchor is provided that has a threaded first portion that engages and anchors into a bone. The implant also has a neck region extending from the first portion adapted to promote autologous cell growth thereon at an interface of the bone and one or more epidermal or gum layers, the neck region having a plurality of channels extending about the neck region. The neck region is configured to mechanically engage at least one of an abutment, dental restoration, or osseous device attachment. An in situ bone anchor cell growth assembly includes the bone anchor and a manifold encompassing the neck portion so as to form a seal therebetween and a route of fluid communication between a manifold inlet and at least one of said plurality of channels. A process for growing autologous cells on a neck region of a bone anchor is provided.
US08877498B2 Porous polymer scaffolds for neural tissue engineering and methods of producing the same
The present invention relates to scaffolds that can physically guide cells, e.g. neurons, while best matching the material properties of native tissue. The present invention also relates to methods of generating such scaffolds, and for the use of such scaffolds, e.g. in spinal cord and peripheral nerve injury repair. The methods of the present invention include a uniquely controlled freeze casting process to generate highly porous, linearly oriented scaffolds. The scaffolds of the present invention not only comprise a highly aligned porosity, but also contain secondary guidance structures in the form of ridges running parallel to the pores to create a series of microstructured and highly aligned channels. This hierarchy of structural guidance aligns and guides neurite outgrowth down the channels created by the ridges, and keep neurites from branching perpendicular to the inter-ridge grooves.
US08877495B2 Methods of expanding myeloid cell populations and uses thereof
The present disclosure relates to a method of expanding myeloid progenitor cells by culturing an initial population of cells in a medium comprising a mixture of cytokines and growth factors that promote growth and expansion of the myeloid progenitor cells. The expanded cell population provides a source of cells as therapeutic treatments for neutropenia and/or thrombocytopenia arising in patients subjected to myeloablative therapy and hematopoietic stem cell transplantation.
US08877494B2 Human corneal epithelial cell line PTA-120527
The present invention relates to topical ophthalmic compositions for treating or preventing epithelial lesions or ophthalmic disorders, including dry eye or keratoconjunctivitis sicca.
US08877493B2 Culture substrate for human pluripotent stem cells and use thereof
The present invention provides a culture substrate which enables maintenance culture of human pluripotent stem cells in a pluripotent state under a feeder-free culture environment, and a culture method of human pluripotent stem cells using the culture substrate. By seeding human pluripotent stem cells dissociated into single cells at a cell density of 4×104 to 10×104 cells/cm2 onto a culture substrate coated with human laminin α5β1γ1 E8 fragment or human laminin α3β3γ2 E8 fragment preferably at a concentration of 0.5 to 25 μg/cm2, the human pluripotent stem cells can be rapidly expanded in a pluripotent state.
US08877491B2 Polynucleotides encoding humanized anti-NGF antibodies
Method for the humanization of the VH and VL variable regions of an animal antibody of known sequence, humanized animal antibody obtainable according to the method, in particular anti-NGF and anti-TrkA humanized animal antibodies.
US08877486B2 Photobioreactor for carbon dioxide mitigation in wastewater treatment
An airlift circulation micro-algae photoautotrophic-heterotrophic coupling photobioreactor for wastewater treatment carbon emission mitigation includes feeding pipe, micro-algae heterotrophic zone, gas-liquid separation chamber, micro-algae phototrophic zone, bottom backflow zone, discharge pipe, and the liquid drained zone.
US08877485B2 Apparatus and method for processing biological samples
A method and an automated apparatus for processing at least one biological sample arranged on a slide. At least one capillary staining module has a slide rack holder configured to detachably hold a slide rack configured to hold slides, and a capillary lid rack holder configured to detachably hold a capillary lid rack configured to hold capillary lids, wherein the slide rack can be removed independently of removing the capillary lid rack. A first fluid container has a first fluid. The apparatus being configured to automatically rotate the one or more slides, and to move the lids towards the slides to automatically form a capillary gap between each slide and each capillary lid, said capillary gap functioning as a capillary chamber; and to supply an amount of the first fluid to the slide.
US08877484B2 Microfluidic device and a microfluidic system and a method of performing a test
A microfluidic device comprising at least one test channel, which test channel comprises an upper test channel section with an upstream end and a sampling region at its upstream end and at least one reference channel, which reference channel comprises an upper reference channel section with an upstream end and a sampling region at its upstream end. The test channel and the reference channel comprise a merging region downstream to the upper test channel section and a common downstream channel section. The merging region and the common downstream channel section are arranged such that a reference liquid flowing from the upper reference channel section into the merging region will block a test liquid flow in the upper test channel section when the test liquid flow has not yet reached the merging section. The microfluid device may be used for detecting change of flow properties e.g. due to agglomeration, agglutination or viscosity change in a liquid preferably selected from water, urine, blood, or blood plasma.
US08877483B2 Dioxin elimination promoter
An accelerating agent for elimination of dioxins which comprises, as an active ingredient, a microorganism having an activity of accelerating elimination of dioxins in the body to the outside of the body.
US08877481B2 Trichoderma strains that induce resistance to plant diseases and/or increase plant growth
The present invention relates to a method for enhancing growth of plants which comprises contacting a Trichoderma strain with the plant or a plant seed under conditions effective for the Trichoderma strain to colonize the roots of the plant or a plant grown from the plant seed, thereby creating a plant-Trichoderma system. The plant or plant seed is grown under conditions effective to sustain the plant-Trichoderma system in a planting medium and to enhance plant growth, where the Trichoderma strain is selected from the group consisting of Trichoderma atroviride strain WW10TC4 (ATCC accession number PTA 9707), Trichoderma harzianum strain RR17Bc (ATCC accession number PTA 9708), Trichoderma harzianum strain F11Bab (ATCC accession number PTA 9709), and combinations thereof. Also, disclosed are methods of enhancing resistance of plants to abiotic stress, increasing nitrogen use efficacy in plants, reducing nitrous oxide emissions in air, reducing leaching of nitrates into soil and water, and enhancing sequestration of carbon from air.
US08877476B2 Soluble and stable human 5-lipoxygenase
A soluble and stable form of 5-lipoxygenase (5-LOX) has been made, 5-Lox is the enzyme which initiates leukotriene biosynthesis by catalyzing the two-step transformation of arachidomc acid to leukotriene A4 (LTA4). The soluble and stable 5-LOX is suitable for a number of applications, including, but not limited to, high throughput screening of 5-LOX inhibitors, structural analysis of the enzyme's active site, designing inhibitors based on the three-dimensional structure of the enzyme's active site, and synthesis of LTA4. Using Stable-5-LOX, the crystal structure for 5-LOX has been resolved and the amino acids defining the active site determined.
US08877474B2 GH61 glycoside hydrolase protein variants and cofactors that enhance GH61 activity
The present invention provides various GH61 protein variants comprising various amino acid substitutions. The GH61 protein variants have an improved ability to synergize with cellulase enzymes, thereby increasing the yield of fermentable sugars obtained by saccharification of biomass. In some embodiments, sugars obtained from saccharification are fermented to produce numerous end-products, including but not limited to alcohol.
US08877470B2 Nucleic acid sample preparation
The present invention includes methods, devices and systems for isolating a nucleic acid from a fluid comprising cells. In various aspects, the methods, devices and systems may allow for a rapid procedure that requires a minimal amount of material and/or results in high purity nucleic acid isolated from complex fluids such as blood or environmental samples.
US08877463B2 Rhodanobacter ginsenosidimutans KCTC22231T-derived ginsenoside glycosidase, and use thereof
The present invention relates to Rhodanobacter ginsenosidimutans KCTC22231T-derived ginsenoside glycosidase and use thereof. The polypeptide has an activity of converting PPD (protopanaxadiol)-type saponins into in vivo absorbable and highly active deglycosylated saponins, by selective hydrolysis of a bond at a particular position of ginsenoside. The present invention also relates an amino acid sequence constituting the polypeptide, a nucleic acid sequence encoding the protein, a recombinant vector comprising the nucleic acid sequence, and a transformant transformed with the vector. The invention further provides a method for preparing Rhodanobacter ginsenosidimutans KCTC22231T-derived ginsenoside glycosidase by culturing the transformant, a method for converting PPD (protopanaxadiol)-type major ginsenoside into a rare ginsenoside that is absorbable in vivo using the protein, and a composition for converting PPD-type saponins into in vivo absorbable saponins, having the protein as an active ingredient.
US08877461B2 Method for producing beta-santalene
A method of producing β-santalene by contacting at least one polypeptide with farnesyl pyrophosphate (FPP). This method may be carried out in vitro or in vivo to produce β-santalene, a very useful compound in the fields of perfumery and flavoring. The present invention also provides the amino acid sequence of a polypeptide useful in the method of the invention. A nucleic acid encoding the polypeptide of the invention and an expression vector containing the nucleic acid are also disclosed, along with a non-human host organism or a cell transformed to be used in the method of producing β-santalene.
US08877455B2 Methods for conducting genetic analysis using protein polymorphisms
Methods and processes for conducting genetic analysis through protein polymorphisms, including identification of individuals, establishment of paternity and measurement of genetic diversity and distance. Some illustrative embodiments of methods of the present invention include the identification of peptide biomarkers using proteomic techniques, including liquid chromatography-tandem mass spectrometry from biological samples, using hair, dentin, or bone as a source of the protein to be analyzed. Other illustrative embodiments include the determination of allelic frequency and feasibility of protein polymorphism peptide biomarkers, and the application of these frequencies to allow statistical analysis and population genetics to be applied to collected biological samples.
US08877453B2 Diagnosing and managing venous thromboembolism and intracardiac thrombi using a provoked D-dimer test
The present invention provides methods for diagnosing a venous thromboembolism or intracardiac thrombi in an individual in need of such treatment. A representative method of the present invention comprises the steps of: obtaining a plasma sample from said individual; determining the baseline level of D-dimer in said sample; contacting said sample with a compound that catalyzes the conversion of plasminogen into plasmin; and measuring the level of D-dimer is said sample after administering or contacting said sample with a compound that catalyzes the conversion of plasminogen into plasmin, wherein a significantly greater concentration of D-dimer after contact with a compound that catalyzes the conversion of plasminogen into plasmin than prior to contact with a compound that catalyzes the conversion of plasminogen into plasmin indicates that said individual has pulmonary embolism or venous thromboembolism.
US08877451B2 Detection and measurement of blood-feeding activity
This invention provides compositions and methods for detection of hematophagous ectoparasitic activity in an enclosure or area. The compositions comprise a reagent or reagents which are reactive against antigens or markers as they appear in the excrement or other ectoparasitic materials. Such markers or antigens may be produced by the ectoparasite itself or may have been introduced into the ectoparasite because of its blood feeding activity. The method of the present invention comprises collecting from the enclosure or area, a sample comprising environmental dust or materials and subjecting the sample to tests for detecting the presence of hematophagous ectoparasitic markers, host markers or both.
US08877450B2 Dual path immunoassay device
Systems include test cells with sorbent material in a T-shape and defining a first flow path for a solution and a second flow path for a sample, and a test line or test site with immobilized antigens or antibodies or other ligand binding molecules located at the junction of the T. A housing houses the sorbent material and defines a first hole adjacent an end of the first flow path for receiving the solution and a second hole adjacent an end of the second flow path for receiving the sample.
US08877447B2 Detection of glutathionylated proteins
The present invention, in some aspects, relates to systems and methods for determining oxidized proteins, including glutathionylated proteins such as S-glutathionylated proteins. The systems and methods of the invention can be used in vitro (e.g., in cell or tissue culture) or in vivo, for example, to diagnose a person having an oxidative stress condition. For instance, in some cases, the invention can be used to spatially determine the location and/or concentration of oxidized proteins within cells and/or tissues (e.g., through visual detection). In one set of embodiments, a glutathionylated or otherwise oxidized moiety on a protein may be reacted with a detection entity, which may be, for example, fluorescent, radioactive, electron-dense, able to bind to a signaling entity or a binding partner in order to produce a signal, etc. As a specific example, a glutathionylated moiety on a glutathionylated protein may be reacted with an alkylating agent to form an alkylthio moiety; the alkylthio moiety may include a detection entity or otherwise be able to interact with a signaling entity. In some embodiments, other moieties on the protein may be altered or blocked before reaction of the protein with the detection entity. Such moieties on the protein may be, for instance, non-oxidized or non-glutathionylated moieties able to react with the detection entity. As a particular example, in a protein containing a glutathionylated moiety and non-glutathionylated thiol moieties, the thiol moieties may first be altered or blocked prior to reaction of the protein with the detection entity. Also provided in certain aspects of the present invention are kits for determining oxidized proteins, which may include components such as detection entities, alkylating agents, blocking agents, reducing agents, signaling entities, binding partners, antibodies, instructions, and the like.
US08877443B2 Method and kit for evaluation of predisposition to development of obesity, anti-obesity agent and method for screening thereof, non-human animal, adipose tissue, adipocyte, method for production of transgenic mouse, antigen, and antibody
It is an object of the present invention to provide a method of evaluating whether or not a subject has a predisposition to obesity or an obesity-related condition or disease, a kit for conducting the method, an anti-obesity drug having an effect of preventing or treating obesity or an obesity-related condition or disease, a method of screening the anti-obesity drug, a non-human animal having a deficiency in the gene associated with obesity, and an adipose tissue or adipocyte of the animal.The method of evaluating a predisposition to obesity of the present invention is a method of evaluating whether or not a subject has a predisposition to obesity or an obesity-related condition or disease. The method includes the step of detecting a copy number variation (CNV) in intron 1 of SLC25A24 gene or a gene polymorphism having a linkage disequilibrium relationship with the CNV in a sample containing a human gene of the subject. If the CNV in intron 1 of the SLC25A24 gene is 0, the subject may be evaluated to have a predisposition to obesity or an obesity-related condition or disease.
US08877441B2 Methods and kits for performing in situ hybridization
The invention relates to methods and kits for performing in situ hybridization on a biological sample on a solid surface using nucleic acid probes that are embedded in or sorbed to a dry, fibrous matrix.
US08877437B1 Methods of using dyes in association with nucleic acid staining or detection
Methods of using dyes and associated technology are provided. A dye, such as a monomeric dye or a dimeric dye, may be used in a nucleic acid gel staining application and/or a nucleic acid detection application. A dimeric dye, such as a dimeric dye capable of forming a hairpin-like structure, may be used to stain and/or detect nucleic acids via a release-on-demand mechanism. A dimeric dye having low background fluorescence in the absence of nucleic acids and high fluorescence in the presence of nucleic acids, upon binding therewith, may be used to stain and/or detect nucleic acids. Buffers comprising a weak acid and a salt of the weak acid, such as a lithium salt, are also provided to allow for effective prestaining of nucleic acids.
US08877433B2 Method of manufacturing liquid injection head and exposure method
Provided is a method of manufacturing a liquid injection head, the method including: forming, on a substrate, a negative photosensitive resin layer having a first surface on a side opposite to the substrate and a second surface on the substrate side; carrying out first exposure of the negative photosensitive resin layer; carrying out second exposure of the negative photosensitive resin layer; and forming the ejection orifice by carrying out development after the first exposure and the second exposure in which each of the first surface and the second surface has a portion in which a part of the unexposed portion in the first exposure and a part of the unexposed portion in the second exposure overlap and a portion in which a part of the unexposed portion in the first exposure and a part of the unexposed portion in the second exposure do not overlap.
US08877429B2 Resin composition for making resist pattern insoluble, and method for formation of resist pattern by using the same
A resist pattern-insolubilizing resin composition is used in a resist pattern-forming method. The resist pattern-insolubilizing resin composition includes solvent and a resin. The resin includes a first repeating unit that includes a hydroxyl group in its side chain and at least one of a second repeating unit derived from a monomer shown by a following formula (1-1) and a third repeating unit derived from a monomer shown by a following formula (1-2), wherein for example, R1 represents a hydrogen atom, A represents a methylene group, R2 represents a group shown by a following formula (2-1) or a group shown by a following formula (2-2), R3 represents a methylene group, R4 represents a hydrogen atom, and n is 0 or 1, wherein each of R34 represents at least one of a hydrogen atom and a linear or branched alkyl group having 1 to 10 carbon atoms.
US08877428B2 High resolution, solvent resistant, thin elastomeric printing plates
The present invention relates to a printing element comprising at least one polymer layer on a substrate which has photoimageable constituents and a chemically functionalized polymer to make the polymer layer either more hydrophobic or hydrophilic. In one embodiment of the present invention, the printing element comprises two adjacent polymer layers on a substrate in which the photoimaged layer comprises a polymer chemically modified with hydrophobic fluoroalkyl side groups to provide differential wetting with hydrophilic inks.
US08877426B2 Lithographic printing plate comprising a porous non-anodic layer
A printing plate comprises a substantially planar substrate, a porous non-anodic ungrained coating, having a thickness within the range of about 0.1 to about 30 microns and comprising at least one of metals, metal oxides and admixtures thereof, and an image recording layer, provided that where the porous coating consists essentially of oxide(s) only, it comprises at least one oxide of copper, magnesium, cadmium, aluminum, zirconium, hafnium, thorium, chromium, tungsten, molybdenum and (or) cobalt, and further provided that where the porous coating consists essentially of alumina only, it comprises specified pores. The invention also relates to an article of manufacture having a nanometric porous surface layer comprising at least one of metals, metal oxides and mixtures thereof, which comprises pores in the surface layer having a width in a range 0-100 nm, and wherein a major number of pores in this range have a width in a band of about 1 to about 30 nm.
US08877425B2 Silicon-containing resist underlayer film forming composition having fluorine-based additive
A resist underlayer film forming composition for lithography includes: as a component (I), a fluorine-containing highly branched polymer obtained by polymerizing a monomer A having two or more radical polymerizable double bonds in the molecule thereof, a monomer B having a fluoroalkyl group and at least one radical polymerizable double bond in the molecule thereof, and a monomer D having a silicon atom-containing organic group and at least one radical polymerizable double bond in the molecule thereof, in the presence of a polymerization initiator C in a content of 5% by mole or more and 200% by mole or less, based on the total mole of the monomer A, the monomer B, and the monomer D; and as a component (II), a hydrolyzable silane compound, a hydrolysis product thereof, a hydrolysis-condensation product thereof, or a silicon-containing compound that is a combination of these compounds.
US08877424B2 Monomer, polymer, resist composition, and patterning process
A polymer is prepared from an adamantane methacrylate monomer whose alcoholic hydroxyl group is protected with an alicyclic-containing tertiary alkyl group. A photoresist composition comprising the polymer displays a high sensitivity and a high dissolution contrast during both alkaline development and organic solvent development.
US08877423B2 Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
An actinic ray-sensitive or radiation-sensitive resin composition including: (A) a resin that contains a repeating unit represented by formula (I) as defined in the specification, a repeating unit represented by formula (II) as defined in the specification and a repeating unit represented by formula (III-a) or (III-b) as defined in the specification; (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; and (C) a solvent, wherein the solvent (C) contains ethyl lactate, and a film and a pattern forming method using the composition are provided.
US08877415B2 Toner, method of manufacturing toner, developer, toner container, image forming method, and process cartridge
A toner including a resin particle (C) is provided. The resin particle (C) includes a resin particle (B) and; a resin particle (A) or covering layer (P) that is adhered to a surface of the resin particle (B). The resin particle (B) includes a resin (b) having a polyhydroxycarboxylic acid skeleton. The resin particle (A) or covering layer (P) includes a resin (a). The resin (a) is a polyester resin having a polybasic acid unit and a polyol unit and has a weight average molecular weight within a range from 9,500 to 100,000.
US08877414B2 Electrostatic image developing toner, method for manufacturing electrostatic image developing toner, developer, and image forming method
An electrostatic image developing toner including: a toner matrix particle having an adhering particle adhered onto the surface of a central particle, wherein a volume average value of a ratio X of a peripheral length PM to a circle-corresponding diameter D is from 3.6 to 5.0.
US08877402B2 Method for a fuel cell air system leakage diagnostic
A system and method for identifying leaks in a cathode sub-system of a fuel cell system. An air flow meter is provided up-stream of a compressor and monitors the air flowing into the compressor. When an air leakage diagnostic is commanded, a fuel cell stack by-pass valve and back-pressure valve are closed so that no air flows through or around the stack, and the recirculation valve is opened so that the air flows around the compressor. By knowing the leakage through the by-pass valve and the back-pressure valve, any flow above those values measured by the air flow meter gives an indication of air leakage out of the cathode sub-system components.
US08877401B2 Method for optimizing the feed of fuel comprising a carbonyl-containing compound to the catalytic electrode of a fuel cell stack
A method for optimizing fuel feed of a fuel cell stack catalytic electrode, the fuel cell stack containing a cell comprising a proton exchange membrane located between said catalytic electrode and another electrode, operating in superstoichiometric mode, said fuel comprising a carbonyl-containing polluting agent compound reacting on said catalytic electrode, comprises: defining a reference voltage for said cell when fed with a fuel exempt from polluting agent; defining a threshold voltage corresponding to a preset operating voltage of said cell as a percentage of reference voltage; defining a calibration curve for given operating conditions, relating threshold voltage to flow rate of polluting agent and allowing a first parameter of flow rate of polluting agent to be defined; detecting polluting agent to define a second parameter corresponding to content of polluting agent present in said fuel; and determining a maximum stoichiometry coefficient for said fuel stream, depending on said two parameters.
US08877400B2 Solid oxide fuel cell comprising a thermal exchanger placed concentrically relative to a cell core
Solid oxide fuel cell that comprises a cell core and a thermal exchanger suitable for supplying said cell core with a fluid at a given temperature required for its operation, wherein the exchanger comprises a cold fluid circuit and provides a thermal interface with a hot fluid circuit, the cold fluid circuit supplying the fluid inlet of the cell core and the hot fluid circuit being supplied by the fluid outlet of the cell core, characterized in that the thermal exchanger is provided concentrically relative to the cell core.
US08877396B2 Fuel cell system
A control device of a fuel cell system sets a required output of a fuel cell stack that is required according to a present power demand and predicts the required output and the current according to the temperature of the fuel cell stack from a predetermined output state map that is preset. The control device sets an operation state quantity according to the predicted current and the temperature of the fuel cell stack from a predetermined operation state quantity map that is preset. The control device includes at least one of a pressure at an air supply port of air that is supplied to the cathode electrode of the fuel cell stack, a utilization rate of the air at the cathode electrode, a flow rate of a cooling medium that cools the fuel cell stack, and humidity of the air at the air supply port as the operation state quantity.
US08877390B2 Redox shuttles for lithium ion batteries
Compounds may have general Formula IVA or IVB. where, R8, R9, R10, and R11 are each independently selected from H, F, Cl, Br, CN, NO2, alkyl, haloalkyl, and alkoxy groups; X and Y are each independently O, S, N, or P; and Z′ is a linkage between X and Y. Such compounds may be used as redox shuttles in electrolytes for use in electrochemical cells, batteries and electronic devices.
US08877385B2 Non-aqueous secondary battery
The non-aqueous secondary battery of the present invention includes a positive electrode, a negative electrode, a non-aqueous electrolyte and a separator. The positive electrode includes a positive electrode mixture layer containing a positive electrode active material, a conductive polymer, an organic silane compound, a conductive assistant and a binder, the conductive polymer is polythiophene or a derivative thereof, and the content of the conductive polymer is 0.05 to 0.5 mass % with respect to the total mass of the positive electrode mixture layer.
US08877384B2 Negative electrode active material of lithium secondary battery, negative electrode of lithium secondary battery, lithium secondary battery for vehicle installation using the negative electrode active material and negative electrode, and method for manufacturing the negative electrode active material
A negative electrode active material of lithium secondary battery include: at least one of a petroleum-derived green coke and a coal-derived green coke, and at least one of a petroleum-derived calcined coke and a coal-derived calcined coke within a mass ratio range of 90:10 to 10:90; a phosphorous compound within a range of 0.1 to 6.0 parts by mass in amount equivalent to phosphor relative to 100 parts by mass of the at least one of the green cokes and the at least one of the calcined cokes; and a boron compound within a range of 0.1 to 6.0 parts by mass in amount equivalent to boron relative to 100 parts by mass of the at least one of the green cokes and the at least one of the calcined cokes.
US08877380B2 Positive active material, method of preparing the same, and lithium battery including the positive active material
A positive active material, a method of preparing the same, and a lithium secondary battery including the positive active material.
US08877375B2 Aqueous active material composition, electrode, and rechargeable lithium battery using the same
An aqueous active material composition, an electrode, and a rechargeable lithium battery including the same, the aqueous active material composition including an active material; a binder; and a water-soluble cellulose mixture, wherein the water-soluble cellulose mixture includes a first cellulose compound having a degree of substitution of about 0.5 to about 0.9 and a second cellulose compound having a degree of substitution of about 1.1 to about 1.5.
US08877373B2 Electrode for a rechargeable lithium battery, and a rechargeable lithium battery fabricated therefrom
An embodiment of the present invention provides an electrode for a rechargeable lithium battery, including: a current collector; and an active material layer on the current collector, wherein the active material layer includes an active material adapted to reversibly intercalate and deintercalate lithium ions, a binder, and a pore-forming polymer.
US08877369B2 Secondary battery having current collectors with deformable portions underneath a vent
A secondary battery including a case having a lengthwise direction and including a space therein, an electrode assembly disposed in the space in the case, the electrode assembly including a rolled laminate of a positive electrode plate, a negative electrode plate and a separator interposed therebetween and having non-coating portions at ends thereof, a pair of current collectors, each current collector being electrically connected to one of the non-coating portions of the electrode assembly, each current collector including a current collector plate having an end portion, each end portion extending along the lengthwise direction of the case such that the end portions of the current collector plates of the pair of current collectors are spaced apart from each other in a central region in the lengthwise direction of the case, and a cap plate coupled to a top of the case to seal the case, the cap plate having a vent disposed therein at a position corresponding to the end portions of the current collector plates.
US08877366B2 Cooling plate for lithium-ion battery pack
A cooling plate for a battery pack with a plurality of battery cells is provided. The cooling plate includes a cooling fin with a substantially planar surface and a perimeter. The cooling plate includes a frame abutting the cooling fin and forming a seal with the cooling fin adjacent the perimeter of the same. The frame and the cooling fin define at least one fluid inlet, at least one fluid outlet, and a flow channel therebetween. The at least one fluid inlet and the at least one fluid outlet are disposed through the seal and are in fluid communication with the flow channel. The flow channel is disposed adjacent the perimeter and in heat transfer communication with the substantially planar surface of the cooling fin. A battery pack with the cooling plate, and a method for controlling a temperature of the battery pack, are also provided.
US08877364B2 Battery pack
A battery pack including: a box main body housing a battery therein and having a box shape with an upper side opening; and a lid covering the upper side opening of the box main body. The box main body has a first seal flange formed along an outer peripheral portion of the box main body, and the lid has a second seal flange formed along an outer peripheral portion of the lid. The first and second seal flanges are in contact with each other and seal the battery pack. The first and second seal flanges are curved with a predetermined curvature.
US08877359B2 Magnetic disk and method for manufacturing same
Provided are a magnetic disk comprising a granular magnetic recording layer which causes less noise even with a recording capacity thereof of 250 G or more bits per square inch; and a method for manufacturing the same. The magnetic disk according to the present invention comprises: a granular magnetic recording layer (20) which is formed on a disk substrate 10 directly or via an intermediate layer and which has non-magnetic regions between granular columnar particles; and an auxiliary recording layer (22) which is formed on the granular magnetic recording layer 20 and which causes exchange interaction among the granular columnar particles, wherein the auxiliary recording layer (22) contains 0.1 to 3 moles of oxygen.
US08877358B1 Method and system for providing a laser cavity for an energy assisted magnetic recording head
A method for providing a capping layer configured for an energy assisted magnetic recording (EAMR) head including at least one slider. The method comprises etching a substrate having a top surface using an etch to form a trench in the substrate, the trench having a first surface at a first angle from the top surface and a second surface having a second angle from the top surface. The method further comprises providing a protective coating exposing the second surface and covering the first surface, removing a portion of the substrate including the second surface to form a laser cavity within the substrate configured to fit a laser therein, and providing a reflective layer on the first surface to form a mirror, the cavity and mirror being configured for alignment of the laser to the laser cavity and to the mirror and for bonding the laser to the laser cavity.
US08877357B1 Impact resistant material
A method of making a composite material. The method comprises: providing a plurality of particles, wherein each one of the particles comprises a ceramic core and a metallic outer layer surrounding the core; forming a tile from the plurality of particles by performing a bonding process on the plurality of particles; and bonding the tile to a ductile backing material. In some embodiments, the ceramic core comprises boron carbide. In some embodiments, the metallic outer layer comprises at least one of copper, tantalum, titanium, molybdenum, and aluminum.
US08877354B2 Heterocyclic compound and organic light-emitting device using the same
An organic light-emitting device includes an anode, a cathode, and an organic compound layer interposed between the anode and the cathode. The organic compound layer contains a heterocyclic compound represented by general formula [1]: (wherein R1 and R2 each independently represent a hydrogen atom, a substituted or unsubstituted alkyl group, a substituted or unsubstituted aryl group having three or less rings, or a substituted or unsubstituted heterocyclic group having three or less rings; R1 and R2 may be the same as or different from each other; R3 and R4 each independently represent a hydrogen atom, a substituted or unsubstituted aryl group having three or less rings, or a substituted or unsubstituted heterocyclic group having three or less rings; one of R3 and R4 represents a substituted or unsubstituted aryl group having three or less rings or a substituted or unsubstituted heterocyclic group having three or less rings; and R3 and R4 may be the same as or different from each other).
US08877351B2 Organometallic complex, and lighting apparatus, and electronic device using the organometallic complex
Disclosed are an organometallic complex emitting red light with high color purity. An organometallic complex having a structure represented by the following general formula (G1) is provided. In the formula, of R1 to R13, at least one represents an alkyl group having 1 to 4 carbon atoms or an alkoxy group having 1 to 4 carbon atoms and the other or others represent hydrogen. M represents a central metal, which is a Group 9 or Group 10 element. L represents a monoanionic ligand, and n is 2 when the central metal is a Group 9 element or 1 when the central metal is a Group 10 element.
US08877350B2 White OLED with two blue light-emitting layers
An organic white light-emitting device, including a substrate; an anode and a cathode spaced from each other; a light-emitting layer including a yellow dopant for emitting yellow light; and first and second blue light-emitting layers, each blue light-emitting layer having at least one different material than the other blue light-emitting layer.
US08877349B2 Organometallic complex and organic electroluminescence device using the same
Provided are an organometallic complex providing highly efficient phosphorescence and an organic electroluminescence device using the same. The organometallic complex can be used to form an organic layer of the organic electroluminescence device, efficiently emits light of a wavelength corresponding to red light, and has high brightness and low operating voltage. The organometallic complex is represented by Formula (1)
US08877341B2 Polycarbonate resin and optical film using the same
According to the present invention, a polycarbonate resin having a repeat unit represented by the following formula (1) and a repeat unit represented by the following general formula (2), and an optical film using the same, can be provided. In the formula, Y is a cycloalkylene group having a carbon number of 4 through 20 or a structure represented by the following general formula (3). In the general formula (3), R1, R2, R3 and R4 are independently a hydrogen atom or a monovalent alkyl group having a carbon number of 1 through 5.
US08877335B2 Corrosion-resistant member and method of manufacturing the same
A corrosion-resistant member has a composite titanium oxide film for reducing corrosion deposited on a surface of a construction material, where the composite titanium oxide film is represented by a molecular formula MTiO3 in which M is a transition element, and the corrosion-resistant member is preliminarily manufactured by depositing titanium oxide on the surface of the construction material, and the titanium oxide is subsequently or simultaneously subjected to high temperature treatment under existence of an ion of the transition metal.
US08877333B2 Transition metal compound-containing nanoparticle and method for producing the same, ink for positive hole injection transport layer, device comprising positive hole injection transport layer and method for producing the same
The present invention is to provide a device capable of having an easy production process and achieving a long lifetime. A device comprising a substrate, two or more electrodes facing each other disposed on the substrate and a positive hole injection transport layer disposed between two electrodes among the two or more electrodes, wherein the positive hole injection transport layer contains a transition metal compound-containing nanoparticle comprising a transition metal compound containing one or more kinds selected from the group consisting of a transition metal carbide oxide, transition metal nitride oxide and transition metal sulfide oxide, wherein a protecting agent having a linking group and a hydrophobic organic group is connected to the transition metal compound by the linking group.
US08877331B2 Multi-layered foamed polymeric objects having segmented and varying physical properties and related methods
The invention relates to foamed thermoplastic material articles of manufacture having an internal layered cellular structure (with segmented and varying physical properties across one or more dimensions). In one embodiment, the invention is directed to a multi-layer foamed polymeric article of manufacture having a plurality of discrete physical properties segmented across one or more dimensions, comprising: a non-laminated multi-layer polymeric object having at least one discrete outer layer positioned adjacent to a plurality of discrete inner foamed layers, wherein the at least one discrete outer layer and the plurality of discrete inner foamed layers are integral with one another, and wherein the at least one discrete outer layer has an outer layer physical property and each of the plurality of discrete inner foamed layers has a respective inner foamed layer physical property, wherein the outer layer physical property and the inner foamed layer physical property are different from each other.
US08877320B2 Microfluidic devices and methods of manufacture thereof
A microfluidic device comprising: a substrate having a microfluidic channel, an electrically conductive feature comprising an electrically conductive layer arranged on a primer layer and positioned with reference to the microfluidic channel, wherein the primer layer comprises: (i) an organic polymer selected from the group consisting of. (a) a homopolymer or copolymer including a vinyl lactam repeating unit, (b) a cellulose ether; (c) polyvinyl alcohol; and (d) unmodified or modified gelatin; and (ii) a porous particulate material, the organic polymer being dispersed in the porous particulate material, is provided. Methods for manufacturing the microfluidic devices and their use in a number of applications are also provided.
US08877316B2 Cloth-like personal care articles
The article contains a fibrous, non-woven web and having a personal care composition associated with this web. The present invention relates to disposable, personal care articles useful for cleansing and/or treating the skin, hair or other similar keratin-containing surfaces. These articles are used by the consumer by either wetting the dry article with water and then rubbing the article against the skin, hair or other similar keratin-containing surfaces, or taking a wet, disposable, personal care article and rubbing against the skin, hair or other similar keratin-containing surfaces or taking a moist, disposable, personal care article and rubbing against the skin, hair or other similar keratin-containing surfaces.
US08877314B2 Insulation cassette for the heat insulation of elongated elements
Length adjustment can be performed in a simple manner and without impairment of the insulating effect in an insulation cassette for the heat insulation of elongated elements. A multilayer insulation includes flat reflection elements (21, 22). Each layer of the insulation has at least two of the flat reflection elements (21, 22), which overlap at least partly at their ends (24) facing each other.
US08877313B2 Stack of interfolded hygiene products
A stack of interfolded hygiene products (100), including a first web (1) divided into individual hygiene products by means of lines of weakness (12); a second web (2) divided into individual hygiene products by means of lines of weakness (22); the first web and the second web being interfolded with one another in a nested configuration, wherein the lines of weakness of the first web and the lines of weakness of the second web are offset with respect to one another along the webs; the first web and the second web are joined to one another at a leading portion (4) and/or at a trailing portion (4″) of the webs.
US08877310B2 Propylene resin multi-layer sheet, and packaging body for heat treatment using same
The invention discloses a multilayer propylene resin sheet and a heat-treatable packaging material which have an excellent transparency, flexibility and very-low-temperature impact resistance, and which reduce the thickness variation during lamination, suppress appearance defects such as interfacial roughness and mitigate thinning during fabrication. The multilayer propylene resin sheet is composed of at least two layers, which are (1) an inner layer and (2) an outer layer. The inner layer (1) is made of a resin composition containing 40 to 89 wt % of a specific propylene resin composition (A), 10 to 40 wt % of a specific ethylene-α-olefin copolymer (B), and 1 to 20 wt % of a specific propylene resin (C). The outer layer (2) is made of a specific propylene resin composition.
US08877297B2 Deposition method
A deposition method is provided to enable fine particles having a relatively large particle diameter, for example, a diameter larger than 0.5 μm, to be stably deposited on a substrate. The fine particles with insulating surface are placed in an airtight container, and a carrier gas is introduced into the container, triboelectrically charging the fine particles and generating an aerosol of the fine particles. The fine particles are charged by friction with the inner surface of a transfer tubing connected to the container, and the aerosol is conveyed via such tubing to a deposition chamber that is maintained at a pressure lower than that in the airtight container. The charged fine particles are deposited on a substrate placed in the deposition chamber.
US08877293B2 Silicone gum emulsions
A process for preparing emulsions of silicone gums is disclosed based on using an ethylene oxide/propylene oxide block copolymer as the emulsifier. The emulsions produced by the present process are useful as coatings additives for both water-based and oil-based coatings to obtain improved slip and anti-mar properties. The emulsions may also be used in the manufacture of tires as band ply lubricants. They may also be used in personal care applications.
US08877290B2 Method for producing liquid-ejection head
A method for producing a liquid-ejection head includes forming molds on or above the substrate, the molds being used as mold members for forming the plurality of liquid chambers; forming the flow-passage-forming member by depositing an inorganic material on or above the substrate and the molds by chemical vapor deposition, the flow-passage-forming member having depressed portions each formed in an area between an adjacent pair of the liquid-chamber side walls in which the molds are not formed; forming a water-repellent layer on the orifice plate; forming filling members in the depressed portions by applying a filling material to the flow-passage-forming member having the water-repellent layer formed thereon to fill the depressed portions with the filling material; forming the ejection ports in the flow-passage-forming member; and removing the molds after forming the ejection ports.
US08877289B2 Stainproofing method of fabric
A method for anti-stain treatment of fabric is disclosed. The method for anti-stain treatment of fabric includes: immersing fabric in an aqueous solution containing a telomerized perfluoroalkyl acrylate copolymer, and a crosslinking agent, such as an isocyanate crosslinking agent; dehydrating the immersed fabric; drying the dehydrated fabric; heat treating the dried fabric; and coating the heat-treated fabric with a flame retardant, such as an acrylic flame retardant, to provide flame retardancy. The method provides a car seat fabric having superior stain resistance, water repellency and oil repellency without degradation of other properties required for the car seat fabric, such as flame retardancy, lightfastness, frictional coloration, or the like. The method is widely applicable to commercially applicable car seat fabrics, including flat woven, flat tricot, double raschel, or the like.
US08877288B2 High abrasion resistance coating process
A process for coating a front and back surface of an industrial textile to increase its abrasion resistance is disclosed. The coating process comprises the steps of coating the textile with a coating compound, heating coated textile at a temperature of 120 degree Celsius and drying the coated textile for 60 seconds. Two passes of coating is applied for the process wherein the viscosity for first pass of coating is 21000 centipoise and the viscosity for second pass of coating is 12000 centipoise. On completion of the coating process, the coated textile is treated with a water repellent agent. The coating compounds used for the process are comprised of formulations including two polyurethane compositions, which are mixed with a methyl ethyl ketone solvent, and the water repellent agent is silicone. The overall process requires a curing time of 2 minutes with a curing agent.
US08877286B2 Method for producing optical film
The method for producing an optical film includes a step of preparing a first coating liquid containing a certain amount of light-transmitting particles, a resin, and a solvent, a second coating liquid containing an amount less than the certain amount of light-transmitting particles, a resin, and a solvent, or not containing the light-transmitting particles but containing a resin and a solvent; a step of coating the second coating liquid and the first coating liquid in this order from the support side on a traveling band-shaped support in the form of a multilayer to form a second coating film and a first coating film; a step of transferring the light-transmitting particles in the first coating film to the side of the second coating film while drying the first coating film and the second coating film.
US08877284B2 Method for making a flexible and clear low surface electric resistance plastics material article of manufacture and the plastics material article made thereby
A method for making a flexible and clear plastics material article of manufacture having a low electric surface resistance, starting from a plastics material having a higher electric surface resistance, in which the electric surface conductivity of the starting article of manufacture is modified by partially including, into at least a portion of the outer surface of the article, carbon nanotubes.With respect to conventional methods, the inventive method allows to modify the starting plastics material electric surface resistance so as to lower it to values smaller than 102 kΩ/sq, even starting from articles having a higher resistance of the order of 1013 kΩ/sq, while preserving the starting clearness and flexibility thereof.
US08877281B2 Preparation of canola protein isolate involving isoelectric precipitation
Canola protein isolates consisting predominantly of 7S canola proteins are formed by isoelectric precipitation from aqueous salt solution extracts of canola oil seed meal. Canola protein isolates consisting predominantly of 2S canola protein are recovered from supernatant from the isoelectric precipitation step.
US08877280B2 Compositions for improving flavor and safety of marinated meat products
A composition for improving the flavor and juiciness of marinated meats and inhibiting growth of pathogenic and spoilage microorganisms and a process for making the composition are described. Lemon juice and vinegar are neutralized, concentrated and blended with non-neutralized lemon juice and non-neutralized vinegar in appropriate proportions to achieve the desired water binding and antimicrobial effects.
US08877278B2 Fabricated food product made from fresh potato mash
A composition and method for providing a strong dough having a substantial quantity of fresh potatoes. Fresh potatoes are made into a mash and centrifuged to reduce the water content to about 70% by weight. Such potato mash can comprise over 50% by weight of the final dough. This dough can be used to make sheeted or extruded products. Such dough results in improved, more naturally-flavored products compared to products made entirely from dehydrated or partially-dehydrated potato derivatives. The method comprises finely slicing potatoes to form a potato slurry or mash; decanting excess water from the potato slurry; heating the potato slurry to a temperature no greater than about 190 degrees Fahrenheit; cooling the slurry to under 140 degrees Fahrenheit; and imparting a low amount of work input to a mixture of the slurry and other ingredients to form a shapeable dough.
US08877277B2 Supercritical fluid extrusion method, apparatus and system for making a food product
A method for making an expanded snack piece using supercritical fluid extrusion is disclosed. A mixture of shear thinning starch and heat-sensitive ingredients are input into an extruder, hydrated, and mixed with supercritical fluid. The extrudate can be subjected to further processing to set or alter its structure.
US08877275B2 Proanthocyanidin-rich plant extract and method for preparing same
To provide an extract or other substance that can impart not only bitterness and aroma but also taste elements such as koku and robustness without increasing astringency or harshness, and to provide a beverage having superior koku and robustness. Increasing hop-derived polyphenols, particularly trimeric proanthocyanidin makes it possible to provide beverages with koku and robustness without increasing astringency or lingering aftertaste.
US08877273B2 Device and method for extracting olive oil
A device for extracting oil, the oil extracting device comprising: a hammer-shear olive milling grinder, a malaxation bowl for malaxation of milled olives having at least one opening; at least one filter for separating malaxation solids from liquids, said filter is located within said opening. The device is especially adapted to home users and can be placed on a counter, such as a kitchen counter. The subject matter further includes a process for obtaining olive oil, comprising the steps of malaxation of milled olives in a malaxation bowl, the malaxation bowl comprising at least one filter configured for separating solids from liquids and collecting oil.
US08877272B2 1-monopropionine compound and its isomer 3-monopropionine as preserving agents for animal feed, grains and animal-origin meals
An application method of 1-monopropionine compound and its isoform 3-monopropionine being used as preserving agents in animal feeds, corns, grains, and other food materials. The monopropionine compounds are obtained by esterification reaction of propionic acid and glycerol. The product is a translucent viscous liquid composition comprising monopropionine compounds and other components, such as free acid, or certain salt forms of propionate. The generated composition can be further distilled in glycerol. The final product can take a liquid form or a powder form. 1-monopropionine compound and its isoform 3-monopropionine function as preserving agent at a low effective dosage of about 0.05 to 1% (by weight), and preferably 0.1 to 0.3% (by weight). A preferable application condition of this method is to preserve animal feeds and corns under a low (about 2% to 12%) moisture condition.
US08877271B2 Perishable food storage units
Disclosed are packaging systems and methods useful in extending the storage-life of foodstuff such as fresh fish. The packaging systems and methods can be used to transport or store the foodstuff for an extended period of time. The packaging systems preferably use a fuel cell to maintain a reduced oxygen level in the environment surrounding the foodstuff.
US08877266B2 Supercritical CO2 liquorice extract anti-microbial and anti-inflammatory isolates and products made there from
Described herein, are compositions comprising, inter alia, a supercritical CO2 extract of the root of the plant Glycyrrhiza sp., and methods of making and using the same.
US08877264B2 Composition of skin external application containing ginseng berry extracts
Disclosed herein is a. skin external composition containing ginseng berry extract. More specifically, disclosed is a skin external composition, which contains, as an active ingredient, ginseng berry extract having the specific components and compositions, among the above-ground parts of ginseng, and thus promotes the production of collagen in the skin, shows an MMP-I inhibitory effect and, at the same time, has skin-aging inhibitory and wrinkle-reducing effects, resulting from antioxidant effects and DNA damage-protecting effects. Also disclosed is a skin external composition, which contains, as an active ingredient, ginseng berry extract, which has a skin whitening effect resulting from the effects of inhibiting melanin production and reducing pigmentation caused by UV radiation, the effects of relieving dry skin symptoms and atopic symptoms by inducing and maintaining the normal differentiation of skin keratinocytes, the effect of relieving acne and skin troubles by the regulation of sebum secretion and an anti-inflammatory effect, and makes complexion ruddy through the improvement of peripheral blood circulation to make the skin clean and clear. Also disclosed is a food composition for reducing and preventing obesity, which contains, as an active ingredient, ginseng berry extract, which increases the expression of a carnitine palmitoyl transterase-1 (CPT-I) gene, serves to transport fatty acids into mitochondria in fatty acid oxidation and promotes fat oxidation.
US08877263B2 Modified pectins, compositions and methods related thereto
The present invention provides compositions of modified pectin and methods for preparing and using them.
US08877262B2 Vegetable extract and method of use as an active principle in the production of a pharmacologically active product for the treatment of tissue lesions, and method for obtaining the extract
A method for treatment of acute and/or chronic tissue lesions disorder is disclosed. A resin extract from Ficus pertusa L. f and/or Ficus eximia Schott is administered to a patient in need thereof as an active principle for producing a pharmacologically active product for the treatment of acute and/or chronic tissue lesions, both of bone tissue and of soft tissue.
US08877261B2 Anti-redness active agent and cosmetic compositions comprising same
The present application relates to a cosmetic method for preventing and/or treating skin redness, characterized in that a composition comprising arabinogalactan is administered to a person who may form or who is displaying redness. This cosmetic composition can be administered topically or orally.
US08877259B2 Cosmetic formulation
Disclosed is a topical skin cleanser comprising Silybum marianum fruit extract, Momordica grosvenorii fruit extract, and a cosmetic vehicle comprising at least 50% by weight of the composition of water and disodium EDTA, glycerin, phenoxyethanol, triethanolamine, 3-Iodo-2-propynyl butyl carbamate, and benzyl alcohol.
US08877257B2 Methods and compositions for treating conditions associated with infection and/or inflammation
The present invention provides methods and compositions for treating and preventing conditions characterized by infection and/or inflammation, especially of the eyes, ears, nose, and/or throat. The methods of the invention involve administering hypohalous acid to the patient, such as in the form of a composition described herein.
US08877255B2 Microporous zirconium silicate for the treatment of hyperkalemia
The present invention relates to novel microporous zirconium silicate compositions that are formulated to remove toxins, e.g. potassium ions, from the gastrointestinal tract at an elevated rate without causing undesirable side effects. The preferred formulations are designed avoid increase in pH of urine in patients and/or avoid potential entry of particles into the bloodstream of the patient. Also disclosed is a method for preparing high purity crystals of ZS-9 exhibiting an enhanced level of potassium exchange capacity. These compositions are particularly useful in the therapeutic treatment of hyperkalemia.
US08877251B2 Powder compositions for inhalation
The present invention relates to methods of making a powder for inhalation comprising a first step of mixing particles of a force-controlling agent selected from the group consisting of phospholipids, titanium dioxide, aluminum dioxide, silicon dioxide, starch, and salts of fatty acids, with particles of one or more pharmacologically active materials, wherein the mixing is achieved by one or more of the processes of sieving, mixing or blending, and wherein the mixing results in the particles of the force-controlling agent being disposed on the surface of the particles of the one or more pharmacologically active materials as either a particulate coating or as a continuous or discontinuous film.
US08877250B2 Hollow nano-particles and method thereof
The invention provides a hollow nano-particle comprising a crosslinked shell and a void core; and a preparation method thereof. The hollow nano-particle may be used in rubber composition, tire product, and pharmaceutical delivery system etc.
US08877249B2 Granular material for dosage forms
A dosage form for controlled release is produced from a composition comprising a granular material having a mean particle diameter of 150 to 350 micrometers and a compactibility resulting in a compact with a tensile strength of at least 1.7 MPa when the granular material is subjected to a compaction pressure of 266 MPa, at least 80 percent of the granular material being a water-soluble cellulose ether, water-soluble cellulose ester, an alkylene oxide homo- or copolymer, or a blend thereof; and an active ingredient.
US08877248B1 Sustained-release formulations of topiramate
Pharmaceutical compositions of topiramate for once-a-day oral administration are provided. The formulations comprise a sustained-release component and an optional immediate-release component, the compositions of which can be selectively adjusted, respectively, to release the active ingredient along a pre-determined release profile. Method of treating or preventing pathological disorders in mammalian subjects comprising the administration of the novel formulations disclosed herein is also provided.
US08877243B2 Cross-linked polysaccharide composition
A process for making cross-linked polysaccharide gels, particularly cross-linked hyaluronic acid gels, under basic conditions. The resulting gels possess improved degradation characteristics, and are useful in a variety of medical and cosmetic applications.
US08877240B1 Tablet binding compositions
Provided are tablet binding compositions for binding cleaning and/or disinfecting formulation components into tablets. The tablet binding compositions are suitable replacements for traditional tablet binder compounds, such as boric acid or zeolites. The tablet binding compositions provided herein can produce tablets of increased hardness at lower compression forces and, when dissolved, yield solutions of increased clarity compared to some traditional binder compounds. Also provided are processes for preparing the tablet binding compositions and methods for formation of tablets containing the tablet binding compositions.
US08877237B2 Reverse micelle system comprising nucleic acids and use thereof
The present invention relates to reverse micelle system based on sterols, acylglycerols, phospholipids or sphingolipids and nucleic acids. The reverse micelle system of the invention is able to cross mucosa and cellular membranes. It thus allows vectorization of nucleic acids to target sites. It is advantageously useful in the pharmaceutical and dietetic fields.
US08877236B2 Liposomes active in-vivo on neurodegenerative diseases
New liposomes are described, comprising: (i) phosphatidic acid and/or cardiolipin; (ii) apolipoprotein E (ApoE) or derivatives thereof. The so modified liposomes, administered systemically, obtain a dramatic in-vivo reduction of the amyloid plaque in the central nervous system, allowing an effective treatment of neurodegenerative diseases, in particular Alzheimer's disease.
US08877232B2 Bioactive compounds protection method and compositions containing the same
The present invention relates to means for protecting bioactive materials in mammalian food or feed formulations used to enhance the health status of mammals.
US08877218B2 Two component interactive emulsion product
The present invention describes, in one aspect, the use of two oppositely charged surfactants as the primary emulsifiers in two separated parts of a product. The emulsifiers are chosen such that when the two parts of the emulsion product are mixed, the emulsifiers react to form water insoluble compounds that are no longer able to function as emulsifiers, thus, the oil phase of the emulsion is precipitated onto the skin along with the emulsifier reaction product. Since none of the deposited materials are water soluble, or capable of functioning as emulsifiers, the deposited oil phase is extremely resistant to being washed off. This technology finds applications in hand and body creams and lotions, baby care products, sunscreens, skin protective products, makeup systems, and automotive and furniture polishes.
US08877213B2 Live attenuated Leishmania vaccines
Targeted disruption of the centrin gene leads to attenuation of growth in the Leishmania. Preferred embodiments of the invention provide attenuated strains of Leishmania useful for the preparation of immunogenic preparations including vaccines against a disease caused by infection with a virulent Leishmania strain and as tools for the generation of immunological and diagnostic reagents. Other preferred embodiments provide related immunogenic compositions, methods of generating an immune response, methods for producing a vaccine, and methods of forming attenuated strains of Leishmania.
US08877211B2 Bovine herpes virus vaccine with multiple mutations
A BHV-1 mutant virus has been made that incorporates into a single virus two or more deletions in one or more of three genes—glycoprotein N, glycoprotein E and Us9. Specifically, a BHV-1 UL49.5Δ30-32 CT-null virus was made and tested. This mutant virus was then used to incorporate additional changes, e.g., the glycoprotein E cytoplasmic-tail deletion, the Us9 deletion, or both. This triple mutant BHV-1 UL49.5Δ30-32 CT-null/gE CTΔ/Us9Δ virus will be superior to the current BHV-1 mutants because the mutant virus will not be shed following reactivation, will be a DIVA based on gE CT-specific serum antibodies, and will induce better protective response by inducing higher SN titers and better cellular immune response. This new virus will have sufficient viral replication in the nasal epithelium and will be a good vaccine for protection of cattle from BHV-1. The new mutant viruses can also be used as vectors for exogenous genes.
US08877208B2 Multivalent nanoemulsion vaccines
The present invention provides methods and compositions for the stimulation of immune responses. Specifically, the present invention provides methods of inducing an immune response against one or a plurality of pathogens (e.g., vaccinia virus, H5N1 influenza virus, Bacillus anthracis, C. botulinum, Y. pestis, Hepatitis B, and/or HIV, etc.) in a subject (e.g., a human subject) and compositions useful in such methods (e.g., immunogenic composition comprising nanoemulsion and one or a plurality of pathogens (e.g., inactivated by the nanoemulsion) and/or pathogen products and/or pathogen components). Compositions and methods of the present invention find use in, among other things, clinical (e.g. therapeutic and preventative medicine (e.g., vaccination)) and research applications.
US08877207B2 Nanoparticles of cerium oxide targeted to an amyloid-beta antigen of Alzheimer's disease and associated methods
Disclosed is a composition immunologically targeted to Alzheimer's disease (AD), the composition containing amine functionalized nanoparticles of Cerium oxide coated with polyethylene glycol and bearing an antibody specific for an amyloid-beta antigen associated with AD. The invention also includes a medication manufactured with the targeted nanoceria particles and methods of treatment by administering the targeted nanoceria particles to patients in need thereof.
US08877198B2 Methods of reducing phosphate absorption
It is disclosed here a method for reducing phosphate absorption in a human or non-human animal subject wherein the subject consumes a diet containing phytic acid or phytate and either has or is at risk of developing hyperphosphatemia. The method includes the step of administering orally to the subject an anti-intestinal alkaline phosphatase antibody in an amount effective to reduce or maintain the serum phosphate concentration in the subject.
US08877196B2 Methods of altering bone growth by administration of sost or wise antagonist or agonist
The present invention provides a method of promoting local bone growth by administering a therapeutic amount of a Sost antagonist to a mammalian patient in need thereof. Preferably, the Sost antagonist is an antibody or FAB fragment selectively recognizing any one of SEQ ID NOS: 1-23. The Sost antagonist may be coadministered together or sequentially with a matrix conducive to anchoring new bone growth. Orthopedic and Periodontal devices comprising an implantable portion adapted to be permanently implanted within a mammalian body and bearing an external coating of a Sost antagonist are also disclosed, as it a method of increasing bone density by administering to a mammalian patient a therapeutic amount of a Sost antagonist together with an antiresorptive drug.
US08877193B2 Transdiscal administration of anti-TNFα antibodies and growth differentiation factors
The present invention relates to injecting a high specificity cytokine antagonist into a diseased intervertebral disc.
US08877191B2 Antibodies to the PcrV antigen of Pseudomonas aeruginosa
The current invention provides high-affinity antibodies to the Pseudomonas aeruginosa PcrV protein that have reduced immunogenicity when administered to treat Pseudomonas aeruginosa infections.
US08877189B2 Binding members for interleukin-4 receptor alpha (IL-4Rα) - 173
Binding members, especially antibody molecules, for interleukin (IL)-4 receptor alpha (IL-4Rα), and their therapeutic use e.g. in treating or preventing disorders associated with IL-4Rα, IL-4 and/or IL-13, examples of which are asthma and COPD.
US08877181B2 Amnion-derived cells, methods of making and uses thereof
The invention is directed to substantially purified amnion-derived cell populations, compositions comprising the substantially purified amnion-derived cell populations, and to methods of creating such substantially purified amnion-derived cell populations, as well as methods of use. The invention is further directed to antibodies, in particular, monoclonal antibodies, that bind to amnion-derived cells or, alternatively, to one or more amnion-derived cell surface protein markers. The invention is further directed to methods for producing the antibodies, methods for using the antibodies, and kits comprising the antibodies.
US08877180B2 Human placental collagen compositions, and methods of making and using the same
The present invention provides compositions comprising human placental telopeptide collagen, methods of preparing the compositions, methods of their use and kits comprising the compositions. The compositions, kits and methods are useful, for example, for augmenting or replacing tissue of a mammal.
US08877172B2 Zwitterionic polymer bioconjugates and related methods
Zwitterionic polymer and mixed charge copolymer bioconjugates, methods for making and using the bioconjugates.
US08877171B2 Polyanionic multivalent macromolecules for intracellular targeting of proliferation and protein synthesis
The present invention relates generally to methods and compositions for targeting of intracellular molecules involved in proliferation and protein synthesis of activated cells using polyanionic multivalent macromolecules. In particular aspect, multiple sulfate groups linked to polyol are specifically targeted to the cytoplasm and nucleus of proliferating and activated cells. The invention further comprises novel polyanionic macromolecular compounds and formulations.
US08877170B2 Medical device with inflammatory response-reducing coating
The present disclosure relates to implantable medical devices having a non-bioabsorbable substrate and an amphiphilic coating covalently bonded to the substrate for reducing the inflammatory response to the device after implantation.
US08877165B2 Solid preparation for oral application
Provided is a solid preparation for oral application containing (a) gum tragacanth and (b) a polyphosphoric acid or salt thereof and constituted so that the polyphosphoric acid or salt thereof starts to dissolve firstly and the gum tragacanth starts to dissolve subsequently. The solid preparation for oral application according to the present invention has a high plaque formation inhibiting effect.
US08877161B2 GM1-like peptides and uses thereof
Compositions and methods relating to interfering with the interaction of gangliosides, such as GM1, with their ligands are provided. For example, methods are provided for treating infections by blocking the infectious agent from binding with GM1 using GM1-like peptides. Also provided are methods of inhibiting ligands from binding to GM1 on the surface of cells and for neutralizing anti-GM1 antibodies in neurological diseases.
US08877160B2 Phospholipid ether analogs as agents for detecting and locating cancer, and methods thereof
The present invention provides methods for treating, detecting and locating recurrence of cancer, radiation and chemo insensitive cancer or metastasis of cancer selected from the group consisting of Lung cancer, Adrenal cancer, Melanoma, Colon cancer, Colorectal cancer, Ovarian cancer, Prostate cancer, Liver cancer, Subcutaneous cancer, Squamous cell cancer, Intestinal cancer, Hepatocellular carcinoma, Retinoblastoma, Cervical cancer, Glioma, Breast cancer and Pancreatic cancer in subject using phospholipid ether analogs.
US08877158B2 Targeting agent to newly formed blood vessels
It is an object of the present invention to provide a targeting agent that enables drug delivery to a neovascular site and the imaging of such a neovascular site, utilizing the effect of the agent to accumulate in the neovascular site. The present invention provides a targeting agent to a neovascular site, which comprises a gelatin-like protein.
US08877157B2 N-alkoxyamide conjugates as imaging agents
The present disclosure is directed to compounds, diagnostic agents, and related methods. In some cases, methods for treating patients are provided. More specifically, the disclosure provides compounds, diagnostic agents, and kits for detecting and/or imaging and/or monitoring elastin rich tissues. In addition, the disclosure provides methods of detecting and/or imaging and/or monitoring the presence of coronary plaque, carotid plaque, iliac/femoral plaque, aortic plaque, renal artery plaque, plaque of any arterial vessel, aneurism, vasculitis, other diseases of the arterial wall, and/or damage or structural changes in ligaments, uterus, lungs or skin, as indicated by changes in total vessel wall area, internal lumen size, and exterior arterial perimeter.
US08877155B1 Hydrogen production using off-gases from GTL processes
A method for producing hydrogen from an off-gas originating from a gas to liquid (GTL) process is provided. The method includes separating the light ends from the hydrocarbons using a cryogenic distillation column and then processing the light ends and the hydrocarbons to produce additional hydrogen product. The light ends are introduced to a CO shift reactor in the presence of steam to convert CO to CO2 and hydrogen. The hydrocarbons are introduced to a steam methane reformer in the presence of steam to convert hydrocarbons to hydrogen and CO. The resulting stream is treated in a second CO shift reactor to produce additional hydrogen and CO2. The hydrogen is then captured using a pressure swing absorber.
US08877154B2 Graphite article
One use for irradiated graphite after remediation processing is to recycle it into a new graphite artifact. Examples of such artifacts include an electrode to be used for vitrification of radionucleotides, graphite or carbon articles for uranium processing, a moderator for a HTGR, in particularly a Gen IV HTGR, other types of graphite products for nuclear facilities, charcoal filters, silicon carbide applications, etc. Such graphite artifacts can be formed with up to 20 pph of carbon black, the carbon black is formed from vitrified irradiated graphite. Optionally the graphite artifact may be formed from up to 75 pph of pitch.
US08877147B2 Conversion of carbonaceous fuels into carbon free energy carriers
A system for converting fuel is provided and includes a first reactor comprising a plurality of ceramic composite particles, the ceramic composite particles comprising at least one metal oxide disposed on a support, wherein the first reactor is configured to reduce the at least one metal oxide with a fuel to produce a reduced metal or a reduced metal oxide; a second reactor configured to oxidize at least a portion of the reduced metal or reduced metal oxide from the said first reactor to produce a metal oxide intermediate; a source of air; and a third reactor communicating with said source of air and configured to regenerate the at least one metal oxide from the remaining portion of the solids discharged from the said first reactor and the solids discharged from the said second reactor by oxidizing the metal oxide intermediate.
US08877144B2 Tissue processing apparatus
An apparatus for processing a biological sample is provided, the biological sample being arranged on a first planar surface of a carrier. The apparatus comprises a second planar surface arranged substantially parallel to said first planar surface and at a first distance from said first planar surface, said first planar surface and said second planar surface being arranged at an angle (A) greater than zero degree from the horizontal plane (HP); and supply means for supplying an amount of a liquid that is to be applied to said biological sample. The first planar surface and said second planar surface are configured to be arranged at a second distance from each other, said second distance being such that said supplied amount of liquid is distributed over said biological sample when said first planar surface and said second planar surface are brought to said second distance from each other.
US08877143B2 Chemical synthesis and analysis via integrated, sequential and series-parallel photochemical and other chemical processes for microfluidic, lab-on-a-chip, and green-chemistry applications
A microfluidic system comprising a plurality of photochemical reaction stages, the microfluidic system comprising a computational processor, a plurality of electrically-controllable photochemical reaction stages, and a series of controllable interconnections for connecting the photochemical reaction stages. In an implementation, the computational processor controls the electrically-controllable photochemical and other chemical reaction stages together with controllable interconnections so as to implement multi-step chemical processes. The microfluidic system can be configured to selectively drive a plurality of photochemical reactions within a mixture of chemical compounds via controlled emission of light of a plurality of wavelengths. The microfluidic system can be configured to comprise various interconnections and combinations of parallel and series chemical reaction stages, and can include a multichannel microfluidic chemical transfer bus. The microfluidic system can be configured to handle and process liquid, gasses, solids, and mixtures of these, and can used to implement anion relay chemistry, combinational chemistry, and synthon-based synthesis.
US08877140B2 Color-based reaction testing of biological materials
A biological material test strip and adjacently-located reference color chart are affixed to a lid portion of an all-in-one specimen cup to perform color-based reaction testing of collected biological specimens in an uncalibrated environment. After specimen collection, the lid portion is secured to a container portion of the specimen cup. The cup may then be rotated into an upside down position causing the specimen, under the force of gravity, to pass from the container portion and into a volume of the lid portion, such that the test strip is exposed to the specimen as it is received into the volume of the lid portion. An image of the exposed test strip and adjacently-located reference color chart may then be captured and processed to identify any color matches between the individual test pads on the test strip and the corresponding sequences of reference color blocks on the reference chart.
US08877138B2 Devices for injection of gaseous streams into a bed of fluidized solids
Injection nozzles for use in a gas distribution device are disclosed. In one aspect, the injection nozzle may include: a tube having a fluid inlet and a fluid outlet; wherein the inlet comprises a plurality of flow restriction orifices. In another aspect, embodiments disclosed herein relate to an injection nozzle for use in a gas distribution device, the injection nozzle including: a tube having a fluid inlet and a fluid outlet; wherein the fluid inlet comprises an annular orifice surrounding a flow restriction device. Injection nozzles according to embodiments disclosed herein may be disposed in a gas distribution manifold used in a vessel, for example, for conducting polymerization reactions, spent catalyst regeneration, and coal gasification, among others.
US08877128B2 Automated sample testing system
An empty rack transport line is arranged at a lower stage and independent of a main transport line, a fast emergency line and a reverse line. In a rack stocker, an inclined transport line connects the empty rack transport line to the rack stocker. The rack stocker is arranged between a storage module and a loading module. The rack stocker is capable of continuously supplying and collecting empty racks, while transport lines do not cross each other. It is, therefore, possible to continuously supply and collect empty racks in a simple configuration, without an increase in the system size, an intersection of transport lines and a reduction in processing capability. In addition, it is possible to provide an automated sample testing system that is highly extendable for various facility sizes.
US08877127B2 Process for control of microbial contamination, mineral suspensions obtained and their uses
The invention concerns a process for disinfection and/or conservation and/or reduction and/or control of microbial contamination of aqueous dispersions and/or aqueous suspensions of mineral matter, providing satisfactory stability in terms of Brookfield™ viscosity for the said aqueous dispersions and/or suspensions of mineral matter. It also concerns the said aqueous dispersions and/or suspensions thus obtained, together with their uses in the mineral, paper and paint industries. Finally it concerns the end products obtained.
US08877121B2 Corrosion resistant lean austenitic stainless steel
An austenitic stainless steel composition having low nickel and molybdenum and exhibiting high corrosion resistance and good formability. The austenitic stainless steel includes, in weight %, up to 0.20 C, 2.0-6.0 Mn, up to 2.0 Si, 16.0-23.0 Cr, 5.0-7.0 Ni, up to 3.0 Mo, up to 3.0 Cu, 0.1-0.35 N, up to 4.0 W, up to 0.01 B, up to 1.0 Co, iron and impurities. The austenitic stainless steel has a ferrite number less than 11 and an MD30 value less than −10° C.
US08877120B2 Device for heat-treating sheet metal strips
A device is described for heat-treating sheet metal strips (6), comprising at least one radiant tube unit (1) which has three tubes disposed in a common plane parallel to the sheet metal strip (6), namely a middle tube (2) that can be connected to a burner and two outer tubes (3) that are connected at both ends to the middle tube (2) by means of tube bends (4), and a bearing (8) on the side of the radiant tube unit (1) opposite the burner for a bearing journal (9) connected to the two tube bends (4) between the middle tube (2) on the one hand and the two outer tubes (3) on the other hand, the bearing journal engaging in a journal receptacle (10) of the bearing (8) in an axially displaceable manner. In order to provide advantageous constructional conditions it is proposed that an insert (16) forming a sliding layer (15) is provided in the support area of the bearing journal (9) between the journal receptacle (10) of the bearing (8) and the bearing journal (9).
US08877118B2 Methods of producing anodes for solid oxide fuel cells
Disclosed are methods of producing Ni/YSZ porous anode bodies for solid oxide fuel cells. According to the methods, a small amount of a nickel compound or salt is used as a pore former. Upon heating in air, the nickel compound or salt is decomposed into nickel oxide and releases gases, resulting in volume shrinkage. Therefore, Ni/YSZ porous bodies having a uniform pore size and reduction products thereof can be produced in an economical manner.
US08877112B2 Modular fabrication systems and methods
The present invention relates to an article fabrication system having a plurality of material deposition tools containing one or more materials useful in fabricating the article, and a material deposition device having a tool interface for receiving one of the material deposition tools. A system controller is operably connected to the material deposition device to control operation of the material deposition device. Also disclosed is a method of fabricating an article using the system of the invention and a method of fabricating a living three-dimensional structure.
US08877111B2 Method for producing a metal lid with guide posts for a container
The invention describes a lid for a container comprising an edge region (2) for sealing and permanent connection to a container body comprising a body portion and a bottom, and a substantially flat central region as a panel or lid surface (3), on which at least one projecting pin-like or post-like guide element (7′, 7″, 8) is formed extending from the panel (3) towards one side or the other substantially parallel to an axis (9) perpendicular to the lid surface. The posts can guide additional elements which are intended to form a functional unit with the lid.
US08877110B2 Method of molding a single-piece hollow shell including perforations
A method of making a single-piece hollow shell is disclosed. A plurality of perforators is inserted into a mold and create an inner mold surface. A material is inserted into the mold to create a perforated hollow shell between the mold, the inner mold surface, and the perforated.
US08877109B1 Crimp-imbalanced fabrics
Crimp-imbalanced fabric systems are accomplished by varying the levels of yarn crimp within a single fabric layer and across layers of a multi-layer fabric system. The method includes developing a crimp in the yarn (utilized for producing a fabric layer) by optionally pulling the yarn through a solution that substantially coats the yarn. The optionally removable coating has a thickness that ensures a proper amount of crimp in the yarn. The tension in the yarn is controlled; the yarn is weaved; and a crimp is applied in the yarn. Once the crimp is applied, families of the crimped yarn are utilized as a single layer or multiple layer system to increase performance attributes including enhanced energy absorption.
US08877103B2 Process for manufacture of a thermochromic contact lens material
Disclosed in this specification is a process for manufacturing a thermochromic contact lens. The process includes (1) selecting a photoinitiator that absorbs at a first wavelength and at least one thermochromic dye that displays substantial absorption at the first wavelength when the dye is at a first temperature and exhibits at least an 80% reduction in absorbance at the first wavelength at a second temperature, (2) maintaining the reaction mixture at the second temperature and (3) providing cure light that includes the first wavelength.
US08877098B2 Methods for sulfate removal in liquid-phase catalytic hydrothermal gasification of biomass
Processing of wet biomass feedstock by liquid-phase catalytic hydrothermal gasification must address catalyst fouling and poisoning. One solution can involve heating the wet biomass with a heating unit to a pre-treatment temperature sufficient for organic constituents in the feedstock to decompose, for precipitates of inorganic wastes to form, for preheating the wet feedstock in preparation for subsequent removal of soluble sulfate contaminants, or combinations thereof. Processing further includes reacting the soluble sulfate contaminants with cations present in the feedstock material to yield a sulfate-containing precipitate and separating the inorganic precipitates and/or the sulfate-containing precipitates out of the wet feedstock. Having removed much of the inorganic wastes and the sulfate contaminants that can cause poisoning and fouling, the wet biomass feedstock can be exposed to the heterogeneous catalyst for gasification.
US08877089B2 Composition for chemical improvement of soil and road base materials
A treatment for soils and road base materials including applying to the soil or road base materials an organosilicon waterproofing agent, and applying to the soil or road base material a soil stabilizer binder including an acrylate ester polymer emulsion.
US08877087B2 Nonflammable compositions comprising fluorinated compounds and use of these compositions
Nonflammable compositions comprising fluorinated compounds selected from the group consisting of hydrofluoroalkanes, hydrofluoroalkenes, partially or perfluorinated aromatic compounds, hydrofluoroethers, and fluoroketones; 1,2-dichloroethylene, especially trans-1,2-dichloroethylene; and a stabilizer. These non-flammable compositions which preferably contain 1,1,1,3,3-pentafluorobutane, can be used especially as solvents for cleaning and defluxing electronic components and for degreasing metals. The compositions further may comprise a propellant, e.g. 1,1,1,2-tetrafluoroethane. These compositions are especially suitable as flushing agent.
US08877085B2 Piezoelectric and/or pyroelectric composite solid material, method for obtaining same and use of such a material
A piezoelectric and/or pyroelectric composite solid hybrid material, includes: a solid dielectric matrix, a filler of at least one inorganic piezoelectric and/or pyroelectric material, wherein the filler includes filiform nanoparticles distributed throughout the volume of the solid dielectric matrix with an amount by volume of less than 50%, and in that the main directions of elongation of the filiform nanoparticles of the inorganic filler distributed in the dielectric matrix have a substantially isotropic distribution in the solid dielectric matrix. Also described is method for manufacturing and using such a hybrid material for producing structural parts and supported films deposited on the surface of such a substrate for: detecting mechanical stress by direct piezoelectric effect; detecting temperature variations by direct pyroelectric effect; creating a mechanical wave by reverse piezoelectric effect in a flexible audio device, in a de-icing device or in a mechanical anti-fouling device; and manufacturing a soundproof material.
US08877084B2 Method for refreshing an acid bath solution
A method for refreshing an acid bath solution includes determining a concentration of phosphoric acid in the acid bath solution and determining a concentration of hydrochloric acid in the acid bath solution. The method further includes calculating a volume of phosphoric acid to add to the acid bath solution to achieve a predetermined concentration of phosphoric acid in the acid bath solution. In addition, the method includes calculating a volume of hydrochloric acid to add to the acid bath solution with the volume of phosphoric acid to increase the acid bath solution to a predetermined volume, and adding the volume of phosphoric acid and the volume of hydrochloric acid to the acid bath solution.
US08877081B2 Etching method and etching apparatus
An etching method of etching a periodic pattern formed by self-assembling a first polymer and a second polymer of a block copolymer that is capable of being self-assembled, the etching method includes supplying a high frequency power which is set such that a great amount of ion energy is distributed within a range smaller than ion energy distribution at which an etching yield of the first polymer is generated and larger than or equal to ion energy distribution at which an etching yield of the second polymer is generated, and supplying a predetermined gas, generating plasma from the supplied gas by the high frequency power, and etching the periodic pattern on a processing target object by using the generated plasma.
US08877079B2 Method and apparatus for manufacturing a semiconductor device
The present invention relates to a method of manufacturing a semiconductor device wherein etching is performed on films on a wafer using a plasma treatment apparatus. In the manufacturing method according to the present invention, a change in the difference between the emission intensities of a first wavelength component and a second wavelength component in plasma is monitored during etching. If the amount of change in the difference per unit time exceeds a predetermined threshold a given number of times in a row, then the flow rate of oxygen introduced to the plasma treatment apparatus is increased or, if the amount of change exceeding the predetermined threshold has not been seen, then the oxygen flow rate is set back to the original value thereof. This series of actions is repeated all the time during a set period of time.
US08877077B2 Method for producing thin, free-standing layers of solid state materials with structured surfaces
A method of printing comprises the steps of: providing a solid state material having an exposed surface; applying an auxiliary layer to the exposed surface to form a composite structure, the auxiliary layer having a stress pattern; subjecting the composite structure to conditions facilitating fracture of the solid state material along a plane at a depth therein; and removing the auxiliary layer and, therewith, a layer of the solid state material terminating at the fracture depth, wherein an exposed surface of the removed layer of solid state material has a surface topology corresponding to the stress pattern.
US08877074B2 Methods of manufacturing microdevices in laminates, lead frames, packages, and printed circuit boards
Systems and methods for producing micromachined devices, including sensors, actuators, optics, fluidics, and mechanical assemblies, using manufacturing techniques of lead frames, substrates, microelectronic packages, printed circuit boards, flex circuits, and rigid-flex materials. Preferred embodiments comprise using methods from post-semiconductor manufacturing to produce three-dimensional and free-standing structures in non-semiconductor materials. The resulting devices may remain part of the substrate, board or lead frame which can then used as a substrate for further packaging electronic assembly operations. Alternatively, the devices may be used as final components that can be assembled within other devices.
US08877073B2 Imprint lithography template
Systems, methods, and processes for forming imprint lithography templates from a multi-layer substrate are described. The multi-layer substrate may include a block copolymer layer positioned on a substrate layer. The block copolymer layer may include two or more domains. At least one domain may have a different composition sensitivity than another domain such that the domains have different reactions to a specific process. Reaction of the domains to the specific process may provide a pattern in the block copolymer layer. The pattern may be transferred into the substrate layer to form the imprint lithography template.
US08877071B2 Angle control of multi-cavity molded components for MEMS and NEMS group assembly
A method of making a mold includes forming spaced mold cavities in a mold body. The mold cavities include geometrically similar portions, but have respective depths below an initial reference surface that vary as a function of position along a particular direction. The mold cavities can be formed using anisotropic etching of preferred crystal directions in single crystal materials such as silicon. A portion of the mold material adjacent the initial reference surface is removed to expose a new reference surface at a tilt angle with respect to the initial reference surface. The modified mold cavities have their respective axes at a new desired tilt angle relative to the new reference surface.
US08877067B2 Method and arrangement for a water treatment
The invention relates to a water treatment using an UV AOP.The invention combines an UV AOP (11) applied to a water containing a free chlorine species (8) with a chemical post treatment (14), a quenching. The quenching (14) is applied to said water (9) after the UV AOP (11) able to reduce a remaining content of said free chlorine species in said water (9).
US08877066B2 Method for monitoring and controlling the chemistry of a ZLD process in power plants
A method for monitoring and controlling the chemistry of a Zero Liquid Discharge (ZLD) process in power plants is described. The method identifies the principal phenomena of precipitation of calcium sulphate and calcium carbonate which can occur in such system and the principal critical sections affected by such precipitation phenomena. The interventions aimed at keeping or restoring the sustainable chemical conditions with respect to the precipitation of calcium sulphate and calcium carbonate in all the critical sections of the ZLD system are then indicated, ensuring saturation ratios of calcium sulphate and calcium carbonate lower than or equal to 1 through time.
US08877058B2 Process for separating solute material from an algal cell feed stream
This invention provides a process for separating solute material from an algal cell feed stream. The algal cell feed stream, which contains the solute material, can be introduced into on portion of a mixer-settler vessel, and a solvent feed stream can be introduced into another portion of the vessel to mix with the algal cell feed stream, with a goal of separating at least a portion of the solute material from the algal feed stream.
US08877055B2 Filter having drain valve with mechanical lock
A filter with a drain valve is provided. The drain valve mounts within an opening of a housing of the filter. The drain valve has a knob mounted to a valve member thereof. At least one locking structure is disposed between the knob and the valve member to mechanically lock the knob to the valve member. Such a configuration reduces the likelihood that the knob will become inadvertently dislodged during operation.
US08877054B2 High capacity suction strainer for an emergency core cooling system in a nuclear power plant
A high capacity suction strainer for a nuclear reactor has a frame, a flow-through plenum, and a filter array. The flow-through plenum is mechanically mounted to the frame and has a plurality of inlets and an outlet. The filter array is also mechanically mounted to the frame and has a plurality of filter groupings in fluid communication with the inlet on the plenum.
US08877052B2 Device for filtration with a set of least one filter cassette
The invention concerns a filtration device comprising a manifold (3) providing fluid connection and mechanical connection comprising a clamping plate (4) movably mounted relative to the manifold (3) and adapted to compress at least one cassette (2) against the manifold (3), means for driving and guiding the clamping plate comprising a rod (6) extending between the manifold (3) and the plate (4), an actuator provided with a body and a member moveable relative to the body, which member is adapted to move through a predetermined travel between an extended position and a retracted position, the body being carried by the manifold (3), and the member carrying the rod (6) and driving the plate (4) via the rod (6) to a clamped position in which the member is in an intermediate retracted position in which it has moved through a shorter travel than the predetermined travel relative to its extended position.
US08877051B2 Time delay for sample collection in chromatography systems
A system and process for chromatography that uses a chromatography column to separate components from a sample received into the column in a mobile phase flowstream and places a second chromatography column between a detector and a collection system that compensates the timing of fraction collection for a delay caused by processing the collection signals generated by the detector. The device and process of the preferred and alternative embodiments add a delay into the flowstream of a chromatographic system, such as LC, HPLC, and SFC. Sample fractions are collected from sample component concentration peaks based upon the chromatographic elution of the sample components.
US08877048B1 Cascading system of floodway stormwater containment basins
A series of cascading basins is excavated along a sloped floodway typically established between adjoining agricultural fields. These basins address problems of stormwater runoff from agricultural lands and certain urban areas where runoff carries sediment, nitrogen, phosphorous and other pollutants into nearby streams, rivers and tidal waters, The cascading basin series begins with basin placement at a higher topographical elevation, followed by basin positioning downwardly along a natural or excavated floodway slope simulating a terraced effect. As upper basins are filled they spill over into basins therebelow. Angular features of basin exit grade and stormwater escape slopes retard egress of stormwater. A lowermost terminal basin includes sand berm, boulders, rip rap and other barriers to retain the polluted runoff. Basins may include vegetation, slag stone layers, and other pollutant treatment elements.
US08877047B2 Drag pool mesh skimmer
The invention comprises a net stretched across the pool surface, either partially or completely, and a pull chord attached to either end. Each pull cord is operated by an individual. The upper edge of the net is attached to a float and the lower edge is weighted to ensure it submerges below the water surface. Two side edges of the net are incorporate a spreader bar to create separation between the top and bottom of the net to create maintain an opening to captures water surface debris.
US08877046B2 System for advanced wash water recycling
The present invention includes a system for recycling wash water, having a first separator configured to separate solids from wash water, a second separator configured to separate oil from wash water, a bioreactor configured to bacterially consume solids from wash water, a third separator configured to further separate solids from wash water, an oxidation chamber configured to sterilize and oxygenate wash water, an aeration tray configured to further oxygenate wash water, a storage tank configured to store wash water, and a pump configured to pump wash water from the storage tank to the bioreactor. The present invention also includes a process and method associated with the use and function of the system.
US08877041B2 Hydrocarbon cracking antenna
An aspect of at least one embodiment of the present invention is a device for cracking heavy hydrocarbons. A linear applicator is positioned within heavy oil containing aromatic molecules. A radio frequency electrical current source is electrically connected to the applicator at a first connection point and a second connection point to create a closed electrical loop. The radio frequency source is configured to apply a signal to the applicator that is sufficient to create a magnetic field and an electric field relative to the axis of the linear applicator. The device also includes a chamber positioned around the applicator generally between the first connection point and the second connection point to concentrate the magnetic field within a region surrounding the applicator and containing the heavy hydrocarbons.
US08877040B2 Hydrotreating process and apparatus relating thereto
One exemplary embodiment can be a process for treating a hydroprocessing fraction. The process can include obtaining a bottom stream from a fractionation zone, and passing at least a portion of the bottom stream to a film generating evaporator zone for separating a first stream containing less heavy polynuclear aromatic compounds than a second stream.
US08877038B2 Electrochemical assay
The invention provides an electrochemical assay for a phenol analyte in a body fluid sample wherein said sample, or fluid therefrom, is contacted with the working electrode of an electrode assembly comprising a working electrode, a counter-electrode, a voltage supply to said working and counter-electrodes and a current meter for determining the current between said working and counter-electrodes, and wherein a first compound capable of reversible oxidation and reduction is disposed at said working electrode, said first compound being capable in either an oxidized or a reduced form of binding to said phenol analyte, characterized in that said first compound in its oxidized or reduced form comprises a group of structure I R1-NH—C*—(C*—C*)n—C*-QR′ (I) (where n is 0 or 1; Q is 0, S, NH or NR′; C*—(C*—C*)n—C* is a two or four carbon string in a conjugated delocalised electron system optionally substituted by a group comprising R; R is an electron-donating or withdrawing substituent; and R′ is H or a group R, at least one group R′ being a group R).
US08877037B2 Device for providing a means for internal calibration in an electrochemical sensor
Internally calibrated pH and other analyte sensors based on redox agents provide more accurate results when the redox active reference agent is in a constant chemical environment, yet separated from the solution being analyzed in such a way as to maintain electrical contact with the sample. Room temperature ionic liquids (RTIL) can be used to achieve these results when used as a salt bridge between the reference material and the sample being analyzed. The RTIL provides the constant chemical environment and ionic strength for the redox active material (RAM) and provides an electrolytic layer that limits or eliminates direct chemical interaction with the sample. A broad range of RAMs can be employed in a variety of configurations in such “Analyte Insensitive Electrode” devices.
US08877032B2 Generation of chemical reagents for various process functions utilizing an agitated liquid and electrically conductive environment and an electro chemical cell
The disclosure teaches an apparatus for circulating electrically conductive solutions between electro-chemical cells. The apparatus is able to decrease the size or surface area of the cells and operate the electro-chemical at reduced amperage. Movement of the solution over the plates also facilitates reduced build up of scale on the plates. The flow rate or treatment dwell time can be controlled.
US08877031B2 Method of electrolytic ceramic coating for metal, electrolysis solution for electrolytic ceramic coating for metal, and metallic material
The electrolysis solution for electrolytic ceramic coating includes water, a water-soluble zirconium compound, a complexing agent, carbonate ion, and at least one member selected from the group consisting of an alkali metal ion, ammonium ion and an organic alkali. Te zirconium compound is included at a concentration (X) in terms of zirconium of 0.0001 to 1 mol/L, the complexing agent is included at a concentration (Y) of 0.0001 to 0.3 mol/L, the carbonate ion is included at a concentration (Z) of 0.0002 to 4 mol/L, a ratio of the concentration (Y) of the complexing agent to the concentration (X) in terms of zirconium (Y/X) is at least 0.01, a ratio of the concentration (Z) of the carbonate ion to the concentration (X) in terms of zirconium (Z/X) is at least 2.5, and the electrolysis solution has an electrical conductivity of 0.2 to 20 S/m.
US08877026B2 Electrode assembly
The electrode assembly is provided with: a rod-like body 1 that extends along a predetermined axis; a substrate that is formed with a through-hole penetrating between a front surface and a back surface and attached to a fore end part of the body; and a sensor chip for electrochemical measurement, which is attached on the back surface of the substrate such that a sensing part is exposed outside from the through-hole, wherein: on the back surface of the substrate, a wiring for obtaining an output signal from the ISFET chip is formed, and the sensor chip is attached to the wiring directly or closely; and the substrate is attached with being inclined with respect to the predetermined axis of the body, and thereby the front surface of the substrate forms at least a part of a fore end surface that is inclined with respect to the predetermined axis.
US08877023B2 Electrochemical-based analytical test strip with intersecting sample-receiving chambers
An electrochemical-based analytical test strip for the determination of an analyte (such as glucose) in a bodily fluid sample (for example, a whole blood sample) and/or a characteristic of the bodily fluid sample (for example, hematocrit) includes a first sample-receiving chamber with first and second sample-application openings, and first and second electrodes. The first and second electrodes are disposed in the first sample-receiving chamber between the first and second sample-application openings. The electrochemical-based analytical test strip also includes a second sample-receiving chamber and a plurality of electrodes disposed in the second sample-receiving chamber. In addition, the second sample-receiving chamber intersects the first sample-receiving chamber between the first and second electrodes, thereby defining a chamber intersection.
US08877021B2 Chromic oxide powder for sputtering target, and sputtering target manufactured from such chromic oxide powder
Provided is chromic oxide powder for a sputtering target comprised of chromic oxide wherein sulfur is 100 wtppm or less. This sputtering target contains chromic oxide of 5 molar % or higher or chromic oxide, wherein the sulfur content in the sputtering target is 100 wtppm or less, and the purity excluding gas components of moisture, carbon, nitrogen and sulfur is 99.95 wt % or higher. The chromic oxide powder for a sputtering target is able to increase the purity of the chromic oxide itself as well as increase the sintered density upon manufacturing a sputtering target. As a result of manufacturing a sputtering target using this chromic oxide powder, the crystal grains are refined, and provided is a uniform and dense sputtering target that does not generate cracks.
US08877009B2 Manufacture of cellulosic pulp sheets
A pulp making process in which fibrous cellulosic material is pulped to form an aqueous suspension of cellulosic material, the suspension is drained through a screen to form a pulp sheet and that the pulp sheet is dried to form a dry market pulp, in which a water soluble cationic polymer is added to the suspension as the sole drainage aid wherein the water-soluble cationic polymer is either, i) a copolymer comprising (a) between 1 and 70 mole % (meth)acrylamide and (b) between 30 and 99 mole % (meth)acryloyloxyethyl-trimethyl ammonium chloride with an intrinsic viscosity between 5 and 9 dl/g; or ii) a hydrolysed homopolymer of vinylformamide comprising between 1 and 100 mole % vinyl amine units and having a K value of between 45 and 240. The process of the invention provides improved drainage time and solids content of the dewatered pulp.
US08877007B2 System and method for reclaiming rejects in sulfite pulping
Systems and methods are provided for refining and reclaiming rejects in a sulfite pulping process. Wood chips and sulfite cooking liquor are provided to a digester for delignification. The slurry obtained from the digester is screened to obtain a reject portion containing sulfite rejects. The sulfite rejects are disintegrated in a refiner, such that the rejects are refined to a freeness suitable for digestion in a subsequent re-cooking step. Refined rejects are then returned to the digester for re-cooking with wood chips.
US08877006B2 Method and apparatus for peeling donor film from substrate
A method and an apparatus for peeling a donor film from a substrate are disclosed. In one embodiment, the donor film peeling apparatus includes i) a first roller disposed on a side of the donor film, ii) a second roller disposed on a side of the support at a position corresponding to the first roller and iii) first and second grippers configured to withdraw the donor film and support, respectively. The apparatus may further include i) first and second peeling rollers engaged with the first and second rollers with the donor film and support withdrawn by the first and second grippers being interposed therebetween to support the withdrawn donor film and support, respectively, and ii) an insertion mechanism disposed in at least one of the first and second peeling rollers and inserted between the bonded donor film and support.
US08877004B2 Plasma processing apparatus and plasma processing method
A dielectric plate 20 is provided at a ceiling surface facing a susceptor 3 of a processing chamber 2, and a slot antenna 30 having a multiple number of microwave transmissive slots 33 is provided on a top surface of the dielectric plate 20. A protrusion member 21 configured as a separate member from the dielectric plate 20 is provided on a peripheral portion of a bottom surface of the dielectric plate 20 so as to prevent an abnormal electric discharge. Electric field intensity in the vicinity of the dielectric plate 20 is controlled by adjusting a gap between an outer peripheral surface 22 of a cylindrical part of the protrusion member 21 and an inner peripheral surface 5a of a sidewall of the processing chamber 2 or by adjusting a thickness of the cylindrical part of the protrusion member 21.
US08877003B2 Method for the plasma cleaning of the surface of a material coated with an organic substance and the installation for carrying out said method
The invention relates to a method of cleaning the surface of a material that is coated with an organic substance. The inventive method is characterized in that it comprises the following steps, consisting in: introducing the material into a treatment chamber, having a pressure of between 10 mbar and 1 bar therein, which is supplied with a gas stream containing at least 90 volume percent of oxygen; and generating a plasma by passing an electric discharge between the surface of the material and a dielectric-covered electrode in order to break down the organic substance under the action of the free radicals O thus produces. The invention also relates to an installation that is used to carry out said method.
US08877000B2 Shower head gas injection apparatus with secondary high pressure pulsed gas injection
A plasma-processing chamber including pulsed gas injection orifices/nozzles utilized in combination with continuous flow shower head injection orifices is described. The continuous flow shower head injection orifices introduce a continuous flow of gas while the pulsed gas injection orifices/nozzles cyclically inject a high-pressure gas into the chamber. In one embodiment, a central computer may monitor and control pressure measurement devices and utilize the measurements to adjust processing parameters (e.g. pulse duration, pulse repetition rate, and the pulse mass flow rate of processing gases).
US08876997B2 Method and apparatus for manufacturing tyres for vehicle wheels
In the field of tire building, underbelt inserts are applied to an expandable support associated with an auxiliary drum on which the belt structure is made, simultaneously with manufacture of a carcass structure on a primary drum. Following expansion of the expandable support, the underbelt inserts are applied to the belt structure that can be directly made on the support itself in an expanded condition. The belt structure together with the underbelt inserts and a tread band are then transferred onto the carcass structure formed on the primary drum to complete building of the tire following shaping of the carcass into a toroidal conformation.
US08876996B2 Method for the manufacture of double-sided metalized ceramic substrates
The invention relates to a method for the manufacture of double-sided metallized ceramic substrates according to the direct-bonding process. The method enables a ceramic substrate to be bonded to a metal plate or foil on the upper side and the underside in only one process sequence. The composite to be bonded is located on a specially designed carrier structured on the upper side with a plurality of contact points. After the bonding process the composite of metal plates and ceramic substrate can be detached from the carrier free of any residue.
US08876995B2 Method and apparatus for joining panels constituting components of motor-vehicle bodies, with quality control
A substantial portion of the peripheral edges of two panels forming a component of a motor-vehicle body, in particular a front bonnet of a motor-vehicle, is joined by interposing a thermosetting adhesive. Polymerization of the adhesive is obtained by heating, without introducing the structure into an oven, by means of one or more induction heating coil, which are shaped and arranged in proximity and along the peripheral edges of the two panels. The quality of the induction heating process is controlled according to signals output by a thermographic detection camera which is positioned adjustable at a point from which it can acquire a thermographic image of the entire extension of said peripheral edges of the panels to be joined, so as to allow simultaneously controlling the temperature reached by each portion of the peripheral edges of the panels.
US08876987B2 High-strength steel sheet and method for manufacturing same
A high strength pressed member has excellent ductility and stretch flangeability and tensile strength of 780-1400 MPa, with a predetermined steel composition and steel microstructure relative to the entire microstructure of steel sheet, where area ratio of martensite 5-70%, area ratio of retained austenite 5-40%, area ratio of bainitic ferrite in upper bainite 5% or more, and total thereof is 40% or more, 25% or more of martensite is tempered martensite, polygonal ferrite area ratio is above 10% and below 50% to the entire microstructure of steel sheet, and average grain size is 8 μm or less, average diameter of a group of polygonal ferrite grains is 15 μm or less, the group of polygonal ferrite grains represented by a group of ferrite grains of adjacent polygonal ferrite grains, and average carbon content in retained austenite is 0.70 mass % or more and tensile strength is 780 MPa or more.
US08876984B2 Dish washing machine
A dish washing machine having a structure allowing water to be evenly ejected onto the interior of a washing tub. The dish washing machine includes a cabinet forming an external appearance of the dish washing machine, a washing tub arranged in the cabinet and allowing dishes to be washed therein, a dish basket arranged in the washing tub, at least one liquid ejection unit arranged in the washing tub to eject water, a defection unit arranged facing the liquid ejection unit to deflect the water ejected from the liquid ejection unit toward the dish basket, and a drive unit to drive the defection unit to be moved within the washing tub. Since the dish washing machine includes a defection unit in addition to a liquid ejection unit, a dead zone which water does not reach may be eliminated and divided and intensive washing may be possible in the washing tub.
US08876983B2 In-line cleaning method for ultrasonic welding tools
A method for in-line cleaning of ultrasonic welding tools is described. The method includes applying cleaning solution onto a work surface of a welding tool to be cleaned using an application device. Then the method involves removing residue dissolved in the cleaning solution from the work surface using a cleaning device. Next, the work surface is neutralized and rinsed using purified water and polished with a cleaning cloth. Finally, the work surface is rinsed using cleaning alcohol and blow-dried with compressed air.
US08876982B2 Warewashing method using a cleaning composition containing low levels of surfactant
Disclosed is a method of washing ware in an automatic institutional warewashing machine wherein the method includes contacting ware with a cleaning composition containing a surfactant and further includes contacting the washed ware in a rinse step with a potable aqueous rinse, the aqueous rinse being substantially free of an intentionally added rinse agent. A surfactant is employed in the wash step in an amount not to exceed 15 wt % based on weight of the detergent. The amount of surfactant is sufficient to provide a layer of surfactant on the ware so as to afford a sheeting action in an aqueous rinse step without any added rinse agent.
US08876976B2 Chemical vapor deposition apparatus for equalizing heating temperature
Disclosed is a chemical vapor deposition apparatus for equalizing a heating temperature, which maintains the heating temperature of a heater provided therein uniform not only on the lower surface of the heater but also on the upper surface thereof, so that a thin film having a uniform thickness is deposited on a wafer. In order to maintain the heating temperature of the heater of the chemical vapor deposition apparatus uniform, the chemical vapor deposition apparatus includes a thermal insulation reflecting plate for reflecting heat from the lower surface of the heater and a heat dissipation member disposed between the thermal insulation reflecting plate and the heater to be in direct contact with the area of the heater having a high temperature, or includes a heat dissipation member mounted underneath the area of the heater having a high temperature. Also, the apparatus includes a depression having a predetermined shape in the surface of the area of the heater having a relatively high temperature coming into direct contact with a wafer, and further includes a heat dissipation member disposed between the thermal insulation reflecting plate and the heater to be in contact with the area of the heater having a high temperature to thus decrease reflected heat, thereby equalizing the temperatures of the upper and lower surfaces of the heater.
US08876969B2 Process and plant for lowering the residual carbon content of ash
A process for lowering the carbon content in ash includes introducing the ash having a carbon content of 1 to 20 wt-% into a reactor where the ash is burnt at a temperature between 700 and 1100° C. Fuel is also introduced into the reactor. During combustion, microwave radiation is fed into the reactor. At least part of the energy released during the combustion is recovered.
US08876968B2 Cement composition based on calcium aluminate cement
The present invention relates to a current composition for cementing oil or gas wells. The composition comprises calcium aluminate cement in which the proportion of HC203 is at least 50% by weight, dispersant, microsilica, mineral particles, water and optionally a retarder.
US08876965B2 Blended opacifier for coatings and polymeric matrices
A composite comprising a silicate material, such as, a sodium aluminosilicate, and titanium dioxide disposed on the surface thereof is disclosed, together with formulations comprising the composite and methods for preparing the same.
US08876962B2 Aqueous ink, ink cartridge, and ink jet recording method
Provided is an aqueous ink capable of recording an image achieving a high level of both of color developability and lightfastness. The aqueous ink includes a first pigment and a second pigment. The first pigment is C.I. Pigment Yellow 213, and the second pigment is at least one selected from the group consisting of C.I. Pigment Yellows 93, 128, 138, 151, 155, 180, and 215. The content A (% by mass) of the first pigment and the content B (% by mass) of the second pigment based on a total mass of the ink satisfy a relationship of 0.1≦A/(A+B)≦0.9. The ink further includes a water-soluble organic solvent having an SP value (unit: (cal/cm3)1/2) determined by Fedors' method of 11.0 or more and 16.0 or less.
US08876952B2 Method of removing mercury from a fluid stream using high capacity copper adsorbents
A method of removing mercury from a fluid stream comprising contacting the fluid stream with a sorbent comprising cupric sulfide. The cupric sulfide is formed from direct sulfidation of copper carbonate, without thermal decomposition of the copper carbonate to an oxide, at a temperature less than about 150° C.
US08876943B2 Apparatus and methods for changing the concentration of gases in liquids
Apparatus for changing the concentration of a selected gas in a liquid, the apparatus comprising a flow chamber through which the liquid is passed and which comprises a wall comprising a planar separation membrane, the separation membrane being substantially impermeable to the liquid and permeable to the selected gas, characterized in that the separation member extends beyond the flow chamber and provides a seal between components of the apparatus. The apparatus is particularly useful for degassing liquids, for example HPLC eluents and analysis samples.
US08876942B2 Systems and methods for removing entrained particulates from gas streams, and reactor systems
Various methods and systems for removing particulates from a cycle gas stream, e.g., in a reactor system, are provided. In certain embodiments, the methods are performed in conjunction with a polymerization reactor system such as a gas-phase reactor system.
US08876938B2 Process for producing sponge titanium
The present invention provides a process for producing sponge titanium, which includes the following steps: Step A: placing aluminum into a resistance furnace, vacuum pumping, introducing inert gas, heating to molten aluminum; Step B: opening a reactor cover, adding a proper amount of potassium fluotitanate to a reactor, leakage detecting after closing the reactor cover, slowly raising the temperature to 150° C., vacuum pumping, and continuously heating to 250° C.; Step C: introducing inert gas into the reactor, continuously raising the temperature to 750° C., stirring uniformly; Step D: opening a valve to adjust the stirring speed, adding molten aluminum drops, and controlling the reaction temperature to 750° C. to 850° C.; Step E: opening the reactor cover, removing a stirring device, eliminating the upper layer of KAlF4 to obtain sponge titanium. The present invention has the beneficial effects of short process flow, low cost, environmental protection and harmlessness.
US08876937B2 Production of nanostructures
Methods of producing nanowires and resulting nanowires are described. In one implementation, a method of producing nanowires includes energizing (i) a metal-containing reagent; (ii) a templating agent; (iii) a reducing agent; and (iv) a seed-promoting agent (SPA) in a reaction medium and under conditions of a first temperature for at least a portion of a first duration, followed by a second temperature for at least a portion of a second duration, and the second temperature is different from the first temperature.
US08876936B2 Engine valve seat and manufacturing method thereof
Disclosed herein is an engine valve seat, including: iron (Fe) as a main component; about 0.6˜1.2 wt % of carbon (C); about 1.0˜3.0 wt % of nickel (Ni); about 8.0˜11.0 wt % of cobalt (Co); about 3.0˜6.0 wt % of chromium (Cr); about 4.0˜7.0 wt % of molybdenum (Mo); about 0.5˜2.5 wt % of tungsten (W); about 1.0˜3.0 wt % of manganese (Mn); about 0.2˜1.0 wt % of calcium (Ca); and other inevitable impurities.
US08876933B2 Core diffuser for deoiler/breather
A breather assembly for use with a gas turbine engine includes a static housing for accepting a fluidic mixture of substances, a rotatable separator having one or more fluid inlets and arranged about an axis of rotation, an exhaust outlet defined in the housing and positioned coaxially with the rotatable separator to accept fluidic exhaust from the rotatable separator, and a static diffuser supported by the housing at or near the exhaust outlet downstream from the rotatable separator. A portion of the static diffuser extends within the rotatable separator. The static diffuser includes a flow-straightening structure configured to reduce vortex flows in fluid flows passing through the exhaust outlet.
US08876932B2 Power tool dust collecting device and power tool
A power tool dust collecting device includes a fan chamber and a dust collecting chamber. The fan chamber is formed in a housing which is mountable on a hammer drill and in which a suction opening is provided protrudingly. The fan chamber houses a fan that rotates in accordance with driving of a motor. The dust collecting chamber is formed on an upstream side of the fan chamber. Mounted on the dust collecting chamber is a filter through which passes air that has been sucked up from the suction opening by the rotation of the fan and which collects dust included in the air. An air pumping unit that pumps air from a downstream side of the filter toward an upstream side is provided inside the housing.
US08876927B2 Radiator screens
A radiator screen arrangement (10) for a combine harvester is provided. A screen (12) through which air, which is to pass through a radiator, is drawn by a fan. Rotating means (16) are provided for rotating the screen. Blanking means (18), past which the screen is rotated, provides a blanked off area of the screen which is not subject to the induction pressure of the fan and from which particles can therefore be more easily removed. The arrangement is characterised in that the blanking means has a leading edge (18a) which is of generally spiral shape so that the particles on the outer surface of the screen which enter the blanked-off area can reach the outer periphery (12d) of the rotating screen without being exposed to the induction pressure of the fan and hence have an improved chance of being detached from the screen.
US08876926B2 Liquid suspensions and powders of cerium oxide particles and preparation and polishing applications thereof
Suspensions of cerium oxide particles, well suited for polishing applications, the particles (secondary particles) of which have an average size of at most 200 nm, these secondary particles are formed from primary particles whose average size measured by TEM is at most 150 nm with a standard deviation of at most 30% of the value of this average size, and for which the ratio of the average size measured by TEM to the average size measured by BET is at least 1.5. Such suspensions are prepared from solutions of a cerium III salt, comprising a colloidal dispersion of cerium IV, which are contacted, in the presence of nitrate ions and under an inert atmosphere, with a base, and the medium obtained is subjected to a thermal treatment under an inert atmosphere and then acidified and washed.
US08876924B2 Oxygenated butanol gasoline composition having good driveability performance
Gasoline blends and methods for producing gasoline blends containing high concentrations of a butanol isomer and having good cold start and warm-up driveability characteristics are disclosed.
US08876920B2 Fuel composition for use in gasoline engines
A fuel composition for use in gasoline engines which has excellent acceleration characteristics at high speeds and excellent fuel consumption. The fuel composition of this invention for use in gasoline engines satisfies the conditions: (1) the research octane number is not less than 90; (2) the density is in the range of from 0.740 to 0.760 g/cm3; (3) the distillation temperature at 50 vol % distilled is in the range of from 95 to 105 ° C., the distillation temperature at 90 vol % distilled is in the range of from 160 to 180° C., and the distillation end point is not more than 220° C.; and (4) the content of aromatic hydrocarbons with 9 or more carbon atoms is in the range of from 12 to 20% by volume, and the indane content is in the range of from 1.5 to 3.0% by volume.
US08876916B2 Solid electrolytic capacitor
A method of manufacturing a solid electrolytic capacitor excellent in reliability, particularly in ESR property, wherein in a solid electrolytic capacitor having a solid electrolyte layer, the solid electrolyte layer has a conductive polymer layer formed by a chemical polymerization method or an electrolytic polymerization method, using a polymerization liquid containing at least a monomer and a dopant-introducing agent. The dopant-introducing agent contains a dopant-introducing agent containing at least alkylammonium ions as a cationic component. The dopant-introducing agent in the polymerization liquid may further contain a dopant-introducing agent containing at least metal ions as a cationic component.
US08876911B2 Delivery device for biological composites and method of preparation thereof
An apparatus for the delivery of a biological composite and a method, kit, and system for preparing a biological composite is described herein. The biological composite includes both inorganic and biological materials.
US08876909B2 Implant sleeve for orthopedic implants
A method for centering a stem of an implant at an implantation site. The method includes implanting at the implantation site the implant having a sleeve rigidly mounted to the stem of the implant, the stem extends through an interior area of the sleeve. The method further includes compressing the sleeve by applying force to the sleeve to expand a mesh portion of the sleeve outward from the stem and into engagement with sidewalls of the implantation site to thereby center the stem at the implantation site.
US08876907B2 Cement pressurizing glenoid
A prosthetic glenoid component has a body having a concave bearing surface and a convex glenoid contacting surface. First and second pegs extend outwardly from adjacent respective first and second ends of the bone contacting surface of the body, the first and second pegs have portions with a first diameter at the bone contacting surface extending for a first length and a second diameter extending from the first diameter for a second length, the first diameter larger than the second diameter. A third peg extends outwardly from the bone contacting surface intermediate the first and second pegs. The third peg has a third diameter greater than the second diameter portion of the first and second pegs and a third length greater than the first length of the first diameter portion of the first and second pegs. The third length is less than the sum of the first and second lengths.
US08876905B2 Minimally invasive corpectomy cage and instrument
An assembly comprising an expandable corpectomy cage and an insertion instrument, wherein the expandable cage comprises an instrument attachment features, including mating holes on the sides of the outer sleeve, and a ball-shaped pocket on the endplate of the inner sleeve, and the insertion instrument features a tuning-fork shaped holder, which attaches to the mating holes on the implant's outer sleeve using small bosses which mate with the holes under the spring tension of the fork, and a lever with a spherical end that mates with the ball-shaped pocket in the inner sleeve endplate.
US08876891B1 Drug eluting stent and a guide catheter device assembly for implanting the same
The present invention relates to a drug eluting stent for delivering therapeutic agents to a body lumen. The stent includes an expandable substrate configured for implantation in a vessel of a human body and a therapeutic agent composition coated over the stent. The balloon catheter shaft has a resilient unit that helps to transmit a force to the distal end, thereby helping to cross lesions.
US08876888B2 Stent with offset cell geometry
A stent defining a longitudinal axis is disclosed. A plurality of circumferential support structures are spaced-apart along the longitudinal axis. At least some of the circumferential support structures are interconnected by connection members that extend generally in a circumferential direction.
US08876886B2 Braided stent to be implanted in a blood vessel
A braided stent to be implanted in a blood vessel comprises a hollow body which is stretchable in its longitudinal direction and whose circumferential surface is formed by a braid of a multiplicity of filamentary elements which, in the expanded state of the braided stent, intersect a plane, perpendicular to the longitudinal direction, at a braiding angle. The braided stent has a smaller braiding angle in a central portion than in its distal and proximal portions which adjoin the central portion in the longitudinal direction.
US08876884B2 Prosthesis and deployment catheter for treating vascular bifurcations
A stepped balloon catheter prosthesis deployment system is disclosed for placement of a prosthesis across an Os opening from a main body lumen to a branch body lumen. The prosthesis comprises a radially expansible support at one end, a circumferentially extending link at the other end and at least one frond extending axially therebetween. The prosthesis is configured to be deployed from a stepped diameter balloon with the support in the branch body lumen, with the circumferentially extending link in the main lumen and the frond extendable across the Os.
US08876883B2 Self-flaring active fixation element for a stent graft
A stent graft includes a stent graft material of tubular shape and annular shaped stent elements coupled to the stent graft material. The stent graft further includes hooked fixation elements, having hook-end portions and coupling-end, circumferentially spaced about an annular shaped spring attachment element, and coupled to the stent graft at apexes of the spring attachment element. Before deployment the hook-end portions of the apexes of the attachment element and the hooked fixation elements attached thereto are compressed within the space bounded by the interior and exterior sides of the spring attachment element and angled laser cut strut inner surfaces under each apex of the spring attachment element are cut at an angle to cause apex and hook rotation at expansion and deployment. At deployment, the apex and hook-end portions rotate outwardly from the stent graft, partially penetrating the body vessel walls in which the stent graft is deployed and actively fixing the stent graft at the position of deployment.
US08876882B2 Cutting stent
This invention is a stent that has cutting bars or blades in the direction of the balloon and longitudinally at five equidistant points along the direction of the stent's body. There are two patterns in this invention. The first pattern has five blades equidistant from the other and run longitudinally along the direction of the stent body and the other pattern has five shorter blades equidistant from the other to constitute one set. Each set is arranged in a staggered pattern from the next set. Furthermore, the edges of stent's blades or bars are angular or beveled and integrated into a stent strut pattern in order to facilitate the entry of blades into a blockage caused by calcium, plaque and/or debris.
US08876881B2 Devices for stent advancement
Devices and methods for stent advancement, including methods for instructing another or others how to advance a stent into an anatomical structure or into a testing/demonstration synthetic structure, such as a polymer tube. The advancement may be achieved by at least two periods of stent engagement that drive a stent distally from a sheath separated by a period of non-engagement.
US08876880B2 Plain woven stents
Methods for treating femoro-popliteal artery of a patient include delivering a self-expanding, woven stent from a delivery system. The methods may include delivering a guidewire to a lesion in the femoro-popliteal artery stem over the guidewire.
US08876878B2 Attachment mechanism for stent release
An attachment mechanism for coupling a stent to a delivery system is disclosed. The attachment mechanism is configured to pivot relative to an inner shaft assembly of the delivery system in order to release the stent from the delivery system.
US08876874B2 Bone screw systems and methods of use
The distal bone screw segment of a bone screw system is driven into bone. In a first state, the assembly permits relative motion between its component parts in order to facilitate the placement and correct alignment of an interconnecting rod that is used to couple multiple bone screw systems and/or other orthopedic devices. In a second state, the assembly provides relative immobilization between the assembly components and the inter-connecting rod.
US08876872B2 Occipito-cervical fixation assembly and method for constructing same
An implantable orthopedic assembly comprises an occipital plate and one or more repositionable clamping assemblies for securing a stabilizing rod to the plate. When unlocked, the clamping assembly may be laterally and rotationally repositioned along a supporting rail. Locking occurs when a stabilizing rod is secured in the clamping assembly. The rod exerts a force upon a locking component, causing the locking component to exert a force upon the supporting rail. This force pulls a surface of the clamping assembly base into contact with the rail, effectively locking the clamping assembly base at a fixed position. The clamping assembly may further comprise a loading component to exert a stabilizing force on the locking component, keeping the clamping assembly positionally stable while adjustments are made prior to locking.