Document Document Title
US08860307B2 Illumination apparatus for dazzle-free illumination, method, use and arrangement comprising the illumination apparatus
An improved illumination apparatus for the dazzle-free illumination of a region monitored by a night vision instrument is provided. The illumination apparatus has an illumination device, which has at least one light-emitting diode chip and a luminous means. The light-emitting diode chip is formed to emit electromagnetic radiation of a first wavelength range. The luminous means is formed to convert the radiation of the first wavelength range into light with light in a second wavelength range. The second wavelength range is shorter than 610 nm.
US08860305B2 Methods for forming fritted cover sheets with masks and glass packages comprising the same
A method for forming a fritted cover sheet for sealing a glass package includes providing a transparent substrate having a sealing surface and a backing surface and forming at least one mask on one of the sealing surface of the substrate or the backing surface of the substrate. A sealing frit may be formed on the sealing surface of the substrate such that the at least one mask is positioned adjacent a perimeter defined by the sealing frit.
US08860296B2 Illumination devices and methods for making the same
The present disclosure is generally directed to illumination devices, and methods for making the same. The device, in particular, includes a first conductor layer, a first insulator layer disposed on the first conductor layer and having at least one first aperture defined therein through the first insulator layer, a second conductor layer disposed on the first insulator layer and having at least one second aperture defined therein through the second conductor layer and positioned to align with the at least one first aperture, and a light manipulation layer disposed on the second conductor layer and having at least one pair of apertures defined therein through the light manipulation layer including a third aperture and a fourth aperture, where the third aperture is positioned to align with the at least one second and first apertures.
US08860293B2 Electron emitting element and method for producing the same
The present invention provides an electron emitting element, comprising: a first electrode; an insulating layer formed on the first electrode and having an opening of through hole; a second electrode formed on the insulating layer, the second electrode being disposed so as to cover at least the opening and face the first electrode via the opening; and a fine particle layer disposed between the first electrode and the second electrode, the fine particle layer being composed of insulating fine particles and conductive fine particles, wherein the insulating layer is disposed between the first electrode and the fine particle layer, or between the second electrode and the fine particle layer, when a voltage is applied between the first electrode and the second electrode, electrons are emitted from the first electrode and accelerated in the fine particle layer to pass through the second electrode.
US08860283B2 Electrostatic actuator of a mobile structure with improved relaxation of trapped charges
The device comprises a first actuating bump made from electrically conducting material with a first contact surface. A second actuating bump made from electrically conducting material is facing the first actuating bump. An electrostatic actuating circuit moves the actuating bumps with respect to one another between a first position and another position. The actuating circuit comprises a device for applying a higher potential on the second actuating bump than on the first actuating bump. A film of electrically insulating material performs electric insulation between the first and second bumps. The electrically insulating material film comprises an interface with a positive ion source and is permeable to said positive ions.
US08860282B2 Two-or three-phase polyphase motor with R pairs of poles and a stator with S trapeziodal shaped poles
The invention concerns a polyphase motor comprising M phases, M being equal to 2 or 3, the motor consisting of a stator part (1) excited by electric coils and by a magnetized rotor (2). The invention is characterized in that the rotor (2) has a disc-shaped magnetized part with R pairs of alternately poles magnetized in alternating directions in the thickness, R being equal to n*(M+1) or 5*n, and said stator part (1) has S poles, some of which excited at least by an electric coil, S being equal to 8*n, for even M, and 9*n for odd M, n being an integer not less than 1.
US08860280B2 Rotor for electric rotating machine and method of manufacturing the same
A rotor includes a rotor core comprised of steel sheets that are laminated in the axial direction of the rotor core and a rotating component configured to rotate together with the rotor core. Each of the steel sheets has a positioning portion for circumferentially positioning the steel sheet with respect to the rotating component and is formed of a rolled steel material. For each of the steel sheets, the direction of rolling of the steel sheet is circumferentially offset from both imaginary lines X and Y by predetermined angles. Each of the steel sheets is shaped so that the circumferential position of the positioning portion thereof remains unchanged when the steel sheet is front-back inverted about the imaginary line X. When viewed along the axial direction, at least one of the steel sheets is front-back inverted with respect to and thus forms a mirror image of the other steel sheets.
US08860272B2 Synchronous generator, especially for wind turbines
A synchronous generator is provided. The generator includes a rotor, which rotates around a machine axis and is concentrically surrounded by a stator with a stator winding. A plurality of permanent magnets and pole pieces are alternatingly arranged at the rim of the rotor with the permanent magnets being oriented in an essentially tangential direction. Torque and power characteristic of the generator are improved by alternating pole pieces and pairs of permanent magnets. The permanent magnets each have a rectangular cross section in the axial direction, the pole pieces each have a symmetric trapezoidal cross section in the axial direction with the symmetry plane of the trapezoid extending radially through the machine axis and the longer parallel side lying outside. The two permanent magnets of each pair of permanent magnets are separated from each other by an intermediate wedge, which corresponds with its cross section to the trapezoidal pole pieces.
US08860269B2 Watertight apparatus for an underwater motor
The present invention relates to a watertight apparatus for an underwater motor, comprising a double oil jacket for preventing high-pressure water from entering the underwater motor along a rotary shaft of the underwater motor. According to the present invention, high-pressure water is prevented from entering the underwater motor along the rotary shaft of the underwater motor even in the case of mechanical devices which operate in deep water, thereby preventing failure in the operation of or damage to mechanical devices caused by the ingress of water. In addition, the oil jacket can be easily replaced, thereby enabling the effective performance of maintenance and repair of mechanical devices.
US08860260B2 High-scan rate positioner for scanned probe microscopy
A system contains a first actuator half containing a first pair of actuator coils and a second pair of actuator coils located above the first pair of actuator coils, wherein the first pair of actuator coils is connected to a first metallic backing. A second actuator half is also providing within the system, which contains a first pair of actuator coils and a second pair of actuator coils located above the first pair of actuator coils, wherein the first pair of actuator coils is connected to a second metallic backing. The system also contains a mechanical flexure suspension having at least one flexure supporting a permanent magnet that is capable of moving, wherein the mechanical flexure suspension is located between the first actuator half and the second actuator half.
US08860252B2 Power storage system, method of controlling the same, and computer readable recording medium storing a program for executing the method
A power storage system for supplying power to a load by coupling a power generation system, a battery, and a grid, the power storage system includes a battery management system (BMS) for controlling charging and discharging of the battery and a power supply circuit for supplying power to the BMS, wherein the power supply circuit is configured to supply external power to the BMS as an operating power of the BMS in a first state in which the external power is applied, and the power supply circuit is configured to supply power of the battery to the BMS as the operating power of the BMS in a second state in which the external power is not applied.
US08860251B2 Power supply system with automatic transfer function and method of controlling the same
A power supply system with an automatic transfer function includes a main-power-loop apparatus, a backup-power-loop apparatus, and a control unit. The main-power-loop apparatus has a first primary relay switch and a first SCR switch. The backup-power-loop apparatus has a second primary relay switch and a second SCR switch. The control unit turns on the first primary relay switch and the first SCR switch when a main power source can normally supply power, thus supplying power to a load via the main-power-loop apparatus by the main power source. The control unit turns on the second primary relay switch and the second SCR switch when the main power source cannot normally supply power, thus supplying power to the load via the backup-power-loop apparatus by a backup power source.
US08860248B2 Under frequency/under voltage detection in a demand response unit
A demand response unit (DRU) interposed between a utility's electrical power line (PL) and at least one load (L1-Ln) to which power is supplied by the utility over the line. A detector (Fdet or Vdet) measures a characteristic (frequency F, voltage V) of an electrical waveform (W) by which power is transmitted by the utility to the load. The detector determines both when the measured frequency or voltage exceeds a predetermined threshold for a first predetermined period of time; and, if the threshold is exceeded, when the frequency or voltage exceeds the second predetermined threshold for a second predetermined period of time. For this purpose, the detector processes a predetermined number of intervals of the waveform and produces an average value of the characteristic which is compared to the threshold. This is done to reduce the effect of extraneous events which may temporarily change the value of the frequency or voltage. A power control (PC) is responsive to an output from the detector to, at least, partially interrupt flow of power to the load during the period of time when the measured frequency or voltage exceeds the threshold, thereby to reduce the load on the utility.
US08860243B2 Power supply device and unit
A power supply device for a unit that is to be supplied with electrical energy and typically arranged on a commercial vehicle trailer, for example. The power supply device comprises an electro-hybrid drive system for a commercial vehicle and a supply unit which is designed to convert a direct voltage, from a high-voltage system of the electro-hybrid drive system, into a three-phase alternating voltage. The supply unit comprises an output which transmit the three-phase alternating voltage for supplying power to the unit. The output is designed to form a standardized interface together with the unit. In addition, the unit which can be connected to the power supply device is one of a refrigerator unit, a concrete mixer, a concrete pump or a shredder.
US08860240B2 Wind wing electrical generator
The Wind Wing Electric Generator is a apparatus for inexpensively converting oscillating rotating mechanical energy into electrical energy.
US08860234B2 Systems and methods for harvesting vibrational energy from vehicles
Disclosed are methods, systems and apparatuses for harvesting vibrational energy from vehicles, as well as methods for locating vibrational energy on a vehicle. One harvesting apparatus comprises a vibrational energy harvester coupled to a substantially maximal vibrational displacement node of the structural element of a vehicle, and tuned to a frequency of vibration of the maximal vibrational displacement node. The harvester may be one of a variety of harvesting devices, such as piezoelectric devices. Methods are also provided to locate structural elements which are appropriate for vibrational energy harvesting.
US08860230B2 Back-side contact formation
In one embodiment, a semiconductor is provided comprising a substrate and a plurality of wiring layers and dielectric layers formed on the substrate, the wiring layers implementing a circuit. The dielectric layers separate adjacent ones of the plurality of wiring layers. A first passivation layer is formed on the plurality of wiring layers. A first contact pad is formed in the passivation layer and electrically coupled to the circuit. A wire is formed on the passivation layer and connected to the contact pad. A through silicon via (TSV) is formed through the substrate, the plurality of wiring and dielectric layers, and the passivation layer. The TSV is electrically connected to the wire formed on the passivation layer. The TSV is electrically isolated from the wiring layers except for the connection provided by the metal wire formed on the passivation layer.
US08860228B2 Electronic device including electrically conductive vias having different cross-sectional areas and related methods
An electronic device may include a bottom interconnect layer having a first electrically conductive via therein. The electronic device may also include an integrated circuit (IC) carried by said bottom interconnect layer, and an encapsulation material on the bottom interconnect layer and surrounding the IC. The encapsulation layer may have a second electrically conductive via therein aligned with the first electrically conductive via. The second electrically conductive via may have a cross-sectional area larger than a cross-sectional area of the first electrically conductive via.
US08860227B2 Semiconductor substrate having dot marks and method of manufacturing the same
A semiconductor substrate having dot marks is provided. Particularly, a semiconductor substrate having dot marks having an improved reading rate is provided. In a semiconductor substrate having a plurality of dot marks formed of recess portions having an inverted frustum shape, the plurality of dot marks constitutes a two-dimensional code disposed in a rectangular region of 0.25 mm2 to 9 mm2, the diameter W of the recess portion on the surface of the semiconductor substrate is 20 μm to 200 μm, is larger than the diameter w of the bottom surface of the recess portion, and is smaller than the thickness of the semiconductor substrate, the side surface of the recess portion has four or more trapezoidal flat taper surfaces, and the taper angle of the taper surface is in a range of 44° to 65° with respect to the surface of the semiconductor substrate.
US08860226B2 Method of manufacturing semiconductor device
A semiconductor device includes a storage node contact plug, a bit line in communication with to the storage node contact plug, and an expansion unit formed on a sidewall of the bit line. Thermal expansion of the expansion unit serves to increase capacitance by ensuring a distance between the bit line and the storage node contact plug, thereby improving a sensing margin. A cell characteristic such as a record recovery time (tWR) may be enhanced.
US08860223B1 Resistive random access memory
A resistive random access memory may include a memory array and a periphery around the memory array. Decoders in the periphery may be coupled to address lines in the array by forming a metallization in the periphery and the array at the same time using the same metal deposition. The metallization may form row lines in the array.
US08860222B2 Techniques for wafer-level processing of QFN packages
Semiconductor package device, such as wafer-level package semiconductor devices, are described that have pillars for providing electrical interconnectivity. In an implementation, the wafer-level package devices include an integrated circuit chip having at least one pillar formed over the integrated circuit chip. The pillar is configured to provide electrical interconnectivity with the integrated circuit chip. The wafer-level package device also includes an encapsulation structure configured to support the pillar.
US08860218B2 Semiconductor device having improved contact structure
A semiconductor die includes a first contact stack including a first die pad having a first pad perimeter, a first via through a dielectric layer to the first die pad having a first via perimeter, and a first UBM pad contacting the first die pad through the first via having a first UBM pad perimeter. A second contact stack includes a second die pad having a second pad perimeter shorter than the first pad perimeter, a second via through the dielectric layer to the second die pad having a second via perimeter shorter than the first via perimeter, and a second UBM pad contacting the second die pad through the second via having a second UBM pad perimeter that is shorter than the first UBM pad perimeter.
US08860215B2 Semiconductor device and method of manufacturing the same
A semiconductor device has a wiring substrate, a first semiconductor chip, a second semiconductor chip, and a sealing member. The second semiconductor chip has a chip-layered structure with a plurality of semiconductor chip components stacked in the height direction of the semiconductor device. The first semiconductor chip has an upper surface located at the same height from a surface of the wiring substrate as an upper surface of the second semiconductor chip.
US08860213B2 Power converter
A power converter including: a plurality of semiconductor devices forming a power conversion circuit; a base section to which the plurality of semiconductor devices are attached; and radiating fins dissipating heat generated from the semiconductor devices into outside air, in the power converter in which the direction of the flow of a refrigerant flowing into the radiating fins changes depending on the operation status of the power conversion circuit, the shape of each radiating fin changes in such a way that the cross-sectional area of a channel of the refrigerant on the outflow side becomes smaller than the cross-sectional area of the channel of the refrigerant on the inflow side in the radiating fins depending on the direction of the flow of the refrigerant.
US08860203B2 Stretchable base plate and stretchable organic light-emitting display device
A stretchable organic light-emitting display device includes a stretchable base plate including a stretchable substrate, first metal electrodes that are separated from each other and located in a plurality of rows on a the stretchable substrate, and first power wirings electrically coupling respective ones of the metal electrodes of each row, a light-emitting layer on the stretchable base plate, second metal electrodes located in a plurality of rows on the light-emitting layer and corresponding to the first metal electrodes, second power wirings for electrically coupling respective ones of the second metal electrodes of each row, and an encapsulation substrate covering the second power wiring.
US08860202B2 Chip stack structure and manufacturing method thereof
A chip stack structure and a manufacturing method thereof are provided. The chip stack structure comprises a first chip, a second chip and a vertical conductive line. The second chip is disposed above the first chip. The vertical conductive line is electrically connected to the first chip and the second chip. The vertical conductive line is disposed at the outside of a projection area of the first chip and the second chip.
US08860195B2 Apparatus and method for increasing the quantity of discrete electronic components in an integrated circuit package
An apparatus and method for incorporating discrete passive components into an integrated circuit package. A first surface of a substrate is coated with a material to mechanically protect the first surface. A first metal layer and then an insulating layer are formed on a second surface of the substrate. Selected areas are removed from the insulating and a second metal layer is formed over the insulating layer and the exposed metal layer. Selected areas of the second metal layer are removed to form a plurality of structures, including at least one of a wirebonding pad, a solder-bonding pad, a device interconnect circuit, or an attach pad to which an electronic component may be attached. An electronic component may be attached to at least one of the structures. The resulting integrated circuit die may be incorporated into an electronic package.
US08860189B2 PIN diode
Provided is a PIN diode that can suppress thermal destruction from occurring at the time of a reverse bias exceeding a breakdown voltage by current concentration on a curved part of an anode region. The PIN diode is configured to have: a semiconductor substrate 11 that includes an N+ semiconductor layer 1 and an N− semiconductor layer 2; a cathode electrode 18 that is formed on an outer surface of the N+ semiconductor layer 1; a main anode region 16, a separated anode region 15, and an anode connecting region that are formed by selectively diffusing P-type impurities from an outer surface of the N− semiconductor layer 2; and an anode electrode 17 that is formed on the main anode region 16.
US08860188B2 Semiconductor device having a wafer level through silicon via (TSV)
A semiconductor device is disclosed allowing detection of a connection state of a Through Silicon Via (TSV) at a wafer level. The semiconductor device includes a first line formed over a Through Silicon Via (TSV), a second line formed over the first line, and a first power line and a second power line formed over the same layer as the second line. Therefore, the semiconductor device can screen not only a chip-to-chip connection state after packaging completion, but also a connection state between the TSV and the chip at a wafer level, so that unnecessary costs and time encountered in packaging of a defective chip are reduced.
US08860186B2 Method for manufacturing an integrated circuit comprising vias crossing the substrate
A method for forming an integrated circuit including the steps of: forming electronic components on a first surface of a substrate; forming a stack of interconnection levels on the first surface, each interconnection level including conductive tracks separated by an insulating material; forming at least one hole from a second surface of the substrate, opposite to the first surface, the hole stopping on one of the conductive tracks; depositing, on the walls and the bottom of the hole, a conductive layer and filling the remaining space with a filling material; and forming, in an interconnection level or at the surface of the interconnection stack, and opposite to said at least one hole, at least one region of a material having a modulus of elasticity greater than 50 GPa and an elongation at break greater than 20%, insulated from the conductive tracks.
US08860181B2 Thin film resistor structure
A thin film resistor structure includes a substrate, a flat bottom ILD (inter layer dielectric) disposed on the substrate, a plurality of first contacts disposed in the bottom ILD, and each top surface of the first contacts is on the same level as a top surface of the bottom ILD; a flat top ILD disposed on the bottom ILD, a plurality of second contacts disposed in the top ILD, and each top surface of the second contacts is on the same level as a top surface of the top ILD, and a thin film resistor disposed between the bottom ILD and the top ILD.
US08860180B2 Inductor structure with a current return encompassing a coil
An inductor structure implemented within a semiconductor integrated circuit includes a coil of conductive material including at least one turn and a current return encompassing the coil. The current return is formed of a plurality of interconnected metal layers of the semiconductor integrated circuit.
US08860179B2 Inductive loop formed by through silicon via interconnection
The present invention discloses an inductive element formed by through silicon via interconnections. The inductive element formed by means of the special through silicon via interconnection by using through silicon via technology features advantages such as high inductance and density. Moreover, the through silicon via interconnection integrated process forming the inductive element is compatible with the ordinary through silicon interconnection integrated process without any other steps, thus making the process simple and steady. The inductive element using the present invention is applicable to the through silicon via package manufacturing of various chips, especially the package manufacturing of power control chips and radio-frequency chips.
US08860175B2 Fuse of semiconductor device and method for forming the same
A fuse of a semiconductor device and a method for forming the same are disclosed. The fuse includes a first metal line formed over a semiconductor substrate, a second metal line spaced apart from the first metal line, and a contact fuses formed of a metal contact coupled to the first metal line and the second metal line. Upper parts of the contact fuses overlap with each other, and lower parts are spaced apart from each other. Since the fuse is formed of a metal contact, fuse oxidation and fuse movement can be prevented. A conventional metal-contact fabrication process can be used, so that mass production of semiconductor devices is possible. In addition, the fuse region is reduced in size, reducing production costs.
US08860174B2 Recessed antifuse structures and methods of making the same
Antifuses having two or more materials with differing work function values may be fabricated as recessed access devices and spherical recessed access devices for use with integrated circuit devices and semiconductor devices. The use of materials having different work function values in the fabrication of recessed access device antifuses allows the breakdown areas of the antifuse device to be customized or predicted.
US08860168B2 Schottky isolated NMOS for latch-up prevention
An integrated circuit structure includes a substrate, a semiconductor device supported by the substrate, and a guard ring structure disposed around the semiconductor device, the guard ring structure forming a Schottky junction. In an embodiment, the Schottky junction is formed from a p-type metal contact and an n-type guard ring. In an embodiment, the guard ring structure is electrically coupled to a positive or negative supply voltage.
US08860165B2 Wavelength conversion-type photovoltaic cell sealing material and photovoltaic cell module using the same
The present invention provides a wavelength conversion-type photovoltaic cell sealing material, the sealing material including at least one light emitting layer containing a group of spherical phosphors, the group of spherical phosphors having a ratio of a median value D50 of the group of spherical phosphors to a total thickness t of the light emitting layer of from 0.1 to 1.0, where the median value D50 is a median value of a volume particle size distribution of the group of spherical phosphors, and an integrated value N of a number particle size distribution from D25 to D75 of the group of spherical phosphors being 5% or more, when D25 is a particle size value at 25% of an integrated value of the volume particle size distribution of the group of spherical phosphors and D75 is a particle size value at 75% of the integrated value of the volume particle size distribution of the group of spherical phosphors; and a photovoltaic cell module including the sealing material.
US08860163B2 Optical structure of semiconductor photomultiplier and fabrication method thereof
Disclosed is an optical structure formed in an upper side of a semiconductor photomultiplier having a plurality of microcells. The optical structure includes: a first dielectric body formed in an upper side of a dead area between light receiving areas of the respective microcells and having a cross-sectional structure in which a lower side is wider than an upper side; and a second dielectric body formed in the upper side of the light receiving area of each microcell and having a cross-sectional structure in which a lower side is narrower than an upper side, and a refractive index of the second dielectric body is higher than that of the first dielectric body.
US08860153B2 Semiconductor packages, systems, and methods of formation thereof
In accordance with an embodiment of the present invention, a semiconductor package includes a current rail comprising a first contact area and a second contact area, a first groove and a second groove, and a magnetic field generating portion. Along a current flow direction, the first groove is disposed between the first contact area and the magnetic field generating portion and the second groove is disposed between the magnetic field generating portion and the second contact area. The thickness of the current rail at the first groove is smaller than the thickness of the current rail at the first contact area.
US08860151B2 Semiconductor device having a spacer and a liner overlying a sidewall of a gate structure and method of forming the same
A semiconductor device includes a gate structure over a substrate. The device further includes an isolation feature in the substrate and adjacent to an edge of the gate structure. The device also includes a spacer overlying a sidewall of the gate structure. The spacer has a bottom lower than a top surface of the substrate.
US08860150B2 Metal gate structure
The metal gate structure of the present invention can include a TiN complex, and the N/Ti proportion of the TiN complex is decreased from bottom to top. In one embodiment, the TiN complex can include a single TiN layer, which has an N/Ti proportion gradually decreasing from bottom to top. In another embodiment, the TiN complex can include a plurality of TiN layers stacking together. In such a case, the lowest TiN layer has a higher N/Ti proportion than the adjusted TiN layer.
US08860149B2 Semiconductor device manufacturing method and semiconductor device
A semiconductor device including a transistor formed on a first surface of a silicon layer; a first insulating film formed on the first surface of said silicon layer and covering said transistor; a wiring section formed in the first insulating film and electrically connected to the transistor; a supporting substrate formed on a surface of the first insulating film with a second insulating film interposed between the supporting substrate and the first insulating film; and an adjusting insulating film for adjusting a threshold voltage of said transistor, the adjusting insulating film being formed on a second surface of said silicon layer opposing the first surface of said silicon layer. Some embodiments may include a probing electrode electrically connected to the transistor and an opening in the silicon layer for exposing the probing electrode.
US08860148B2 Structure and method for FinFET integrated with capacitor
The present disclosure provides one embodiment of a semiconductor structure that includes a semiconductor substrate having a first region and a second region; a shallow trench isolation (STI) feature formed in the semiconductor substrate. The STI feature includes a first portion disposed in the first region and having a first thickness T1 and a second portion disposed in the second region and having a second thickness T2 greater than the first depth, the first portion of the STI feature being recessed from the second portion of the STI feature. The semiconductor structure also includes a plurality of fin active regions on the semiconductor substrate; and a plurality of conductive features disposed on the fin active regions and the STI feature, wherein one of the conductive features covers the first portion of the STI feature in the first region.
US08860145B2 Semiconductor device, integrated circuit including the semiconductor device, control IC for switching power supply and the switching power supply
A semiconductor device incorporates a resistor on a structure that uses diffusion layers for sustaining the breakdown voltage thereof to realizes a very resistive element that exhibits a high breakdown voltage and high electrical resistance, includes a spiral very resistive element buried in an interlayer insulator film. A first end of the very resistive element is connected to a drain electrode wiring and the second end of the very resistive element is grounded. An intermediate point of the very resistive element is connected to ae voltage comparator of a control IC. The semiconductor device according to the invention facilitates reducing the components parts costs, assembly costs and size of a switching power supply that includes a very resistive element.
US08860144B2 Power semiconductor device
In general, according to one embodiment, a power semiconductor device includes a first pillar region, a second pillar region, and an epitaxial layer of a first conductivity type on a first semiconductor layer. The first pillar region is composed of a plurality of first pillar layers of a second conductivity type and a plurality of second pillar layers of the first conductivity type alternately arranged along a first direction. The second pillar region is adjacent to the first pillar region along the first direction and includes a third pillar layer of the second conductivity type, a fourth pillar layer of the first conductivity type, and a fifth pillar layer of the second conductivity type in this order along the first direction. A plurality of second base layers of the second conductivity type electrically connected, respectively, onto the third pillar layer and the fifth pillar layer and spaced from each other.
US08860142B2 Method and apparatus to reduce thermal variations within an integrated circuit die using thermal proximity correction
A method (and semiconductor device) of fabricating a semiconductor device utilizes a thermal proximity correction (TPC) technique to reduce the impact of thermal variations during anneal. Prior to actual fabrication, a location of interest (e.g., a transistor) within an integrated circuit design is determined and an effective thermal area around the location is defined. Thermal properties of structures intended to be fabricated within this area are used to calculate an estimated temperature that would be achieved at the location of interest from a given anneal process. If the estimated temperature is below or above a predetermined target temperature (or range), TPC is performed. Various TPC techniques may be performed, such as the addition of dummy cells and/or changing dimensions of the structure to be fabricated at the location of interest (resulting in an modified thermally corrected design, to suppress local variations in device performance caused by thermal variations during anneal.
US08860141B2 Layout to minimize FET variation in small dimension photolithography
A semiconductor chip has shapes on a particular level that are small enough to require a first mask and a second mask, the first mask and the second mask used in separate exposures during processing. A circuit on the semiconductor chip requires close tracking between a first and a second FET (field effect transistor). For example, the particular level may be a gate shape level. Separate exposures of gate shapes using the first mask and the second mask will result in poorer FET tracking (e.g., gate length, threshold voltage) than for FETs having gate shapes defined by only the first mask. FET tracking is selectively improved by laying out a circuit such that selective FETs are defined by the first mask. In particular, static random access memory (SRAM) design benefits from close tracking of six or more FETs in an SRAM cell.
US08860138B2 Strained thin body CMOS device having vertically raised source/drain stressors with single spacer
A method of forming a transistor device includes forming a patterned gate structure over a semiconductor substrate; forming a spacer layer over the semiconductor substrate and patterned gate structure; removing horizontally disposed portions of the spacer layer so as to form a vertical sidewall spacer adjacent the patterned gate structure; and forming a raised source/drain (RSD) structure over the semiconductor substrate and adjacent the vertical sidewall spacer, wherein the RSD structure has a substantially vertical sidewall profile so as to abut the vertical sidewall spacer and produce one of a compressive and a tensile strain on a channel region of the semiconductor substrate below the patterned gate structure.
US08860136B2 Semiconductor device and method of manufacturing a semiconductor device
A semiconductor device includes a transistor, formed in a semiconductor substrate having a first main surface. The transistor includes a source region, a drain region, a channel region, a drift zone, and a gate electrode being adjacent to the channel region, the gate electrode configured to control a conductivity of a channel formed in the channel region. The channel region and the drift zone are disposed along a first direction between the source region and the drain region, the first direction being parallel to the first main surface. The channel region has a shape of a ridge extending along the first direction and the drift zone including a superjunction layer stack.
US08860133B2 Semiconductor component
A semiconductor component is disclosed. One embodiment provides a semiconductor body having a cell region with at least one zone of a first conduction type and at least one zone of a second conduction type in a rear side. A drift zone of the first conduction type in the cell region is provided. The drift zone contains at least one region through which charge carriers flow in an operating mode of the semiconductor component in one polarity and charge carriers do not flow in an operating mode of the semiconductor component in an opposite polarity.
US08860132B2 Semiconductor device arrangement comprising a semiconductor device with a drift region and a drift control region
A semiconductor device includes a source region, a drain region, a body region, and a drift region. The drift region is arranged between the body and the drain and the body is arranged between the source and the drift region in a semiconductor body. A gate electrode is adjacent the body and dielectrically insulated from the body by a gate dielectric. A drift control region is adjacent the drift region and dielectrically insulated from the drift region by a drift control region dielectric. A drain electrode adjoins the drain. The device also includes an injection control region of the same doping type as the drain, but more lowly doped. The injection control region adjoins the drift control region dielectric, extends in a first direction along the drift control region, and adjoins the drain in the first direction and an injection region in a second direction different from the first direction.
US08860130B2 Charged balanced devices with shielded gate trench
This invention discloses a semiconductor power device disposed on a semiconductor substrate includes a plurality of deep trenches with an epitaxial layer filling said deep trenches and a simultaneously grown top epitaxial layer covering areas above top surface of said deep trenches over the semiconductor substrate. A plurality of trench MOSFET cells disposed in said top epitaxial layer with the top epitaxial layer functioning as the body region and the semiconductor substrate acting as the drain region whereby a super-junction effect is achieved through charge balance between the epitaxial layer in the deep trenches and regions in the semiconductor substrate laterally adjacent to the deep trenches. Each of the trench MOSFET cells further includes a trench gate and a gate-shielding dopant region disposed below and substantially aligned with each of the trench gates for each of the trench MOSFET cells for shielding the trench gate during a voltage breakdown.
US08860126B2 Semiconductor device with a low ohmic current path
A semiconductor device includes a semiconductor substrate having a main horizontal surface, a back surface arranged opposite the main horizontal surface, a vertical transistor structure including a doped region and a control electrode arranged next to the main horizontal surface, an insulating region arranged at or close to the back surface, a deep vertical trench extending from the main horizontal surface through the semiconductor substrate and to the insulating region, an insulating layer arranged on a side wall of the deep vertical trench, and a low ohmic current path extending at least partially along the insulating layer and between the main horizontal surface and the back surface. A first metallization is in ohmic contact with the doped region and arranged on the main horizontal surface. A control metallization is arranged on the back surface and in ohmic contact with the control electrode via the low ohmic current path.
US08860123B1 Memory device having multiple dielectric gate stacks with first and second dielectric layers and related methods
A memory device may include a semiconductor substrate, and a memory transistor in the semiconductor substrate. The memory transistor may include source and drain regions in the semiconductor substrate and a channel region therebetween, and a gate stack having a first dielectric layer over the channel region, a second dielectric layer over the first dielectric layer, a first diffusion barrier layer over the second dielectric layer, a first electrically conductive layer over the first diffusion barrier layer, a second diffusion barrier layer over the first electrically conductive layer, and a second electrically conductive layer over the second diffusion barrier layer. The first and second dielectric layers may include different dielectric materials, and the first diffusion barrier layer may be thinner than the second diffusion barrier layer.
US08860122B1 Nonvolatile charge trap memory device having a high dielectric constant blocking region
A nonvolatile charge trap memory device is described. The device includes a substrate having a channel region and a pair of source and drain regions. A gate stack is above the substrate over the channel region and between the pair of source and drain regions. The gate stack includes a high dielectric constant blocking region.
US08860121B2 Semiconductor device having upper layer portion of semiconductor substrate divided into a plurality of active areas
A semiconductor memory device includes: a semiconductor substrate; a plurality of element isolation insulators disposed in parts of an upper layer portion of the semiconductor substrate and dividing the upper layer portion into a plurality of active areas extended in one direction; tunnel insulating films provided on the active areas: charge storage members provided on the tunnel insulating films; and control gate electrodes provided on the charge storage members. A width of a middle portion of one of the active areas in the up-to-down direction being smaller than a width of a portion of the active areas upper of the middle portion and a width of a portion of the active areas below the middle portion.
US08860119B2 Nonvolatile memory device and method for fabricating the same
A nonvolatile memory device includes a substrate including a surface, a channel layer formed on the surface of the substrate, which protrudes perpendicularly from the surface, and a plurality of interlayer dielectric layers and a plurality of gate electrode layers alternately stacked along the channel layer, wherein the plurality of gate electrode layers protrude from the plurality of interlayer dielectric layers.
US08860116B2 Nonvolatile semiconductor memory and manufacturing method thereof
A nonvolatile semiconductor memory of an aspect of the present invention including a plurality of first active areas which are provided in the memory cell array side-by-side in a first direction and which have a dimension smaller than a fabrication limit dimension obtained by lithography, a second active area provided between the first active areas adjacent in the first direction, a memory cell unit which is provided in each of the plurality of first active areas and which has memory cells and select transistors, and a linear contact which is connected to one end of the memory cell unit and which extends in the first direction, wherein an area in which the linear contact is provided is one semiconductor area to which the plurality of first active areas are connected by the plurality of second active areas, and the bottom surface of the linear contact is planar.
US08860112B2 finFET eDRAM strap connection structure
A method of forming a strap connection structure for connecting an embedded dynamic random access memory (eDRAM) to a transistor comprises forming a buried oxide layer in a substrate, the buried oxide layer defining an SOI layer on a surface of the substrate; forming a deep trench through the SOI layer and the buried oxide layer in the substrate; forming a storage capacitor in a lower portion of the deep trench; conformally doping a sidewall of an upper portion of the deep trench; depositing a metal strap on the conformally doped sidewall and on the storage capacitor; forming at least one fin in the SOI layer, the fin being in communication with the metal strap; forming a spacer over the metal strap and over a juncture of the fin and the metal strap; and depositing a passive word line on the spacer.
US08860107B2 FinFET-compatible metal-insulator-metal capacitor
At least one semiconductor fin for a capacitor is formed concurrently with other semiconductor fins for field effect transistors. A lower conductive layer is deposited and lithographically patterned to form a lower conductive plate located on the at least one semiconductor fin. A dielectric layer and at least one upper conductive layer are formed and lithographically patterned to form a node dielectric and an upper conductive plate over the lower conductive plate as well as a gate dielectric and a gate conductor over the other semiconductor fins. The lower conductive plate, the node dielectric, and the upper conductive plate collectively form a capacitor. The finFETs may be dual gate finFETs or trigate finFETs. A buried insulator layer may be optionally recessed to increase the capacitance. Alternately, the lower conductive plate may be formed on a planar surface of the buried insulator layer.
US08860105B2 Spin-current switched magnetic memory element suitable for circuit integration and method of fabricating the memory element
A spin-current switched magnetic memory element includes a plurality of magnetic layers, at least one of the plurality of magnetic layers having a perpendicular magnetic anisotropy component and including a current-switchable magnetic moment, and at least one barrier layer formed adjacent to the plurality of magnetic layers. The plurality of magnetic layers includes at least one composite layer.
US08860104B2 Semiconductor device and method for manufacturing same
According to one embodiment, a semiconductor device includes, a semiconductor substrate including a plurality of fins formed in an upper surface of the semiconductor substrate in a first region to extend in a first direction, a first gate electrode extending in a second direction intersecting the first direction to straddle the fins, a first gate insulating film provided between the first gate electrode and the fins, a second gate electrode provided on the semiconductor substrate in the second region; and a second gate insulating film provided between the semiconductor substrate and the second gate electrode. A layer structure of the first gate electrode is different from a layer structure of the second gate electrode.
US08860103B2 Semiconductor memory device
A semiconductor memory device according to an embodiment includes: a plurality of magnetic tunnel junction elements arranged on a semiconductor substrate; and a plurality of selection transistors electrically connected to first ends of the plurality of magnetic tunnel junction elements. A plurality of first bit lines are respectively connected to the first ends of the magnetic tunnel junction elements via one or more of the selection transistors. A plurality of upper electrodes are respectively connected to second ends of the plurality of magnetic tunnel junction elements. A plurality of second bit lines are respectively connected to the second ends of the magnetic tunnel junction elements via the upper electrodes. The upper electrodes extend along the second bit lines, and one of the upper electrodes is commonly connected to the second ends of the plurality of magnetic tunnel junction elements arranged in an extending direction of the second bit lines.
US08860098B2 Vjfet devices
The present disclosure describes structures and processes to produce high voltage JFETs in wide-bandgap materials, most particularly in Silicon Carbide. The present disclosure also provides for products produced by the methods of the present disclosure and for apparatuses used to perform the methods of the present disclosure.
US08860095B2 Interconnect wiring switches and integrated circuits including the same
An electronic circuit, includes a plurality of electronic devices configured as interconnected to provide one or more circuit functions and at least one interconnect structure that includes a first patterned conductor connected to a terminal of a first electronic device in the electronic circuit. A second patterned conductor is connected to a terminal of a second electronic device in the electronic circuit. A first electrode is connected to a portion of the first patterned conductor, and a second electrode is connected to a portion of the second patterned conductor. A metal oxide region is formed between the first electrode and the second electrode. The metal oxide region provides a reprogrammable switch function between the first patterned conductor and the second patterned conductor by providing a conductivity that is selectively controlled by a direction and an amount of current that passes through the metal oxide region during a switch setting operation for the metal oxide region.
US08860093B2 Semiconductor device and radio communication device
A technology which allows a reduction in the thermal resistance of a semiconductor device used in a radio communication device, and the miniaturization thereof is provided. For example, the semiconductor device can include a plurality of unit transistors Q, transistor formation regions 3a, 3b, and 3e each having a first number (e.g., seven) of the unit transistors Q, and transistor formation regions 3c and 3d each having a second number (e.g., four) of the unit transistors Q. The transistor formation regions 3c and 3d are located between the transistor formation regions 3a, 3b, 3e, and 3f, and the first number is larger than the second number.
US08860092B1 Metallic sub-collector for HBT and BJT transistors
A heterojunction bipolar transistor having an emitter, a base, and a collector, the heterojunction bipolar transistor including a metallic sub-collector electrically and thermally coupled to the collector wherein the metallic sub-collector comprises a metallic thin film, and a collector contact electrically connected to the metallic sub-collector.
US08860089B2 High electron mobility transistor and method of manufacturing the same
According to example embodiments, a higher electron mobility transistor (HEMT) may include a first channel layer, a second channel layer on the first channel layer, a channel supply on the second channel layer, a drain electrode spaced apart from the first channel layer, a source electrode contacting the first channel layer and contacting at least one of the second channel layer and the channel supply layer, and a gate electrode unit between the source electrode and the drain electrode. The gate electrode unit may have a normally-off structure. The first and second channel layer form a PN junction with each other. The drain electrode contacts at least one of the second channel layer and the channel supply layer.
US08860088B2 Semiconductor structure and method of forming the same
A semiconductor structure includes a first III-V compound layer. A second III-V compound layer is disposed on the first III-V compound layer and different from the first III-V compound layer in composition. A carrier channel is located between the first III-V compound layer and the second III-V compound layer. A source feature and a drain feature are disposed on the second III-V compound layer. A gate electrode is disposed over the second III-V compound layer between the source feature and the drain feature. Two slanted field plates are disposed on the two side walls of the combined opening of the opening in a protection layer and the opening in a dielectric cap layer disposed on the second III-V compound layer.
US08860085B2 III-nitride semiconductor device
A III-nitride heterojunction power semiconductor device having a barrier layer that includes a region of reduced nitrogen content.
US08860083B1 Low noise hybridized detector using charge transfer
A low noise infrared photodetector has an epitaxial heterostructure that includes a photodiode and a transistor. The photodiode includes a high sensitivity narrow bandgap photodetector layer of first conductivity type, and a collection well of second conductivity type in contact with the photodetector layer. The transistor includes the collection well, a transfer well of second conductivity type that is spaced from the collection well and the photodetector layer, and a region of first conductivity type between the collection and transfer wells.
US08860080B2 Interface protection device with integrated supply clamp and method of forming the same
Protection circuit architectures with integrated supply clamps and methods of forming the same are provided herein. In certain implementation, an integrated circuit interface protection device includes a first diode protection structure and a first thyristor protection structure electrically connected in parallel between a signal pin a power high supply. Additionally, the protection device includes a second diode protection structure and a second thyristor protection structure electrically connected in parallel between the signal pin and a power low supply. Furthermore, the protection device includes a third diode protection structure and a third thyristor protection structure electrically connected in parallel between the power high supply and the power low supply. The third thyristor protection structure and the third diode protection structure are synthesized as part of the integrated circuit interface and can share at least a portion of the wells and/or diffusion regions associated with the first and second thyristor protection structures.
US08860077B2 Light emitting device and light emitting device package including the same
Provided are a light emitting device and a light emitting device package comprising the same. The light emitting device comprises a first conductive type semiconductor layer, a second conductive type semiconductor layer, and an active layer. The active layer is formed between the first conductive type semiconductor layer and the second conductive type semiconductor layer. Here, at least one of the first conductive type semiconductor layer and the second conductive type semiconductor layer has current spreading structures comprising a pair of a first conductive layer and a second conductive layer and is disposed in a sequence of the second conductive layer and the first conductive layer from the active layer.
US08860076B2 Optical semiconductor sealing curable composition and optical semiconductor apparatus using this
There are provided an optical semiconductor sealing curable composition that provides a cured material having excellent transparency, and an optical semiconductor apparatus having an optical semiconductor device sealed using the cured material obtained by curing the optical semiconductor sealing curable composition. There is provided an optical semiconductor sealing curable composition containing: (A) a linear polyfluoro compound; (B) cyclic organosiloxane having an SiH group and a fluorine-containing organic group; (C) a platinum group metal catalyst; (D) cyclic organosiloxane having an SiH group, fluorine-containing organic group, and an epoxy group; and (E) cyclic organosiloxane having an SiH group, a fluorine-containing organic group, and a cyclic carboxylic acid anhydride residue.
US08860075B2 Semiconductor light emitting device
According to one embodiment, a semiconductor light emitting device includes a semiconductor layer, a p-side electrode, an n-side electrode, a p-side metal pillar, an n-side metal pillar, and an insulator. The semiconductor layer includes a first surface, a second surface opposite to the first surface, and a light emitting layer. The p-side metal pillar includes a p-side external terminal. The n-side metal pillar includes an n-side external terminal. At least one selected from an area and a planar configuration of the p-side external terminal is different from at least one selected from an area and a planar configuration of the n-side external terminal.
US08860068B2 Light emitting diode package having heat dissipating slugs and wall
A light emitting diode package having heat dissipating slugs is provided. The light emitting diode package comprises first and second heat dissipating slugs formed of a conductive material and spaced apart from each other; a package main body coupled to the first and second heat dissipating slugs to support the first and second heat dissipating slugs; and a light emitting diode die electrically connected to the first and second heat dissipating slugs, wherein the respective first and second heat dissipating slugs are exposed to the outside through lower and side surfaces of the package main body. As such, the first and second heat dissipating slugs can be used as external leads.
US08860067B2 Semiconductor light emitting device
A semiconductor light emitting device having an n-electrode and a p-electrode provided on the same surface side of a semiconductor film, wherein current spread in the semiconductor film is promoted, so that the improvements in luminous efficiency and reliability, the emission intensity uniformalization across the surface, and a reduction in the forward voltage, can be achieved. The semiconductor light emitting device includes a semiconductor film including an n-type semiconductor layer, an active layer, and a p-type semiconductor layer; the n-electrode formed on an exposed surface of the n-type semiconductor layer exposed by removing parts of the p-type semiconductor layer, of the active layer, and of the n-type semiconductor layer with accessing from the surface side of the p-type semiconductor layer; and the p-electrode. A current guide portion having conductivity higher than that of the n-type semiconductor layer is provided on or in the n-type semiconductor layer over the p-type electrode.
US08860062B2 Optoelectronic semiconductor component
An optoelectronic semiconductor component includes a carrier with a carrier top, at least one optoelectronic semiconductor chip mounted on the carrier top and having a radiation-transmissive substrate and a semiconductor layer sequence which includes at least one active layer that generates electromagnetic radiation, and a reflective potting material, wherein, starting from the carrier top, the potting material surrounds the semiconductor chip in a lateral direction at least up to half the height of the substrate.
US08860061B2 Semiconductor light-emitting device, manufacturing method for the same and vehicle headlight
A semiconductor light-emitting device, a method for manufacturing the same and a vehicle headlight can include the light-emitting device, which is composed of a light-emitting structure including a transparent plate, at least one semiconductor light-emitting chip and a wavelength converting layer between the transparent plate and the light-emitting chip to emit various colored lights including white light. The light-emitting device can also include a mounting board mounting the light-emitting structure and a frame thereon, a reflective material disposed between the frame and the light-emitting structure and the transparent material located on the reflective material to prevent an occurrence of oil-bleeding phenomenon. Thus, the disclosed subject matter can provide the light-emitting device having a high reliability for the vehicle headlight and the like, which can be maintained at a high quality even when they have been used for a long time under harsh conditions, and methods of manufacturing such devices.
US08860050B2 Hybrid light emitting device having a capping layer
Provided is a hybrid light emitting device. The hybrid light emitting device may include the first light emitting part on the substrate, the capping layer, and the second light emitting part. The first light emitting part may emit light having a first wavelength, and the first light emitting part may include a first electrode, an organic emitting layer, and a second electrode sequentially disposed. A second light emitting part may generate light having a second wavelength. A capping layer may be disposed between the organic emitting layer and the second light emitting part. The capping layer may reflect light having the first wavelength and transmit light having the second wavelength.
US08860048B2 Three dimensional light emitting diode systems, and compositions and methods relating thereto
A flexible layered structure is disclosed having a flexible top conductive layer, a flexible bottom heat sink layer and a flexible dielectric middle layer. The combination has a longitudinal axis and a plurality of defined positions spaced along the longitudinal axis. The defined positions can be used for aligning a circuit and/or for the placement of LED lights. The flexible layered structure can be easily bent to form a LED substrate for shining light in more than one direction while efficiently removing heat arising from the LEDs.
US08860047B2 Semiconductor light-emitting device
A reliable semiconductor light-emitting device can include a wavelength converting material in a cavity mounting at least one semiconductor light-emitting chip. The device can also include an encapsulating resin to cover the wavelength converting material so as to emit a wavelength-converted light using light emitted from the chip. The wavelength converting material should include a transparent resin having a large thermal expansion coefficient to maintain a high thermal resistance, and the encapsulating resin is subject to cracks due to a high transparent resin. The semiconductor device can be configured to form a space between the wavelength converting material and the encapsulating resin so that each of the encapsulating resin and the wavelength converting material cannot contact with each other even under a high temperature. Thus, the disclosed subject matter cannot stress in the encapsulating resin when the wavelength converting material expands, and therefore can provide the reliable semiconductor light-emitting device.
US08860046B2 Light-emitting diode device
A two dimensional array light-emitting diode device is disclosed, which includes a transparent substrate including a first surface; a plurality of adjacent light-emitting diode units arranged on the first surface, wherein each of the light-emitting diode units including a plurality of sides and a circumference; and a plurality of conductive connecting structures arranged on the first surface, electrically connecting the plurality of light-emitting diode units mentioned above; wherein the sides of each of the light-emitting diode units have a plurality of vertical distances between the closest light-emitting diode units, and when the plurality of vertical distances larger than 50 μm, the sides are not near the closest light-emitting diode units; wherein the ratio of the total length of the sides not near the light-emitting diode units of each light-emitting diode unit and the circumference of the light-emitting diode unit is larger than 50%.
US08860044B2 Nitride light-emitting diode with a current spreading layer
A nitride light-emitting diode is provided including a current spreading layer. The current spreading layer includes a first layer having a plurality of distributed insulating portions configured to have electrical current flow therebetween; and a second layer including interlaced at least one substantially undoped nitride semiconductor layer and at least one n-type nitride semiconductor layer configured to spread laterally the electrical current from the first layer.
US08860040B2 High voltage power semiconductor devices on SiC
4H SiC epiwafers with thickness of 50-100 μm are grown on 4° off-axis substrates. Surface morphological defect density in the range of 2-6 cm−2 is obtained from inspection of the epiwafers. Consistent carrier lifetime in the range of 2-3 μs has been obtained on these epiwafers. Very low BPD density has been confirmed in the epiwafers with BPD density down to below 10 cm−2. Epitaxial wafers with thickness of 50-100 μm have been used to fabricate diodes. High voltage testing has demonstrated blocking voltages near the theoretical values for 4H-SiC. Blocking voltage as high as 8 kV has been achieved in devices fabricated on 50 μm thick epitaxial films, and blocking voltage as high as 10 kV has been obtained in devices fabricated on 80 μm thick films. Failure analysis confirmed triangle defects, which form from surface damage or particles present during epitaxy, are killer defects and cause the device to fail in reverse bias operation. In addition, the leakage current at the high blocking voltages of the JBS diodes showed no correlation with the screw dislocation density. It is also observed that the main source of basal plane dislocations in the epilayer originates in the crystal growth process.
US08860039B2 Semiconductor device
A semiconductor device having a low feedback capacitance and a low switching loss. The semiconductor device includes: a substrate; a drift layer formed on a surface of the semiconductor substrate; a plurality of first well regions formed on a surface of the drift layer; a source region which is an area formed on a surface of each of the first well regions and defining, as a channel region, the surface of each of the first well regions interposed between the area and the drift layer; a gate electrode formed over the channel region and the drift layer thereacross through a gate insulating film; and second well regions buried inside the drift layer below the gate electrode and formed to be individually connected to each of the first well regions adjacent to one another.
US08860038B2 Nitride semiconductor device and manufacturing method for the same
Provided is a nitride semiconductor device comprising a base substrate; a buffer layer formed above the base substrate; an active layer formed on the buffer layer; and at least two electrodes formed above the active layer. The buffer layer includes one or more composite layers that each have a plurality of nitride semiconductor layers with different lattice constants, and at least one of the one or more composite layers is doped with carbon atoms and oxygen atoms in at least a portion of a carrier region of the nitride semiconductor having the largest lattice constant among the plurality of nitride semiconductor layers, the carrier region being a region in which carriers are generated due to the difference in lattice constants between this nitride semiconductor layer and the nitride semiconductor layer formed directly thereon.
US08860036B2 Pixel electrode structure and display using the same
The present invention relates to a pixel electrode structure, comprising: at least one scanning line disposed on a substrate; at least one data line disposed on the substrate and intersecting the scanning line to define a pixel area; a pixel electrode disposed in the pixel area; an active element comprising a gate electrode, a source electrode and a drain electrode, wherein the gate electrode is electrically connected to the scanning line, the source electrode is electrically connected to the data line, and the drain electrode is electrically connected to the pixel electrode; and a shielding electrode overlapping one side of the scanning line and electrically connected to the pixel electrode with a first connecting part, wherein the shielding electrode has a jag structure, and the first connecting part is disposed at a junction between jags of the jag structure protruding in different orientations.
US08860034B2 Organic light-emitting display apparatus
An organic light-emitting display apparatus including: a first substrate; a second substrate disposed to face the first substrate; a first electrode disposed between the first substrate and the second substrate and a second electrode disposed to face the first electrode; and an organic light-emitting layer disposed between the first electrode and the second electrode, wherein at least one of the first electrode and the second electrode is a reflective electrode, and an optical property modification layer having at least one optical property modified from that of the reflective electrode is formed on a surface of the reflective layer.
US08860030B2 Thin film transistor and display device including the same
One object of the present invention is reduction of off current of a thin film transistor. Another object of the present invention is improvement of electric characteristics of the thin film transistor. Further, another object of the present invention is improvement of image quality of the display device including the thin film transistor. The thin film transistor includes a semiconductor film containing germanium at a concentration greater than or equal to 5 at. % and less than or equal to 100 at. % or a conductive film which is provided over a gate electrode with the gate insulating film interposed therebetween and which is provided in an inner region of the gate electrode so as not to overlap with an end portion of the gate electrode, a film covering at least a side surface of the semiconductor film containing germanium at a concentration greater than or equal to 5 at. % and less than or equal to 100 at. % or the conductive film, a pair of wirings formed over the film covering the side surface of the semiconductor film containing germanium at a concentration greater than or equal to 5 at. % and less than or equal to 100 at. % or the conductive film.
US08860027B2 Organic light-emitting display device
Embodiments may disclose an organic light-emitting display device including a first substrate including a pixel area emitting light in a first direction, and a transmittance area that is adjacent to the pixel area and transmits external light; a second substrate facing the first substrate and encapsulating a pixel on the first substrate; an optical pattern array on the first substrate or the second substrate to correspond to the transmittance area, the optical pattern array being configured to transmit or block external light depending on the transmittance area according to a coded pattern; and a sensor array corresponding to the optical pattern array, the sensor array being arranged in a second direction that is opposite to the first direction in which the light is emitted, the second array receiving the external light passing through the optical pattern array.
US08860014B2 Organic electroluminescent member and method for producing organic electroluminescent element
An organic electroluminescent member comprising: a positive electrode and a negative electrode on a substrate: multiple organic layers which include at least a positive hole transport layer, a light-emitting layer and an electron transport layer, and which are arranged between the positive electrode and the negative electrode; and an electron injection layer arranged between the electron transport layer and the negative electrode. The electron injection layer is formed from at least one selected from the group consisting of alkali metals and compounds containing alkali metals having a melting point of less than 90° C., and at least one selected from the group consisting of alkali metals, alkaline earth metals, compounds containing alkali metals, and compounds containing.
US08860013B2 Organic electroluminescence element, manufacturing method thereof, and organic electroluminescence display device
An organic EL element (11) includes a light emitting layer (15) which has a two-layer structure, and a first light-emitting layer (15a) is made from a host material which includes LUMO shallower than (i) LUMO (19) of a phosphorescent light emitting material and (ii) LUMO of a host material, from which a second light-emitting layer (15b) is made. Further, the second light-emitting layer (15b) is made from a host material which includes HOMO deeper than (i) HOMO (18) of the phosphorescent light emitting material and (ii) HOMO of the host material, from which the first light-emitting layer (15a) is made. This makes it possible (i) to block holes from moving to the second light-emitting layer (15b) and (ii) to block electrons from moving to the first light-emitting layer (15a). As a result, a probability that the holes and the respective electrons recombine with each other is increased. It is therefore possible to reduce a driving voltage of an organic EL element (11). This improves light emitting efficiency.
US08860011B2 Semiconductor device, and electronic book including double-sided light emitting display panel
One pixel is divided into a first region including a first light emitting element and a second region including a second light emitting element, wherein the first region emits light in one direction and the second region emits light in the direction opposite to that of the first region. Independently driving the first light emitting element and the second light emitting element allows images to be displayed independently on the surface.
US08860010B2 Organic light emitting display
An organic light emitting display is disclosed. In one embodiment, the display includes 1) a substrate, 2) a plurality of pixels formed on the substrate, wherein each of the pixels comprises at least one circuit region including i) a first light emission area, ii) a second light emission area iii) at least one transmission area transmitting external light, and iv) a pixel circuit unit and 3) a first pixel electrode formed in the first light emission area and electrically connected to the pixel circuit unit, wherein the first pixel electrode comprises a first transparent conductive layer and a reflective layer. The display may further include 1) a second pixel electrode formed in the second light emission area and electrically connected to the first pixel electrode, wherein the second pixel electrode comprises a second transparent conductive layer, 2) a first opposite electrode substantially directly below or above the first pixel electrode, 3) a second opposite electrode substantially directly below or above the second pixel electrode and 4) an organic emission layer formed between the first pixel electrode and the first opposite electrode and between the second pixel electrode and the second opposite electrode.
US08860008B2 Forming active channel regions using enhanced drop-cast printing
An active region or channel for printed, organic or plastic electronics or polymer semiconductors, such as organic field-effect transistors (OFETs), is obtained by using an enhanced inkjet drop-cast printing technique. A two-liquid system is employed to achieve the direct growth of well-oriented organic crystals at the active region of channel. High-performance electrical properties exhibiting high carrier mobility and low threshold voltage are obtained due to the proper orientation of molecules in the grown crystal in a highest mobility direction, due to the absence of grain boundaries, and due to low trap densities. The hydrophobic-hydrophilic interactions between the liquids utilized, which results in the fabrication of low-cost and mass-producible printable electronic devices for applications in flexible displays, electronic signages, photovoltaic panels, membrane keyboards, radio frequency identification tags (RFIDs), electronic sensors, and integrated electronic circuits.
US08860004B2 State changing device
A device that incorporates teachings of the present disclosure may include, for example, a memory array having a first array of nanotubes, a second array of nanotubes, and a state changing material located between the first and second array of nanotubes. Other embodiments are disclosed.
US08860003B2 Resistive memory device and fabrication method thereof
A resistive memory device capable of implementing a multi-level cell (MLC) and a fabrication method thereof are provided. The resistive memory device includes a lower electrode connected to a switching device and including a first node and a second node formed on a top thereof to be spaced at a fixed interval, a phase-change material pattern formed on the first node and the second node, an upper electrode formed on the phase-change material pattern, a conductive material layer formed on a top and outer sidewall of the upper electrode, a first contact plug formed on one edge of the upper electrode to be connected to the upper electrode and the conductive material layer, and a second contact plug formed on the other edge of the upper electrode to be connected to the upper electrode and the conductive material layer.
US08860000B2 Nonvolatile semiconductor memory device and method of manufacturing the same
A nonvolatile semiconductor memory device in accordance with an embodiment comprises a lower electrode layer, a variable resistance layer, and an upper electrode layer. The lower electrode layer is provided over a substrate. The variable resistance layer is provided on the lower electrode layer and is configured such that an electrical resistance of the variable resistance layer can be changed. The upper electrode layer is provided on the variable resistance layer. The variable resistance layer comprises a carbon nanostructure and metal atoms. The carbon nanostructure is stacked to have a plurality of gaps. The metal atoms are diffused into the gaps.
US08859999B2 Movement-free bending method for one-dimensional or two-dimensional nanostructure using ion beam
The movement-free bending method means the one of deformation methods for a one- or two-dimensional nanostructures using an ion beam capable of bending and deforming them and furthermore, changing a bending direction without requiring a motion such as a rotation of the nanostructures. The present invention affords a movement-free bending method for deforming the nanostructure 20 having the one-dimensional or two-dimensional shape by irradiating the ion beam 10, wherein a bending direction of the nanostructure 20 is controlled depending on energy of the ion beam 10 or a thickness of the nanostructure 20.
US08859997B2 Charged particle beam writing apparatus and charged particle beam writing method
A charged particle beam writing apparatus includes an unit to measure height positions of a substrate, an unit to input a position dependent height distribution obtained by converting each position error of a pattern generated depending on each corresponding writing position of the substrate into a value in a height direction, and to add the position dependent height distribution to a height distribution obtained based on the height positions in order to correct the height distribution of the substrate, an unit to calculate a deflection shift amount of a pattern to be written by using a corrected height distribution, an unit to calculate a deflection amount for deflecting a beam to a position where a calculated deflection shift amount has been corrected, and an unit to write a pattern on the substrate by deflecting the beam by a calculated deflection amount.
US08859994B2 Disinfection device and method
An ultraviolet area sterilizer or disinfector is incorporated into a building structure where concern exists regarding the presence of pathogenic bacteria on environmental surfaces. Ultraviolet C (UV-C) generators generate UV-C that is directed to architectural partitions of an enclosed area. The architectural partitions reflect UV-C to kill pathogens in the enclosed area. The device transmits a calculated dose of UV-C from a fixture mounted to an architectural partition in the enclosed area. Once an effective cumulative dose of UV-C has been reflected to radiation sensors, as measured by the sensors, the device shuts down. The device may allocate power to specific UV-C emitters so as to direct UV-C radiation more uniformly throughout the area, as measured by the sensors.
US08859991B2 Specimen holder used for mounting samples in electron microscopes
A novel specimen holder for specimen support devices for insertion in electron microscopes. The novel specimen holder of the invention provides mechanical support for specimen support devices and as well as electrical contacts to the specimens or specimen support devices.
US08859988B1 Method for coupling a laser beam into a liquid-jet
Reliable coupling of a high-power laser beam into a liquid-jet in a liquid-jet guided laser system can be achieved with high lifetime performance of the nozzle and the protection window, through setting the parameters of the liquid-jet guided laser system according to an optimum relationship that links the focus point of the laser, the focus cone angle, the laser beam energy distribution profile and the nozzle geometry.
US08859987B2 Sensor housing
A sensor housing (10) in which a radiation sensor can be inserted through a sensor aperture (28) has blocking means (30, 32) that can move between an open position and a closed position. When the blocking means (30, 32) are in the closed position they prevent radiation from passing out of the sensor aperture (28). The blocking means may take the form of shutters that that close when the radiation sensor is removed, thus stopping radiation from passing through the housing (10).
US08859982B2 Dual-lens-gun electron beam apparatus and methods for high-resolution imaging with both high and low beam currents
One embodiment relates to an electron beam apparatus which includes a dual-lens electron gun for emitting an electron beam. The electron beam is a high beam-current electron beam in a first operating mode and a low beam-current electron beam in a second operating mode. The apparatus further includes a column aperture which is out of the path of the high beam-current electron beam in the first operating mode and is centered about an optical axis of the electron beam apparatus in the second operating mode. Another embodiment relates to an electron gun which includes a first gun lens, a beam limiting aperture, and a second gun lens. The first gun lens focuses the electrons before they pass through the beam-limiting aperture while the second gun lens focuses the electrons after they pass through the beam-limiting aperture. Other embodiments, aspects and features are also disclosed.
US08859973B2 Strip device and method for determining the location and time of reaction of the gamma quanta and the use of the device to determine the location and time of reaction of the gamma quanta in positron emission tomography
The subject of the invention is a strip device and method for determining the place and time of the gamma quanta interaction as well as the use of the device for determining the place and time of the gamma quanta interaction in positron emission tomography.
US08859972B2 Infra-red reflective occlusion sensors
Occlusion sensor systems and methods of using the occlusion sensor systems are provided. In a general embodiment, the present disclosure provides a sensor device includes a tube and an infra-red reflective sensor comprising an infra-red light emitter and an infra-red phototransistor receiver or photo-diode. The infra-red reflective sensor and the infra-red phototransistor receiver or photo-diode are positioned at or near the tube so that an infra-red light can be transmitted to a portion of the tube and at least a portion of the infra-red light reflected off the portion of the tube can be detected by the infra-red phototransistor receiver or photo-diode.
US08859971B2 Light redirection in optical navigation
An optical navigation device, such as an optical navigation joystick or mouse, includes an internal redirector which may be separate or formed from material of a touch surface of the optical device. The redirector is disposed at an angle with respect to a plane of the touch surface, operative to reflect light which would otherwise strike the touch surface at a low angle and be internally reflected. The light may be reflected in a direction away from a light sensor of the device, reducing sensed internally reflected light, or may be reflected into the touch surface at a higher angle, potentially increasing a quantity of good signal. The redirector may also include portions which block light directly emitted from a light emitter, or reflected off other surfaces of the navigation device.
US08859965B2 Method and system of evaluating distribution of lattice strain on crystal material
A crystal material lattice strain evaluation method includes illuminating a sample having a crystal structure with an electron beam in a zone axis direction, and selectively detecting a certain diffracted wave diffracted in a certain direction among a plurality of diffracted waves diffracted by the sample. The method further includes repeating the illuminating step and the selectively detecting step while scanning the sample, and obtaining a strain distribution image in a direction corresponding to the certain diffracted wave from diffraction intensity at each point of the sample.
US08859963B2 Methods for preparing thin samples for TEM imaging
A method and apparatus for preparing thin TEM samples in a manner that reduces or prevents bending and curtaining is realized. Embodiments of the present invention deposit material onto the face of a TEM sample during the process of preparing the sample. In some embodiments, the material can be deposited on a sample face that has already been thinned before the opposite face is thinned, which can serve to reinforce the structural integrity of the sample and refill areas that have been over-thinned due to a curtaining phenomena. In other embodiments, material can also be deposited onto the face being milled, which can serve to reduce or eliminate curtaining on the sample face.
US08859957B2 Systems and methods for sample analysis
The invention generally relates to improved sensitivity and flexibility for mass spectrometers with limited pumping capacity, particularly mass spectrometers that are coupled with a Discontinuous Atmospheric Pressure Interface (DAPI).
US08859956B2 Ion generation using wetted porous material
The invention generally relates to systems and methods for mass spectrometry analysis of samples. In certain embodiments, the invention provides a mass spectrometry probe including at least one porous material connected to a high voltage source, in which the porous material is discrete from a flow of solvent.
US08859954B1 Method for discriminatimg sitosterolemia using a dried blood spot
Disclosed herein is a method for discriminating sitosterolemia by simultaneously measuring relative concentrations of phytosterol and cholesterol metabolism-related compounds in a blood spot on the paper. According to the present disclosure, sitosterolemia may be quickly discriminated with high accuracy by simple sample collecting using a trace amount of blood spot stained on a paper, and it may be used for newborn screening and preschool children, particularly patients with xanthoma.
US08859953B2 Correction of asymmetric electric fields in ion cyclotron resonance cells
The invention relates to a method and a device for optimization of electric fields in measurement cells of Fourier transform ion cyclotron resonance mass spectrometers. The invention is based on the rationale that asymmetric electric fields with uniformly or non-uniformly perturbed field axes can appear in ion cyclotron resonance cells and therefore the axis of the magnetron orbit can become radially displaced. Shifted magnetron orbits negatively affect the cyclotron excitation, deteriorate the FT-ICR signal, increase the intensity of an even-numbered harmonics peak, lead to stronger side bands of the FT-ICR signal, and in extreme cases, cause loss of ions. The present invention helps in probing the shift of the magnetron motion, detecting parameters indicative of the offset of the electric field axis and/or correcting it by trimming it back to the geometric axis of the cell.
US08859952B2 Recording medium and infrared reading method
A recording medium includes a surface in which an infrared absorptance is less than a threshold value; and plural dot images that records information by an arrangement pattern formed on the surface, in which the infrared absorptance is equal to or more than the threshold value, and a specular gloss to infrared rays is equal to or less than 22%.
US08859949B2 Sensor device, comprising an optical sensor, a container and a compartmentalization means
A sensor device has an optical sensor (1), a container (2) and a compartmentalizer (3) that temporarily divides the container into a main space (4) and an adjacent space (5), in which the optical sensor (1) is located. The gas volume in the direct vicinity of the optical sensor (1) is reduced, as are also, consequently, the reactive products generated by radiation sterilization. The adjacent space (5) can be united with the main space (4) after the sterilization as a result of the temporary compartmentalization. The optical sensor is suitable for implementation in containers and laboratory products, such as, for example, disposable bioreactors, that are sterilized by gamma radiation.
US08859946B2 Light detecting device including a peaking circuit
An optical detecting device includes a light-detecting element for outputting an electrical signal, a pre-amplifier for amplifying the electrical signal, a signal line connected to an output of the pre-amplifier, and a resistor and a capacitor connected in series between the signal line and GND.
US08859944B2 Coordinated in-pixel light detection method and apparatus
A method and apparatus of coordinated in-pixel light detection is provided. In one aspect, the method includes implementing an N-number of avalanche photodiodes inside a pixel circuit of a light detection circuit. The method also includes coordinating an output of the N-number of avalanche photodiodes through a counter circuit. The method further includes reducing a deadtime of the light detection circuit by a factor of ‘N’ through the N-number of avalanche photodiodes and the counter circuit operating in concert. The method furthermore includes measuring an intensity of a light through the light detection circuit. N-number of avalanche photodiodes is in a common well of a semiconductor technology. N-number of avalanche photodiodes is fabricated on a deep submicron semiconductor technology. A fill factor of the pixel circuit improves and a deadtime reduces through fabrication of the avalanche photodiodes in a common well. Also, a photon count rate increases through reducing the deadtime.
US08859943B2 Method for maximizing the contrast of an image
A method 100 maximizes the contrast between light and dark markings 13 that carry information. The markings are illuminated by a variable output light source 16, and a reflected image is received by a detector 18 and processed by a controller 20. The method calculates a histogram of the reflected image at step 102, and the method determines at step 104 if the histogram has one peak or two peaks. The method increases at step 110 or decreases at step 112 the output of the light source 16 by adding or subtracting a small step amount to or from the current light level of the light source 16. The method 100 is a continuously repeating loop, and the method increases or decreases the light level of the source of illumination with substantially every loop to oscillate about an equilibrium light level that provides maximum contrast.
US08859939B2 Electrically heatable carrier and panel radiator, and method for the production thereof
A carrier, in particular a plastic film, comprising an electrical heating layer is described. The heating layer is applied to at least a portion of a carrier surface. The heating layer is electrically divided by one or more first separating zones, each of which is designed such that a current path changes the direction of flow thereof at the free zone ends, and one or more second separating zones, which are designed such that the current path changing the direction of flow thereof at the free zone ends is divided in at least some sections into a plurality of electrically parallel current sub-paths. One or more second separating zones are associated with at least one free zone end of a first separating zone, with the second separating zones arranged in an aligned extension to the first separating zone.
US08859938B2 Vehicle cabin heating system
A vehicle cabin heating system is provided basically with an infrared heater, a temperature sensor and a controller. The infrared heater is aimed to heat a target surface in front of the infrared heater within a vehicle cabin interior space. The temperature sensor is disposed in front of the first infrared heater to detect a measured temperature in front of the first infrared heater. The controller is operatively coupled to the first infrared heater to selectively operate the first infrared heater to a target surface temperature within the vehicle cabin interior space whenever an estimated surface temperature of a target surface is determined based on the measured temperature by the temperature sensor falls below a prescribed temperature range below the target surface temperature.
US08859931B2 Plasma synthesis of nanopowders
A process and apparatus for preparing a nanopowder are presented. The process comprises feeding a reactant material into a plasma reactor in which is generated a plasma flow having a temperature sufficiently high to vaporize the material; transporting the vapor with the plasma flow into a quenching zone; injecting a preheated quench gas into the plasma flow in the quenching zone to form a renewable gaseous condensation front; and forming a nanopowder at the interface between the renewable controlled temperature gaseous condensation front and the plasma flow.
US08859929B2 Method and apparatus for forming a film by deposition from a plasma
An apparatus is described for depositing a film on a substrate from a plasma. The apparatus comprises an enclosure, a plurality of plasma generator elements disposed within the enclosure, and means, also within the enclosure, for supporting the substrate. Each plasma generator element comprises a microwave antenna having an end from which microwaves are emitted, a magnet disposed in the region of the said antenna end and defining therewith an electron cyclotron resonance region in which a plasma can be generated, and a gas entry element having an outlet for a film precursor gas or a plasma gas. The outlet is arranged to direct gas towards a film deposition area situated beyond the magnet, as considered from the microwave antenna, the outlet being located in, or above, the hot electron confinement envelope.
US08859927B2 Vacuum switch having fixed rail terminals on both sides
The vacuum switch is compact, requires little effort, and provides a reliable current path having a high current-carrying capacity between the terminals of the vacuum switch, particularly at high switch-on speeds. The vacuum switch has a vacuum chamber, in which a vacuum is present and in which a switching contact is arranged. The switching contact includes a fixed contact piece firmly connected to the vacuum chamber and in electrical contact with a fixed contact terminal, and a moving contact piece movably guided relative to the fixed contact piece. The moving contact piece is at a distance to the fixed contact piece in a disconnect position and contacts the latter in a contact position. A drive unit produces a drive movement. A switching mechanism is connected to the drive unit and the moving contact piece and includes a conductor section that is electrically conducting up to the moving contact piece. A connector connects a moving contact terminal electrically to the moving contact piece in the contact position. The connector has a clamping contact, which has an insertion clamping contact piece connected to the conductor section of the switching mechanism and a mating clamping contact piece firmly connected to the vacuum chamber and electrically connected to the moving contact terminal. The insertion clamping contact piece and the mating clamping contact piece are arranged relative to each other such that the insertion clamping contact piece is clamped with the mating clamping contact piece in an electrically conducting manner as a result of the drive movement.
US08859926B2 Hair extension device
In one configuration of the present invention, a hair extension device for providing a user with the appearance of having longer, fuller hair is disclosed. The device may have a hair cluster having a hair flap which in turn comprises one or more hair wefts. The hair wefts may contain a number of hair strands connected together by seams which composes the band. The device may contain a filament which may be sandwiched between one or more flaps. The hair wefts may be sewn together with thread using a zig-zag stitch for example. The hair extension device may be reversible and the wefts may be attached to a length of lace.
US08859925B2 Electric switchgear
An electric switchgear includes an interrupter unit having a first connecting line and a second connecting line. The interrupter unit is disposed inside a fluid-tight encapsulating housing that is filled with an electrically insulating fluid. The interrupter unit is further surrounded by a shielding housing in at least some sections. The first connecting line has a flow channel for the fluid. A first outlet opening of the flow channel leads to the shielding housing and a second outlet opening leads to the exterior of the shielding housing.
US08859922B1 Multi-function switch apparatus and method of use
A multi-function switch includes a proximity sensor for detecting proximity to the switch-at-large and one or more touch sensors that can distinguish between a touch to a corresponding touch surface and a push to the touch surface.
US08859920B2 Shim sleeve for pivoting buttons
A button assembly having a shim for a pivot button. One embodiment may take the form of a button assembly with a stem and a receiving portion pivotally coupled to the stem. The stem is positioned within an aperture of the receiving portion, with the aperture providing a gap between the receiving portion and the stem. A shim sleeve having non-uniform thickness is coupled over the stem and positioned between the receiving portion and the stem. The shim sleeve is configured to reduce the gap between the receiving portion and the stem.
US08859917B2 Electrical switch latch assembly
A latch assembly is provided for securing a switch operator to a contact block. The latch assembly includes a collar designed to retain the switch operator in the latch assembly. The latch assembly also includes a housing having one or more apertures for receiving fasteners to secure the contact block to the latch assembly. The collar is displaceable within the housing between a locked position that secures the switch operator and an unlocked position that permits disengagement of the switch operator from the collar. When the collar is in the locked position, corresponding apertures in the collar align with the one or more apertures in the housing, permitting the fasteners to be inserted through the collar apertures. Insertion of the fasteners through the collar apertures secures the collar in the locked position, thereby impeding unintentional disconnection of the switch operator from the latch assembly.
US08859916B2 Electrical cut-off device with high making capacity
An electrical cut-off device provided with a control module associated with at least one cut-off module corresponding to a phase of an electrical network in which the cut-off module includes a set (5) of several moving contacts (5A-C) associated with one pair of fixed contacts. The moving contacts of the set (5) of several moving contacts (5A-C) are parallel and offset with respect to one another in order to stagger, in time, an the approach of the moving contacts with the pair of fixed contacts when closing the electrical circuit.
US08859915B2 Electrical switch
An electrical switch has a switch body, actuators, and switch contacts, and at least one moving contact member having first and second ends. Elongate cam sliders extend side-by-side in the switch body, between the actuators and the moving contact member, for sliding lengthwise by the actuators to cause pivotal movement of the moving contact member about the first end such that the second end is moved into and out of contact with at least one of the switch contacts. The first and second ends of the moving contact member lie in a plane extending parallel to the longitudinal extent of the cam sliders, or extend parallel to the length of the cam sliders.
US08859913B2 Portable electromagnetic interference shield with flexible cavity
An electromagnetic interference shield having a main enclosure and at least one auxiliary enclosure. The auxiliary enclosure in the main enclosure and an interior that is continuous with each other. The auxiliary enclosure is made of elastic Faraday material. The outer layer and inner layer may be transparent to view the electronic device. An auxiliary cable with filtering mechanisms may be provided inside a sleeve to allow access and transfer of data from the electronic device while still in the main enclosure.
US08859912B2 Coreless package substrate and fabrication method thereof
A coreless package substrate is provided, including: a circuit buildup structure including at least a dielectric layer, at least a circuit layer and conductive elements; first electrical contact pads embedded in the lowermost dielectric layer of the circuit buildup structure; a plurality of metal bumps formed on the uppermost circuit layer of the circuit buildup structure; a dielectric passivation layer disposed on a top surface of the circuit buildup structure and the metal bumps; and second electrical contact pads embedded in the dielectric passivation layer and electrically connected to the metal bumps. With the second electrical contact pads being engaged with the metal bumps and having top surfaces thereof completely exposed, the bonding strength between the second electrical contact pads and a chip to be mounted thereon and between the second electrical contact pads and the metal bumps can be enhanced.
US08859911B2 Touch panel comprising conductive pattern
The present invention relates to a touch panel comprising a conductive pattern having improved transmittance and concealing property, and the touch panel according to the present invention can have an effect of improvement in transmittance, a concealing property, and uniformity, and a reduction in strength of a diffraction pattern by reflection light in a conductive pattern by providing the conductive patterns comprising regions having different aperture ratios on both surfaces of a transparent substrate at predetermined positions, or laminating the transparent substrate having the conductive patterns comprising the regions having different aperture ratios.
US08859908B2 Package carrier
A package carrier includes a substrate, first and second insulation layers, first and second patterned circuit layers, at least one first and second conductive through holes, a heat dissipation channel, an adhesive layer and a heat conducting element. The first and second patterned circuit layers are respectively disposed on the first and second insulation layers which are respectively disposed on upper and lower surfaces of the substrate. The heat dissipation channel at least passes through the first insulation layer, the first and second patterned circuit layers, and the substrate. The first and second conductive through holes electrically connect with the substrate, the first and second patterned circuit layers. At least two opposite side surfaces of the heat conducting element each includes at least one convex portion or at least one concave portion. The heat conducting element is mounted in the heat dissipation channel via the adhesive layer.
US08859907B2 Method for assembling a circuit board
Disclosed herein is a method for assembling a circuit board which has at least one layer copper clad on one or both sides or provided with conductor tracks, wherein, in one assembly step, at least one rigid flange insert is inserted into an associated recess in the circuit board or into a component associated with the circuit board and wherein at least one semiconductor die of a semiconductor component is applied onto the inserted flange insert in a subsequent application step.
US08859905B2 Wildlife guard assemblies and methods for using the same
A wildlife guard assembly for use with an electrical insulator body includes first and second guard members and an actuator member. The first and second guard members define a seat to receive the insulator body and are connected to one another to permit relative movement between an open position. The first and second guard members define a sideward opening to laterally receive the insulator body into the seat, and a closed position, wherein the first and second guard members at least partially encircle the insulator body to capture the insulator body in the seat. The actuator member is configured to be inserted between the first and second guard members in the open position and, when forcibly displaced radially to an installed position, to force the first and second guard members to move from the open position to the closed position.
US08859904B2 Flexible flat cable
A flexible flat cable includes a plurality of conductors, a nonwoven fabric layer provided on an outer surface of an insulation layer, and a shield layer provided on the nonwoven fabric layer. The nonwoven fabric layer includes a nonwoven fabric having a plurality of recessed portions formed on a surface thereof, the recessed portions being each enclosed by two opposite long sides and two opposite short sides, and the nonwoven fabric has an embossed shape which satisfies the following relation: 2d
US08859900B2 Flame-retardant composition, covered electric wire, and wiring harness
A flame-retardant composition that is not colored during compounding and molding, and a covered electric wire using the same. The flame-retardant composition contains at least a matrix polymer such as an olefin resin, a phenolic antioxidant having tert-butyl groups in positions 2 and 6 of a hydroxyl group, and a flame retardant that is a pulverized natural mineral containing magnesium hydroxide as the main ingredient, and the iron oxide concentration in the flame retardant is 1000 ppm or less. The covered electric wire uses the flame-retardant composition as a covering material.
US08859899B2 Electrical connector for cables
A kit for splicing two electrical cable assemblies together includes an electrical connector and a plurality of pin contacts, each of which are configured to be connected to a wire of a cable assembly and inserted into the connector. The electrical connector includes a plurality of socket contacts that are each configured to be non-releasably connected to one of the plurality of pin contacts. Each socket contact of the electrical connector includes a bore for receiving a respective pin contact. The bore is configured to permit translation of a respective pin contact after the respective pin contact is non-releasably connected to its respective socket contact so as to accommodate a variable length of the cable assembly wire to which the respective pin contact is attached while maintaining electrical continuity between the respective pin contact of the cable assembly and the respective socket contact of the electrical connector.
US08859898B2 Power transmission line covers and methods and assemblies using same
A power transmission line cover includes an elongate, flexible panel and first and second elongate, integral edge portions. The first edge portion includes an elongate first latch feature and the second edge portion includes an elongate second latch feature. The cover includes an elongate inner creepage extender wall forming a part of one of the first and second edge portions, and an elongate outer creepage extender wall forming a part of one of the first and second edge portions. The flexible panel is wrapped about a power line and the edge portions are joined together to form a longitudinally extending closure seam wherein the latch features are coupled and the edge portions form an electrical creepage path extending from the chamber to an exterior boundary of the cover along the inner creepage extender wall, between the first and second latch features, and along the outer creepage extender wall.
US08859897B2 Flexible busbar
A flexible busbar includes a central conductor (generally of rectangular cross section comprising multiple layers of thin aluminum, copper or other alloys with good electrical properties (conductivity)) and a sleeve having a shape on the internal surface to reduce the adhesion between the two conductors and the sleeve when the flexible busbar is bent, folded, or twisted. This increases the flexibility of the flexible busbar.
US08859894B2 Binuclear ruthenium complex dye, ruthenium-osmium complex dye, photoelectric conversion element using any one of the complex dyes, and photochemical cell
The present invention relates to a binuclear ruthenium complex dye represented by the following general formula (1-1): wherein R01 represents a linear or branched alkyl group having 2 to 18 carbon atoms; X represents a counter ion; and n represents a number of the counter ions needed to neutralize a charge of the complex; and in which proton(s) (H+) of one or more carboxyl groups (—COOH) may dissociate.
US08859892B2 Integrated semiconductor solar cell package
A stacked package for a solar cell is provided with a planar arrangement of conductive laminates on the surface of the heat sink. The layered conductive laminate offers multi-directional orientation of the solar cell within the package by eliminating any orientation requirements between the chip and the substrate, and offers multiple options for placement of standard or flipped bypass diodes. The packaged solar cell of the invention provides a smaller horizontal and vertical profile than standard solar cell packages, making it easier to hermetically seal the package.
US08859887B2 Photovoltaic device and process for producing photovoltaic device
A photovoltaic device that exhibits increased open-circuit voltage and an improved fill factor due to an improvement in the contact properties between the n-layer and a back-side transparent electrode layer or intermediate contact layer, and a process for producing the photovoltaic device. The photovoltaic device comprises a photovoltaic layer having a p-layer, an i-layer and an n-layer stacked on top of a substrate, wherein the n-layer comprises a nitrogen-containing n-layer and an interface treatment layer formed on the opposite surface of the nitrogen-containing n-layer to the substrate, the nitrogen-containing n-layer comprises nitrogen atoms at an atomic concentration of not less than 1% and not more than 20%, and has a crystallization ratio of not less than 0 but less than 3, and the interface treatment layer has a crystallization ratio of not less than 1 and not more than 6.
US08859882B2 Solid state heat pipe heat rejection system for space power systems
A heat rejection system includes a plurality of panel subassemblies and a solid state heat pipe flex joint. Each panel subassembly includes a fin, a solid state heat pipe manifold, a first solid state heat pipe tube operatively connected to the solid state heat pipe manifold and secured to the fin, a second solid state heat pipe tube operatively connected to the solid state heat pipe manifold adjacent to the first solid state heat pipe and secured to the fin. The solid state heat pipe flex joint operably connects the solid state heat pipe manifolds of two of the plurality of panel subassemblies in a hermetically sealed configuration, and is configured to permit repositioning of the two panel subassemblies relative to each other.
US08859878B2 Method and device for identifying half point of pedal on keyboard musical instrument
A key depression (i.e., string striking) is performed while a pedal is kept at a set non-key-depressed-state corresponding position (a rest position of a hammer). A string striking velocity immediately before string striking and a string releasing velocity immediately after the string striking are detected, and a coefficient of rebound is calculated on the basis of the detected velocities and stored in association with the current value of the rest position of the hammer. Similar operations are repetitively performed with individual ones of different rest positions of the hammer, wherein each of the different rest positions of the hammer corresponding to each of a plurality of stroke positions within one stroke of the pedal. Thus, a distribution curve of the coefficients of rebound detected in association with the plurality of stroke positions is obtained and a half point of the pedal is identified based on the distribution curve.
US08859874B2 Coefficient measurement apparatus, effect impartment apparatus, and musical sound generating apparatus
In a coefficient measurement apparatus, a line input terminal receives a pickup signal that is generated based on a string vibration of a musical instrument. A microphone input terminal receives a microphone signal acquired by a microphone that collects sounds of the musical instrument. An adaptive filter estimates a transfer function associated to resonance of the musical instrument and a transfer function of an acoustic space formed from the musical instrument to the microphone, generates an output signal by processing the pickup signal using the estimated transfer function, and updates the transfer function using a difference between the output signal and the microphone signal as a reference signal.
US08859871B2 Drumstick weight adjustment structure
A drumstick weight adjustment structure includes a plastic stick holder and at least one weight block. The plastic stick holder has at least one hole. The weight block can be inserted into the hole and tightly held therein, and also can be removed therefrom. Users can adjust the number of the weight block held in the hole according to requirements to change the weight of the plastic stick holder, thereby change the force of the plastic stick holder striking a drum to generate desired timbre to meet use requirements.
US08859869B2 Drumhead with extended collar
A drumhead comprising a circular membrane having a flat central play region, a collar extending outwardly and downwardly from the play region, and an outer edge region extending from the collar. A hoop defines a circular channel in which the outer edge region of the membrane is retained. The collar has a convexly curved region having upper and lower ends spanning an included angle, with the upper end transitioning tangentially into the play region and a frustoconical skirt region flaring outwardly from the lower end of the curved region and transitioning into the outer edge area. The included angle of the convexly curved region is about 90 deg., and the skirt and outer edge region of the membrane frustoconically extend from the lower end of the curved region to the channel of the hoop, at a substantially uniform angle in the range of about 10 to 30 deg.
US08859868B2 Devices and methods for tuning a stringed instrument, such as a guitar or the like
String tuning devices for stringed musical instruments, such as guitars or the like, comprising of tuning pegs or machine heads that provide equal or practically equal tuning sensitivity for the strings employed on the same instrument such that a unit of rotation of each tuning peg or machine head produces an equal or practically equal tonal shift in its associated string.
US08859867B2 String tension support structure
A neck (3) of an electric guitar (1) comprises a first wooden neck member (31), and a second metal neck member (33). The second neck member (33) is disposed in a state in which strings (10) span from the nut (5) of the neck (3) to a bridge (8) of a body (2), and is partially secured in a plurality of locations to the first neck member (31) and the body (2). The strings (10) are in a state of tension between two ends of the second neck member (33), and good sound quality can be maintained because string vibrations are transmitted to the pickup side with good efficiency via the first neck member (31). Since the neck body portion (3A) protruding from the body (2) is reinforced by the second neck member (33), the incidence of warping or other forms of deformation in the neck body portion (3A) can be prevented.
US08859863B2 Fertilisation independent fruit formation in tomato
The invention relates to tomato plants which may comprise the trait fertilization independent fruit formation, which may be obtainable by introgression from a plant, representative seed of which was deposited with the NCIMB under accession number NCIMB 41626, NCIMB 41627, NCIMB 41628, NCIMB 41629, NCIMB 41630 or NCIMB 41631. Such tomato plant may be obtainable by crossing plants, representative seed of which was deposited with the NCIMB under accession number NCIMB 41626, NCIMB 41627, NCIMB 41628, NCIMB 41629, NCIMB 41630 or NCIMB 41631, with a plant not showing the trait to obtain an F1 population; selfing plants from the F1 population to obtain an F2 population; preventing pollination of the F2 plants and allowing fruit formation to occur; and selecting plants producing fruits as plants showing fertilization independent fruit formation. The invention further relates to parthenocarpic fruits, seeds of the plants and propagation material of the plant.
US08859862B2 Cotton cultivar UA222
A cotton cultivar, designated UA222, is disclosed. The invention relates to the seeds of cotton cultivar UA222, to the plants of cotton UA222 and to methods for producing a cotton plant produced by crossing the cultivar UA222 with itself or another cotton variety. The invention further relates to hybrid cotton seeds and plants produced by crossing the cultivar UA222 with another cotton cultivar.
US08859858B1 Canola line NS6265
A novel canola variety designated NS6265 and seed, plants and plant parts thereof. Methods for producing a canola plant that comprise crossing canola variety NS6265 with another canola plant. Methods for producing a canola plant containing in its genetic material one or more traits introgressed into NS6265 through backcross conversion and/or transformation, and to the canola seed, plant and plant part produced thereby. Hybrid canola seed, plant or plant part produced by crossing the canola variety NS6265 or a locus conversion of NS6265 with another canola variety.
US08859856B2 Plants tolerant to HPPD inhibitor herbicides
The present invention relates to nucleic acid sequences encoding a hydroxyphenylpyruvate dioxygenase (EC 1.13.11.27, abbreviated herein as HPPD) obtained from bacteria belonging to the genus Kordia, as well as the proteins encoded thereby, and to a chimeric gene which comprises such nucleic acid sequence, and to the use of such nucleic acid sequences, proteins or chimeric genes for obtaining plants which are tolerant to HPPD inhibitor herbicides.
US08859855B2 Chimeric PUFA polyketide synthase systems and uses thereof
Disclosed are chimeric polyunsaturated fatty acid (PUFA) olyketide synthase (PKS) proteins and chimeric PUFA PKS systems, including chimeric PUFA PKS proteins and systems derived from Schizochytrium and Thraustochytrium. Disclosed are nucleic acids and proteins encoding such chimeric PUFA PKS proteins and systems, genetically modified organisms comprising such chimeric PUFA PKS proteins and systems, and methods of making and using such chimeric PUFA PKS proteins and systems.
US08859849B2 Delta-8 desaturases and their use in making polyunsaturated fatty acids
Isolated nucleic acid fragments and recombinant constructs comprising such fragments encoding delta-8 desaturases along with a method of making long-chain polyunsaturated fatty acids (PUFAs) using these delta-8 desaturases in plants and oleaginous yeast.
US08859848B2 Phloretin glycosyltransferases, polynucleotides encoding these and methods of use
The invention provides method for producing a plant cell or plant with increased phlorizin or phloretin glycosyltransferase activity, the method comprising transformation of a plant cell or plant with a polynucleotide encoding a polypeptide with phloretin glycosyltransferase activity. The invention also provides host cells, plant cells and plants, genetically modified to contain and or express the polynucleotides.
US08859847B1 Maize variety hybrid X18A633
A novel maize variety designated X18A633 and seed, plants and plant parts thereof, produced by crossing Pioneer Hi-Bred International, Inc. proprietary inbred maize varieties. Methods for producing a maize plant that comprises crossing hybrid maize variety X18A633 with another maize plant. Methods for producing a maize plant containing in its genetic material one or more traits introgressed into X18A633 through backcross conversion and/or transformation, and to the maize seed, plant and plant part produced thereby. This invention relates to the maize variety X18A633, the seed, the plant produced from the seed, and variants, mutants, and minor modifications of maize variety X18A633. This invention further relates to methods for producing maize varieties derived from maize variety X18A633.
US08859846B2 Doubling of chromosomes in haploid embryos
Methods for producing doubled haploid corn (Zea mays) plants, seeds, and plant cells are provided.
US08859845B2 Methods and compositions for selecting soybean plants resistant to phytophthora root rot
The present invention relates to the field of plant breeding and disease resistance. More specifically, the invention includes a method for breeding soybean plants containing quantitative trail loci (QTL) for resistance the Phytophthora root rot (PRR) caused by Phytophthora sojae. The invention further includes the use of molecular markers in the introgression of PRR resistance QTL into soybean plants.
US08859842B2 Embossed absorbent article
An absorbent article with topsheet, backsheet and absorbent core having an embossment region on the body facing side thereof and two spaced apart, longitudinally extending depression regions on the garment facing side thereof, including an adhesive pattern applied by a spray method directly onto the backsheet that intersects the depression regions and a release paper operatively attached to the adhesive pattern.
US08859841B2 Absorbent article including an absorbent core layer having a material free zone and a transfer layer arranged below the absorbent core layer
An absorbent article including a liquid permeable cover layer, a liquid impermeable barrier layer, an absorbent core arranged adjacent to the cover layer, the absorbent core including a material-free zone extending, a transfer layer arranged between the core and the barrier layer, the transfer layer including a planar portion having an upper surface and a lower surface and a protrusion extending upwardly from the upper surface.
US08859839B2 Disposable wearable absorbent articles with gender specific indicating
A disposable wearable absorbent article including a gender specific visual wetness indicating area.
US08859838B1 Protective cover
A sheath for covering an intravenous device to shield the device from a patient's skin. The sheath includes a layer of a soft woven material; and a thin layer of a plastic material formed on an inner surface of the soft woven material to form, with said soft woven material, a pliable wrap meant to be disposed about the intravenous device for shielding the patient's skin from the intravenous device. The pliable wrap has inner and outer surfaces, top and bottom ends, and respective sides. An elastic member is secured to at least one of the top and bottom surfaces of the pliable wrap to provide a gathered area surrounding the intravenous device at at least one end thereof. A fastening member is secured between the respective sides of the pliable wrap to extend in a closed manner about the intravenous device.
US08859836B2 Hydrocarbon conversion process using molecular sieve of MFS framework type
A crystalline molecular sieve of MFS framework type manufactured by the method disclosed herein. A hydrocarbon conversion process using the crystalline molecular sieve is disclosed.
US08859834B2 Process for the selective hydrogenation of multiply unsaturated hydrocarbons in olefin-containing hydrocarbon mixtures
The present invention describes a process for the parallel selective hydrogenation of branched and unbranched multiply unsaturated C4-C6-hydrocarbons in olefin-containing hydrocarbon mixtures with minimization of hydrogenation and isomerization of the olefins present in the stream.
US08859833B2 Methods and systems for obtaining long chain carbons from petroleum based oil
Methods and system for obtaining long chain carbons that generally include forming a conversion mixture of an alcohol and a base, adding the conversion mixture to oil (such as petroleum based oil, crude oil, used oil, used motor oil, and new motor oil) to form a reaction mixture, adding a high nitrate compound the reaction mixture, and separating out the long chain carbons for use as an input by other processing such as pharmaceutical and/or additional petro-chemical processing. Additional cooling and/or filtering processes may be utilized to complete and/or optimize oil conversion.
US08859832B2 Process for the manufacture of diesel range hydrocarbons
The invention relates to a process for the manufacture of diesel range hydrocarbons wherein a feed is hydrotreated in a hydrotreating step and isomerized in an isomerization step, and a feed comprising fresh feed containing more than 5 wt % of free fatty acids and at least one diluting agent is hydrotreated at a reaction temperature of 200-400° C., in a hydrotreating reactor in the presence of catalyst, and the ratio of the diluting agent/fresh feed is 5-30:1.
US08859824B2 Dual cation dual anion coordination complexes
Compounds are provided herein which are coordination complexes of the formula, AxByCit2Fz.mH2O, wherein A is a cation selected from certain IA or IIA metal ions in the Periodic Table; B is a cation selected from certain IIA metal ions and certain divalent d-block transition metal ions; A and B are different; “Cit” is tribasic citric acid anion; the stoichiometric ratio of x to y is equal or higher than 1.0 but lower than 8.0; m is 0-12; and z is selected such that electroneutrality is preserved. Compounds provided herein are useful to treat dietary deficiencies, osteoporosis, osteopenia, bone loss, and risk of bone loss, as well as other medical conditions involving the need for administration of the various ions. In addition, the compounds can be used as fluoride sources for dental use and to fluoridate water systems.
US08859821B2 Polyketone plasticizers
The invention relates to polyketone compounds and the at least partially hydrogenated products thereof, the use of said polyketone compounds and/or the at least partially hydrogenated products thereof as plasticizers, processes of making polyketone compounds and the at least partially hydrogenated products thereof, compositions comprising the polyketone compounds and/or the at least partially hydrogenated products thereof, and to articles formed from products of the invention.
US08859820B1 3-methyl-6-cyclohexadecen-1-one and its use in perfume compositions
The present invention is directed to a novel fragrance compound, 3-methyl-cyclohexadec-6-enone.
US08859817B2 Process for preparation of phenyl carbamate derivatives
Provided are a process for the preparation of phenyl carbamate derivatives, useful in the treatment of CNS (central nervous system) disorders, an intermediate in the synthesis of the phenyl carbamate derivatives, and a process for preparation of the intermediate.
US08859811B2 Method and apparatus for recovery of amine from amine-containing waste water and regeneration of cation exchange resin
Provided is an apparatus for recovering amines from amine-containing waste water generated in power stations, etc., and regenerating a cation exchange resin. The apparatus includes: a cation exchange resin layer capturing amines from amine-containing waste water and eluting the amines therefrom; a degassing tower degassing the eluted amines; a vacuum pump connected to the degassing tower; and a condensation and cooling tower condensing the degassed amines at a temperature of −33° C. or lower, wherein the amines captured in the cation exchange resin layer are eluted by injecting a strong acidic solution, while the resin is regenerated, and the amines eluted by the strong acidic solution is subjected to vacuum degassing and then recovered. Provided also is a method for recovering amines and regenerating a cation exchange resin using the apparatus. The apparatus and method for recovering amines and regenerating a cation exchange resin improve the quality of effluent water from power stations, etc., and increase the cost-efficiency through the recycle of amines.
US08859810B2 Process for recovering permanganate reducing compounds from an acetic acid production process
This invention relates to processes for producing acetic acid and, in particular, to improved processes for recovering permanganate reducing compounds formed during the carbonylation of methanol in the presence of a carbonylation catalyst to produce acetic acid. Alkyl halides are removed or reduced from the recovered permanganate reducing compounds.
US08859805B2 Process for preparing isocyanates
The invention relates to a multistage process for the continuous preparation of organic, distillable polyisocyanates, preferably diisocyanates, particularly preferably aliphatic or cycloaliphatic diisocyanates, by reaction of the corresponding organic polyamines with carbonic acid derivatives and alcohols to form low molecular weight monomeric polyurethanes and thermal dissociation of the latter, in which the polyisocyanates produced and unusable residues are separated off at particular stages of the reaction and reusable by-products and intermediates are recirculated to preceding stages.
US08859804B2 Method for producing a (meth)acrylate
Disclosed is a method for producing a (meth)acrylate ester wherein a high purity (meth)acrylate ester is obtained with a high yield, with a reduced loss of (meth)acrylic acid anhydride. In the method, (meth)acrylic acid is recovered with a high yield, and the (meth)acrylate ester is purified easily. Specifically disclosed is a method for producing a (meth)acrylate ester which comprises: (1) a step of producing (meth)acrylic acid anhydride by reacting a specific fatty acid anhydride and (meth)acrylic acid, while removing a by-produced fatty acid; (2) a step of obtaining a (meth)acrylate ester by reacting an alcohol and unpurified (meth)acrylic acid anhydride obtained in step (1) preferably at a temperature not less than 90° C.; and (3) a step of recovering (meth)acrylic acid by distillation preferably at a temperature not less than 90° C. The method may also comprise a step of heating or distilling the reaction liquid obtained in step (2) at a temperature not less than 90° C.
US08859801B2 3,4-dialkylbiphenyldicarboxylic acid compound, 3,4-dicarboalkoxybiphenyl-3', 4'-dicarboxylic acid and corresponding acid anhydrides, and processes for producing these compounds
The present invention relates to the 3,4-Dicarboalkoxybiphenyl-3′,4′-dicarboxylic acid (including the corresponding acid anhydride) represented by the general formula (1): wherein R11 and R12 each represents an alkyl group having 1 to 4 carbon atoms, and n represents the number of waters of hydration that is 0 or 1.
US08859798B2 Isothiocyanate compounds, pharmaceutical compositions, and uses thereof
Provided herein are compositions of matter and pharmaceutical compositions thereof, for use in inhibiting the growth of various microbial pathogens, including bacteria, fungi, protozoa, and viral pathogens. Also provided herein are methods of treating microbial diseases/infections and cancer with the compositions. The compositions are additionally useful in wood preservation and food preservation by inhibition of microbial growth.
US08859797B1 Synthesis methods for carbosilanes
A SiH[CH2—Si(OEt)3]3 carbosilane compound is synthesized by reacting a Grignard reagent having the formula Si(OEt)3(CH2MgCl) with a quenching agent having the formula SiHCl3.
US08859791B2 Process for producing an alkylene oxide by olefin epoxidation
A process for producing an alkylene oxide by olefin epoxidation, wherein said process comprises the steps of: (1) in a first olefin epoxidation condition, in the presence of a first solid catalyst, a first mixed stream containing a solvent, an olefin and H2O2 is subjected to an epoxidation in one or more fixed bed reactors and/or one or more moving bed reactors until the conversion of H2O2 reaches 50%-95%, then, optionally, the resulting reaction mixture obtained in the step (1) is subjected to a separation to obtain a first stream free of H2O2 and a second stream containing the unreacted H2O2, and the olefin is introduced to the second stream to produce a second mixed stream, or optionally, the olefin is introduced to the reaction mixture obtained in the step (1) to produce a second mixed stream; (2) in a second olefin epoxidation condition, the reaction mixture obtained in the step (1) or the second mixed stream obtained in the step (1) and a second solid catalyst are introduced to one or more slurry bed reactors to conduct an epoxidation until the total conversion of H2O2 reaches 98% or more, with a proviso that said process for producing the alkylene oxide by olefin epoxidation has an selectivity for the alkylene oxide of 90% or more.The process of the present invention combines the slurry bed reactor with the fixed bed reactor and/or the moving bed reactor so as to overcome the disadvantages of the low conversion of H2O2 in the case that only the fixed bed reactor and/or the moving bed reactor are used, and the low selectivity for the target alkylene oxide in the case that only the slurry bed reactor is used.
US08859789B2 Method for producing optically active fluorine-containing oxeten
A method for producing an optically active fluorine-containing oxeten, the method being provided to include the steps of causing a fluorine-containing α-ketoester and an internal alkyne to react with each other in the presence of a transition metal complex that has an optically active ligand.
US08859788B2 Esterification of furan-2,5-dicarboxylic acid to a dialkyl-furan-2,5-dicarboxylate vapor with rectification
A process for the manufacture of dialkyl furan-2,5-dicarboxylate (DAFD) vapor composition by feeding furan-2,5-dicarboxylic acid (“FDCA”) to an esterification reactor and in the presence of an alcohol compound such as methanol, conducting an esterification reaction to form an esterification vapor containing DAFD, unreacted alcohol compound, 5-(alkoxycarbonyl)furan-2-carboxylic acid (ACFC), and water, and continuously passing the esterification vapor through an ACFC condensing zone, that can be integral with the esterification reactor, in which at least a portion of the ACFC in the esterification vapor is converted to a liquid phase condensate, and continuously discharging the esterification vapor from the ACFC condensing zone as a DAFD vapor. There is also a DAFD vapor composition containing DAFD, water, unreacted alcohol, and by-products.
US08859786B2 Preparation of 3,5-dioxo hexanoate ester in two steps
The invention discloses a method for the preparation of tert-butyl 6-chloro-3,5-dioxohexanoate from Meldrum's acid derivative and its use for the preparation of tert-butyl(4R,65)-(6-hydroxymethyl-2,2-dimethyl-1,3-dioxan-4-yl)acetate (BHA), Rosuvastatin and Atorvastatin.
US08859782B2 Process for the preparation of 1-H-pyrrolidine-2,4-dione derivatives
The present invention relates to a novel process for the preparation of 1-H-pyrrolidine-2,4-dione derivatives and to novel intermediates and to a process for their preparation.
US08859774B2 Heteroaryl-ketone fused azadecalin glucocorticoid receptor modulators
The present invention provides heteroaryl ketone fused azadecalin compounds and methods of using the compounds as glucocorticoid receptor modulators.
US08859773B2 N1/N2-lactam acetyl-CoA carboxylase inhibitors
The invention provides a compound of Formula (I) or a pharmaceutically acceptable salt thereof; wherein G is R1, R2 and R3 are as described herein; pharmaceutical compositions thereof; and the use thereof in treating diseases, conditions or disorders modulated by the inhibition of an acetyl-CoA carboxylase enzyme(s) in an animal.
US08859766B2 Peptide nucleic acid derivatives with good cell penetration and strong affinity for nucleic acid
The present invention provides a novel class of peptide nucleic acid derivatives, which show good cell penetration and strong binding affinity for nucleic acid.
US08859765B2 Process for the manufacture of chiral catalysts and their salts
The present invention provides efficient and economical methods for synthesis of (−)-2-exo-morpholinoisoborne-10-thiol, its enantiomer, and related chiral catalysts. Novel compounds and methods of asymmetric synthesis are also disclosed.
US08859762B2 Methods for ultrasonication-assisted chlorination of aromatic compounds
Chlorinated aromatic compounds are prepared by ultrasonicating a mixture of ICl and an aromatic compound.
US08859756B2 Stereoselective synthesis of phosphorus containing actives
Disclosed herein are phosphorus-containing actives, their use as actives for treating diseases, and a stereoselective process for preparing the same. Also disclosed herein are useful synthetic intermediates and processes for preparing the same.
US08859753B2 Methods and compositions for labeling nucleic acids
The present invention relates to methods for the labeling of nucleic acid polymers in vitro and in vivo. In particular, the methods include a [3+2] cycloaddition between a nucleotide analog incorporated into a nucleic acid polymer and a reagent attached to a label. Such methods do not require fixation and denaturation and therefore can be applied to the labeling of nucleic acid polymers in living cells and in organisms. Also provided are methods for measuring cellular proliferation. In these methods, the amount of label incorporated into the DNA is measured as an indication of cellular proliferation. The methods of the invention can be used in a wide variety of applications including clinical diagnosis of diseases and disorders in which cellular proliferation is involved, toxicity assays, and as a tool for the study of chromosomes' ultrastructures.
US08859747B2 Promoters exhibiting endothelial cell specificity and methods of using same
An isolated polynucleotide functional as a promoter in eukaryotic cells is disclosed. The isolated polynucleotide includes an endothelial specific enhancer element as detailed herein. Further disclosed is a method of expressing a nucleic acid sequence of interest in endothelial cells.
US08859737B2 Anti-HER3 antibodies and uses thereof
The present invention relates to antibodies binding to human HER3 (anti-HER3 antibody), methods for their production, pharmaceutical compositions containing said antibodies, and uses thereof.
US08859736B2 Monoclonal antibodies that inhibit the wnt signaling pathway and methods of production and use thereof
Monoclonal antibodies against LRP6 and that block the Wnt signaling pathway are disclosed. Methods of production and use thereof are also disclosed.
US08859734B2 Method for the selective enrichment and labeling of phosphorproteins
The embodiments of the invention relate to a method for the introduction of a labeling structure such as a fluorescent molecules or a Raman tags to a compound. Imidazole functionalized resins or polymers are used to selectively immobilize phosphocompounds without protecting the carboxylic groups. Relying on the pKa difference between amines and hydrazides and carrying out the reaction in a slightly acidic buffer, all of the amines are protected by protonation while the hydrazides react with the phosphate imidazolide to form a phosphoramidate bond.
US08859730B2 Native chemical ligation at serine and threonine sites
A chemoselective chemical ligation method is disclosed. The method joins two peptide segments efficiently to produce a larger peptide or protein, by generating a natural peptide bond (Xaa-Ser and Xaa-Thr) at the ligation site (Xaa represents any 5 amino acid). The method requires two steps (FIG. 1 (a)): a) reacting the starting peptide(s) to form an acetal intermediate with an acetal group at the ligation site; b) converting said acetal intermediate to a desired peptide or protein with said natural peptide bond.
US08859727B2 Bioactive FUS1 peptides and nanoparticle-polypeptide complexes
A nanoparticle-polypeptide complex comprising a bioactive polypeptide in association with a nanoparticle, wherein the bioactive polypeptide is modified by the addition of a chemical moiety that facilitates cellular uptake of the protein. The polypeptide can be a protein or a peptide. In some embodiments, the amino acid sequence of the protein or peptide is derived from the amino acid sequence of a tumor suppressor gene product.
US08859725B2 Healthy kidney biomarkers
The invention provides novel healthy kidney biomarkers useful in the monitoring of renal function and in the prognosis and diagnosis of renal dysfunctions, especially those related to graft rejection. The invention further relates to methods for aiding in the evaluation, and design of personalized therapies in transplantation nephrology.
US08859723B2 Peptidomimetic macrocycles
The present invention provides novel peptidomimetic macrocycles and methods of using such macrocycles for the treatment of disease.
US08859720B2 Method for preparing polyarylene sulfide
The present invention relates to a method for preparing polyarylene sulfide, in which the polyarylene sulfide is prepared by a polymerization reaction of reactants including a diiodo aromatic compound and a sulfur compound, the method including: further adding 0.01 to 10.0 wt. % of diphenyl disulfide with respect to the weight of the polyarylene sulfide to the reactants to form the polyarylene sulfide having a melting point of 265 to 320° C.The diphenyl disulfide included in the reactants according to the present invention costs far less than other conventional polymerization inhibitors to dramatically lower the production cost, and the polyarylene sulfide prepared using the diphenyl disulfide exhibits low iodine content and very excellence in thermal stability.
US08859716B2 Degradable thiol-ene polymers
A thiol-ene polymeric material is disclosed. The material is produced by the photopolymerization of reactants having thiol and olefin moieties. The material can incorporate encapsulated components, including cells. Additionally, the material can be derivatized by reacting the polymeric material with components such as proteins.
US08859711B2 Method for preparing wholly aromatic liquid crystalline polyester amide resin and method for preparing wholly aromatic liquid crystalline polyester amide resin compound
A method of preparing a wholly aromatic liquid crystalline polyester amide resin and a method of preparing a wholly aromatic liquid crystalline polyester amide resin compound. The method of preparing a wholly aromatic liquid crystalline polyester amide resin is performed using a monomer having both a hydroxyl group and an acetylamino group or using a monomer having a hydroxyl group and a monomer having an acetylamino group. In addition, the method of preparing a wholly aromatic liquid crystalline polyester amide resin compound is performed using a wholly aromatic liquid crystalline polyester amide resin that is prepared using the method.
US08859709B2 Method of forming polyhedral oligomeric silsesquioxane compounds
A mixture of at least two polyhedral oligomeric silsesquioxane (POSS) compounds is formed in the presence of rhenium on cerium(IV) oxide. The POSS compounds are formed utilizing a method that includes the step of combining (a) a trihalosilane, (b) hydrogen gas, and (c) the rhenium on cerium(IV) oxide, in a reactor at a temperature of from 250° C. to 600° C. to form the mixture. The trihalosilane has the formula RSiX3 wherein R is an alkyl group having from 1 to 4 carbon atoms, an aryl group, and wherein X is a halo atom. This method allows for the efficient, predictable, and accurate production of the POSS compounds with a minimized need for use of expensive separation techniques. In addition, this method produces the POSS compounds in commercially useful quantities as major reaction products thereby avoiding the need to run multiple reactions.
US08859706B2 Bioactive hydrogel
The invention relates to a bioactive hydrogel as a hybrid material of heparin and star-branched polyethylene glycol with functionalized end groups, wherein the heparin is bound directly by reaction of the carboxyl groups activated with 1-ethyl-3-(3-dimethylaminopropyl) carbodiimides/N-hydroxysulfosuccinimide (EDC/s-NHS) with the terminal amino groups of the polyethylene glycol covalently by amide bonds.
US08859702B2 Polymer composition for cement dispersant and method for producing same
The present invention has an object to provide a polymer-containing composition for dispersants for cement which exhibits higher cement dispersibility even when used in a small amount, and can provide high flowability to a cement composition such as mortar and concrete and stably maintain this high flowability for a certain period, and to provide a production method thereof. The polymer-containing composition for dispersants for cement includes a polymer including a repeating unit derived from an unsaturated polyalkylene glycol ether monomer (I) having a specific structure and a repeating unit derived from an unsaturated carboxylic acid monomer (II) having a specific structure, and is prepared by polymerizing an unsaturated polyalkylene glycol ether monomer (I)-containing composition that includes specific amounts of specific components.
US08859701B2 Process for producing improved absorbent polymers by means of cryogenic grinding
A process for producing a water-absorbing polymer comprises: (i) mixing (α1) 0.1-99.99% by weight of ethylenically unsaturated monomers containing acid groups or salts thereof, or ethylenically unsaturated monomers including a protonated or quaternized nitrogen, or mixtures thereof, (α2) 0-70% by weight of ethylenically unsaturated monomers copolymerizable with (α1), (α3) 0.001-10% by weight of one or more crosslinkers, (α4) 0-30% by weight of water-soluble polymers, and (α5) 0-20% by weight of one or more assistants, where the sum of the weights (α1) to (α5) is 100%; (ii) free-radical polymerization with crosslinking to form an untreated hydrogel polymer; (iii) coarse comminution of the untreated hydrogel polymer to give pieces having a diameter from 0.1 mm to 5.0 cm; (iv) cooling and grinding the untreated hydrogel polymer; (v) drying the untreated hydrogel polymer after grinding at a temperature from 85° C. to 260° C.; (vi) postcrosslinking the hydrogel polymer and (vii) drying the water-absorbing polymer.
US08859694B2 Cure accelerators
An epoxy resin composition comprising an epoxy resin component combined with a sufficient amount of an imidazole curative to provide curing of the epoxy resin composition. The epoxy resin composition further includes a non-hydroxyl containing cure accelerator for the imidazole curative.
US08859691B2 Low temperature thermoplastic material and preparing method thereof
A low temperature thermoplastic material is provided. The components include in weight parts: polyurethane with a melting point of 50-70° C. 90-10 parts, poly(ε-caprolactone) 0-90 parts and cross linking co-agent 0.1-6 parts. Compared with the prior poly(ε-caprolactone) low temperature thermoplastic material, the present low temperature thermoplastic material has a smaller shrinking force and a better fastening intensity, is more comfortable and can be stretched more uniformly after molding. Therefore, the material is suitable for hospital and other radiotherapy institution and can be used compatibly with three-dimensional conformal radiotherapy equipments in tumor surgery.
US08859689B2 Conducting polymer materials based on carbonyl-functionalized polysilicones and methods for their preparation
Polymer compositions based on polyaniline and carbonyl-functionalized polysilicones, and methods for making these polymer compositions are disclosed in the present application. The polymer compositions have, for example, good solubility, processability, mechanical performance and conductivity.
US08859688B2 Polymer-bonded quaterrylene and/or terrylene dyes and compositions containing same
Method for increasing at least one of the solubility and dispersibility of a quaterrylene and/or terrylene dye in a liquid medium, including binding the quaterrylene or terrylene dye to a polymer which is soluble in the liquid medium. Various aspects relate without limitation to polymer-bonded quaterrylene or terrylene dyes, processes, markings, security features, articles and dye-doped polymers.
US08859687B2 Functiontionalized elastomer
The present invention is directed to a functionalized elastomer comprising: a polymeric backbone chain derived from a monomer comprising at least one conjugated diene monomer and optionally at least one vinyl aromatic monomer; and a functional group bonded to the backbone chain, the functional group comprising a multidentate ligand capable of complexing with a metal ion.
US08859680B2 Poly(ureaurethane)s, articles and coatings prepared therefrom and methods of making the same
The present invention provides poly(ureaurethane)s including a reaction product of components including: (a) at least one isocyanate functional urea prepolymer including a reaction product of (1) at least one polyisocyanate having at least three isocyanate functional groups selected from the group consisting of polyisocyanate trimers and branched polyisocyanates; and (2) water; and (b) at least one branched polyol having 4 to 18 carbon atoms and at least 3 hydroxyl groups, wherein the branched polyol is selected from the group consisting of glycerol, tetramethylolmethane, trimethylolethane, trimethylolpropane, erythritol, pentaerythritol, dipentaerythritol, tripentaerythritol, sorbitan, alkoxylated derivatives thereof and mixtures thereof, wherein the equivalent ratio of NCO:water ranges from about 10:1 to about 2:1; compositions, coatings and articles made therefrom and methods of making the same.
US08859676B2 Aqueous polyurethane resin dispersion and process for preparing the same
An aqueous polyurethane resin dispersion containing a polyurethane resin obtained by reacting (A) a polyurethane prepolymer obtained by reacting (a) a polyisocyanate compound, (b) at least one polyol compound containing a polycarbonate polyol having a number average molecular weight of 400 to 3000, (c) an acidic group-containing polyol compound, and (d) a blocking agent for an isocyanate group, which dissociates at 80 to 180° C., and (B) a chain elongating agent having reactivity with the isocyanate group, being dispersed in an aqueous medium, a sum of a content of urethane bondings and a content of urea bondings is 7 to 18% by weight of solid components, a content of carbonate bondings is 15 to 40% by weight of solid components, and a content of an isocyanate group to which the above-mentioned blocking agent has bonded is 0.2 to 3% by weight of solid components and calculated on the isocyanate group.
US08859673B2 Processable inorganic and organic polymer formulations, methods of production and uses thereof
Polymer formulations are disclosed and described herein that comprise: at least one polymer comprising at least one hydroxy functional group, at least one acid source, and at least one acid-activated crosslinker that reacts with the polymer. In contemplated embodiments, these polymer formulations are curable at relatively low temperatures, as compared to those polymer formulations not comprising contemplated crosslinkers. Transparent films formed from these contemplated formulations are also disclosed. Organic transparent film compositions are also disclosed that comprise: at least one at least one phenol-based polymer, at least one solvent; at least one acid-activated crosslinker; and at least one acid source. Methods of forming organic transparent films with improved transmittance by depositing on a substrate the formulations disclosed herein and curing the formulations or compositions at a temperature of less than about 200° C. Inorganic transparent film compositions are disclosed that include: at least one silanol-based polymer, at least one solvent; at least one acid-activated crosslinker; and at least one acid source. Methods of forming inorganic transparent films are disclosed by depositing on a substrate the formulations disclosed herein and curing the formulations or compositions at a temperature of 200° C. or less.
US08859670B2 Polyolefin composition
A polyolefin composition having an increased modulus of elasticity as well as increased heat resistance, wherein the polymer composition has high melt viscosity, and wherein the composition contains a carbon material as a filler, wherein the filler is present in the form of a carbon nanofiber.
US08859666B2 Variable viscosity solventless prepolymer formulation
This invention relates to a prepolymer formulation comprising dicyclopentadiene that is at least 92% pure wherein the prepolymer formulation is flowable at ambient temperatures by virtue of the addition of a reactive ethylene monomer to the formulation.
US08859662B2 Polyamide moulding material and use thereof for production of LED housing components
What is described is a polyamide molding material based on semicrystalline polyamides for production of LED housings or housing components with high strength, high long-term reflectivity and low blistering tendency. The polyamide moulding material proposed consists of the following components (A) 40 to 80% by weight of at least one semiaromatic polyamide based on at least 70 mol % of aromatic dicarboxylic acids and at least 70 mol % of aliphatic diamines having 4 to 18 carbon atoms and having a melting temperature in the range from 270° C. to 340° C. (B) 10 to 30% by weight of titanium dioxide particles (C) 5 to 20% by weight of glass fibres (D) 5-30% by weight of calcium carbonate. The percentages by weight of components (A) to (D) together add up to 100%, with the proviso that components (B), (C) and (D) meet the following conditions: (B)+(C)+(D)=20 to 60% by weight; weight ratio of (C)/(D) in the range from 0.25 to 1.5, where the polyamide molding material may optionally comprise customary additives (E) in addition to components (A) to (D), and where the amount thereof is in addition to the sum of components (A) to (D).
US08859659B2 Ink for printing on molded parts, and method for using said ink
The present invention relates to a method for obtaining a molded object made of laminated thermoplastic resin, said object having a high-quality printed surface. The present invention also relates to the resulting molded objects and to an ink specially suited for use in the above-mentioned method.
US08859653B2 Rubber-steel cord composite
Provided is a rubber-steel cord composite which is excellent in workability and which is markedly excellent in tensile characteristics, an adhesive property after vulcanization for a short time and a heat resistant adhesive property. The rubber-steel cord composite has such a constitution that a steel cord which has a brass-plated layer on a peripheral surface thereof and in which a content of phosphorus contained in the form of oxide in a wire surface layer region extending from a surface of the above brass-plated layer up to a depth of 5 nm in a wire radial direction toward the inside is 1.5 atomic % or less is adjacent to a rubber composition containing a rubber component, sulfur and a sulfeneamide base vulcanization accelerator represented by the following Formula (I): wherein R1, R2, R3, R4, R5, R6; x and n are as defined in the specification.
US08859649B2 Asphalt compositions including a disperion of microgels dipersed in an oil
The present invention is related to dispersion compositions and asphalt compositions including the dispersion compositions. The dispersion compositions include a microgels dispersed in a continuous oil phase. The dispersed microgels include at least one water swollen/swellable polymer, water soluble polymer, or combination thereof. The asphalt compositions include bitumen, aggregate, and a dispersion composition having a microgels dispersed in oil. The dispersed microgels include at least one water swollen/swellable polymer, water soluble polymer, or combination thereof.
US08859648B2 Retardation film and polyester resin for optical use
The present invention relates to a retardation film using polyester having a photoelastic coefficient of −40×10−12 Pa−1 to 40×10−12 Pa−1. The present invention also relates to a polyester resin for optical use which contains a phosphorus compound, and an alicyclic component and a fluorene derivative component as constituents, and satisfies the following equations (6) and (7): 100° C.≦glass transition temperature≦150° C.  (6) and 1.0≦(Ma/2+Mb+Mc)/P≦5.0  (7), wherein Ma is the number of moles of an alkali metal element contained in 1 ton of the polyester resin, Mb is the number of moles of an alkaline earth metal element contained in 1 ton of the polyester resin, Mc is the sum of the number of moles of a zinc element (Zn), a cobalt element (Co) and a manganese element (Mn) contained in 1 ton of the polyester resin, and P is the number of moles of a phosphorus element contained in 1 ton of the polyester resin.
US08859647B2 Antistatic resin composition
An antistatic resin composition is provided, from which a thermoplastic resin molded article having a sufficient permanent antistatic property without impairing an excellent mechanical property or a good appearance of the molded article, even in a case that the content of an antistatic agent contained in the composition is less than that in a conventional composition, is provided. The antistatic resin composition contains an antistatic agent (A) and a thermoplastic resin (B), in which a melt viscosity ratio of the thermoplastic resin (B) to the antistatic agent (A) at 220° C. is 0.5-5 and an absolute value of a difference between solubility parameters (SPs) of the antistatic agent (A) and the thermoplastic resin (B) is 1.0-3.0. The antistatic resin molded article is obtained by molding the antistatic resin composition.
US08859638B1 Method for making a high solids cross-linked ethylene propylene diene terpolymer latex
A low pressure, low volatile, low energy method to make an ethylene, propylene diene terpolymer latex usable in paints, adhesives, and as a coating.
US08859634B2 Adherent resin composition
This invention relates to a photo-curing or electron beam-curing adherent resin composition being excellent in the adhesiveness to various adherends such as metal oxide, metal, resin and so on, and more particularly to an adherent resin composition of a photo-curing or electron beam-curing type comprising a difunctional urethane (metha)acrylate oligomer (A) and a monomer component (B), characterized in that the urethane (metha)acrylate oligomer includes a urethane prepolymer portion composed of a polyol and a polyisocyanate wherein repetitive number of urethane prepolymer portion (n) is 10-30, and the monomer component (B) is a cyclic monomer having a (metha)acryloyl group.
US08859627B2 Anti-microbial composition
The present invention relates to an anti-microbial composition and method of use thereof. In particular, the present invention relates to an anti microbial composition comprising farnesol and cetrimide.
US08859625B2 Methods to prepare penta-1,4-dien-3-ones and substituted cyclohexanones and derivatives with antitumoral and antiparasitic properties, the compounds and their uses
The present invention refers to new derivatives of the penta-1,4-dien-3-ones, as well as their processes of preparation. These compounds present strong antitumoral activity and promising antiparasitic action, behaving as almost atoxic by laboratory assays and also by hystopathologic studies. The present invention refers also to a pharmaceutical composition including the referred compounds, method of treatment for cancer and parasitic diseases.
US08859615B2 Compounds, compositions and methods for reducing toxicity and treating or preventing diseases
The present invention provides compounds of Formula (I), compositions comprising an effective amount of a compound of Formula (I), optionally with chemotherapeutic drugs such as a tubulin-binding drug, and methods of their use for reducing the toxicity of cytotoxic agents, treating or preventing cancer or a neuropathic disorder, inducing a chemoprotective phase II enzyme, DNA, or protein synthesis, enhancing the immune system, treating inflammation, improving and enhancing general health or well-being, and methods for making compounds of Formula (I).
US08859611B2 Thiophene inhibitors of S-nitrosoglutathione reductase
The present invention is directed to novel thiophene inhibitors of S-nitrosoglutathione reductase (GSNOR), pharmaceutical compositions comprising such GSNOR inhibitors, and methods of making and using the same.
US08859610B2 Crystalline glycopyrrolate tosylate
Salts of glycopyrrolate, including solid forms thereof are herein disclosed. Methods of making glycopyrrolate salts and methods of treating hyperhidrosis with salts of glycopyrrolate are disclosed.
US08859609B2 Nicotinic acetylcholine receptor sub-type selective amides of diazabicycloalkanes
Compounds, pharmaceutical compositions including the compounds, and methods of preparation and use thereof are disclosed. The compounds are amide compounds which can be prepared from certain heteroaryl carboxylic acids and certain diazabicycloalkanes. The compounds exhibit selectivity for, and bind with high affinity to, neuronal nicotinic receptors of the α4β2 subtype in the central nervous system (CNS). The compounds and compositions can be used to treat and/or prevent a wide variety of conditions or disorders, particularly CNS disorders. The compounds can: (i) alter the number of nicotinic cholinergic receptors of the brain of the patient, (ii) exhibit neuroprotective effects, and (iii) when employed in effective amounts, not result in appreciable adverse side effects (e.g. side effects such as significant increases in blood pressure and heart rate, significant negative effects upon the gastrointestinal tract, and significant effects upon skeletal muscle).
US08859608B2 Spiro amino compounds suitable for the treatment of inter alia sleep disorders and drug addiction
A spiro-amino compound of Formula (VI) wherein m is 1 or 2 or 3, n is 1 or 2, R is selected from a 5- or 6-membered aromatic ring and a 5- or 6-membered heteroaromatic ring comprising 1 to 3 heteroatoms selected from S, O and N, such ring being substituted with one or two substituents selected from the group consisting of (C1-C3)alkyl, halogen, (C3-C5)cycloalkyloxy, (C1-C3)alkylcarbonyl, phenyl optionally substituted with one or more halogen atoms, a 5- or 6-membered heterocycle comprising at least one nitrogen atom; P is a substituent Q or COQ, wherein Q is selected from the group consisting of phenyl, pyridyl, pyrimidyl, quinolyl, isoquinolyl, quinoxalyl, benzofuranyl, imidazotriazolyl, being such Q optionally substituted with one or more substituents selected from the group consisting of (C1-C3)alkyl, halogen, trifluoromethyl, carbammido, methylcarbammido, carboxy, methylcarboxy or a pharmaceutically acceptable salt thereof.
US08859607B2 Crystalline complexes of agriculturally active organic compounds
The present invention relates to crystalline complexes comprising at least one agriculturally active organic compound A having at least one functional moiety which is capable as serving as a hydrogen acceptor in a hydrogen bond and thiophanate-methyl.
US08859605B2 Cysteine protease inhibitors
Compounds of the formula I wherein R1a is H; and R1b is C1-C6alkyl, Carbocyclyl or Het; or R1a and R1b together define a saturated cyclic amine with 3-6 ring atoms; R2a and R2b are independently H, halo, C1-C4alkyl, C1-C4haloalkyl or C1-C4alkoxy, or R2a and R2b together with the carbon atom to which they are attached form a C3-C6cycloalkyl; R3 is a branched C5-C10 alkyl chain, C2-C4haloalkyl or —CH2C3-C7 cycloalkyl; R4 is C1-C6alkyl, C1-C6haloalkyl, C1-C6alkylamino or C1-C6dialkylamino; for use in the prophylaxis or treatment of a disorder characterised by inappropriate expression or activation of cathepsin S.
US08859601B2 Substituted benzyl and phenylsulfonyl triazolones, and use thereof
The present application relates to new, substituted benzyl-1,2,4-triazolones and phenylsulfonyl-1,2,4-triazolones, to processes for preparing them, to their use alone or in combinations for the treatment and/or prevention of diseases and also to their use for the production of medicaments for the treatment and/or prevention of diseases, more particularly for the treatment and/or prevention of cardiovascular disorders.
US08859600B2 Acetone solvate crystals of trityl olmesartan medoxomil
Acetone solvate crystals of trityl olmesartan medoxomil are provided.
US08859599B2 Androgen receptor modulating compounds, preparation and uses thereof
The present invention concerns compounds of general formula (I): Method of preparation and uses thereof.
US08859596B2 Compounds as cannabinoid receptor ligands
Disclosed herein are cannabinoid receptor ligands of formula (I) wherein A1, A5, Rx, X4, and z are as defined in the specification. Compositions comprising such compounds, and methods for treating conditions and disorders using such compounds and compositions are also disclosed.
US08859590B2 Inhibitors of BACE1 and methods for treating Alzheimer's disease
Inhibitors of BACE1 and compositions containing them are described. Use of the inhibitors and compositions containing them to treat Alzheimer's disease are described.
US08859584B2 TRPV1 antagonists
Disclosed herein are compounds of formula (I): or pharmaceutically acceptable salts thereof, wherein X1, L, Rx, Ry, Rz, A, m, n, p, q, s, and positions a and b are as defined in the specification. Compositions comprising such compounds and methods for treating conditions and disorders using such compounds and compositions are also disclosed.
US08859580B2 Aryl- and heteroarylcarbonyl derivatives of benzomorphanes and related scaffolds, medicaments containing such compounds and their use
The present invention relates to compounds defined by formula I wherein the groups R1 to R3, X, m, n and o are defined as in claim 1, possessing valuable pharmacological activity. Particularly the compounds are inhibitors of 11β-hydroxysteroid dehydrogenase (HSD) 1 and thus are suitable for treatment and prevention of diseases which can be influenced by inhibition of this enzyme, such as metabolic diseases, in particular diabetes type 2, obesity and dyslipidemia.
US08859579B2 Compostions and methods for preventing and/or treating disorders associated with cephalic pain
Compounds, e.g., of formula (I) and (Ia), pharmaceutical compositions comprising the compounds and methods of using the compounds and pharmaceutical compositions for treating pain disorders, e.g., disorders associated with cephalic pain, are provided.
US08859577B2 N1-pyrazolospiroketone acetyl-CoA carboxylase inhibitors
The invention provides a compound of Formula (I) Z N N O N O A1R2 R1 R3R 3 L A2 (I) or a pharmaceutically acceptable salt of the compound, wherein R1, R2, R3,Z, A1, L and A 5 2 are as described herein; pharmaceutical compositions thereof; and the use thereof in treating diseases, conditions or disorders modulated by the inhibition of an acetyl-CoA carboxylase enzyme(s) in an animal.
US08859576B2 Method of treating thermoregulatory dysfunction with paroxetine
The present invention relates to a method for treating a patient suffering from a thermoregulatory dysfunction, especially hot flashes and flushes associated with hormonal changes due to naturally occurring menopause (whether male or female) or due to chemically or surgically induced menopause. The method is also applicable to treating the hot flashes, hot flushes, or night sweats associated with disease states that disrupt normal hormonal regulation of body temperature.
US08859574B2 Compounds and compositions as kinase inhibitors
The invention provides novel pyrimidine derivatives and pharmaceutical compositions thereof, and methods for using such compounds. For example, the pyrimidine derivatives of the invention may be used to treat, ameliorate or prevent a condition which responds to inhibition of insulin-like growth factor (IGF-1R) or analplastic lymphoma kinase (ALK).
US08859571B2 Quinazoline compounds
Disclosed are compounds having the formula: wherein R1, R2, R3, R4, R5, R6 and R7 are as defined herein, and methods of making and using the same.
US08859569B2 Substituted annellated pyrimidines and use thereof
The present application relates to novel substituted annellated pyrimidines, methods for production thereof, use thereof alone or in combinations for treating and/or preventing diseases and use thereof for the production of medicinal products for treating and/or preventing diseases, in particular for treating and/or preventing cardiovascular diseases.
US08859566B2 Substituted fused pyrimidine compounds
The present invention discloses substituted fused pyrimidine compounds of formula (I), their tautomers, polymorphs, stereoisomers, solvates, pharmaceutically acceptable salts, or pharmaceutical compositions containing them and methods of treating conditions and diseases that are mediated by adenosine receptor (AR) activity. The compounds of the present invention are useful in the treatment, prevention or suppression of diseases and disorders that may be susceptible to improvement by antagonism of the adenosine receptor, such as asthma, chronic obstructive pulmonary disorder, angiogenesis, pulmonary fibrosis, emphysema, allergic diseases, inflammation, reperfusion injury, myocardial ischemia, atherosclerosis, hypertension, congestive heart failure, retinopathy, diabetes mellitus, obesity, inflammatory gastrointestinal tract disorders, and/or autoimmune diseases.
US08859563B2 Bicyclic pyrimidinones and uses thereof
The present invention provides a compound of Formula I or a pharmaceutically acceptable derivative, salt or prodrug thereof. Further provided is a method of treatment or prophylaxis of a viral infection in a subject comprising administering to said subject an effective amount of a compound of Formula I or a pharmaceutically acceptable derivative, salt or prodrug thereof. A pharmaceutical composition or medicament comprising a compound of Formula I is also provided.
US08859561B2 Pyrido[4,3-b]indoles and methods of use
This disclosure relates to new heterocyclic compounds that may be used to modulate a histamine receptor in an individual. Pyrido[4,3-b]indoles are described, as are pharmaceutical compositions comprising the compounds and methods of using the compounds in a variety of therapeutic applications, including the treatment of a cognitive disorder, psychotic disorder, neurotransmitter-mediated disorder and/or a neuronal disorder.
US08859559B2 Substituted pyrazines and their use in the treatment of disease
The present invention relates to compounds of general formula (I) and the tautomers and the salts thereof, particularly the pharmaceutically acceptable salts thereof with inorganic or organic acids and bases, which have valuable pharmacological properties, particularly an inhibitory effect on epithelial sodium channels, the use thereof for the treatment of diseases, particularly diseases of the lungs and airways.
US08859557B2 Substituted oxindole derivatives and their use as vasopressin receptor ligands
The present invention relates to novel oxindole derivatives of the general formula (I) to medicaments comprising them and to their use for the prophylaxis and/or treatment of diseases vasopressin dependent.
US08859555B2 Lysine Specific Demethylase-1 inhibitors and their use
The invention relates to a compound of Formula (I): (A′)x-(A)-(B)-(Z)-(L)-(D), wherein: (A) is heteroaryl or aryl; each (A′), if present, is independently chosen from aryl, arylalkoxy, arylalkyl, heterocyclyl, aryloxy, halo, alkoxy, haloalkyl, cycloalkyl, haloalkoxy, and cyano, wherein each (A′) is substituted with 0, 1, 2, or 3 substituents independently chosen from halo, haloalkyl, aryl, arylalkoxy, alkyl, alkoxy, cyano, sulfonyl, amido, and sulfinyl; X is 0, 1, 2, or 3; (B) is a cyclopropyl ring, wherein (A) and (Z) are covalently bonded to different carbon atoms of (B); (Z) is —NH—; (L) is chosen from —CH2CH2—, —CH2CH2CH2—, and —CH2CH2CH2CH2—; and (D) is chosen from —N(—R1)-R2, —O—R3, and —S—R3, wherein: R1 and R2 are mutually linked to form a heterocyclic ring together with the nitrogen atom that R1 and R2 are attached to, wherein said heterocyclic ring has 0, 1, 2, or 3 substituents independently chosen from —NH2, —NH(C1-C6 alkyl), —N(C1-C6 alkyl)(C1-C6 alkyl), alkyl, halo, cyano, alkoxy, haloalkyl, and haloalkoxy, or R1 and R2 are independently chosen from —H, alkyl, cycloalkyl, haloalkyl, and heterocyclyl, wherein the sum of substituents on R1 and R2 together is 0, 1, 2, or 3, and the substituents are independently chosen from —NH2, —NH(C1-C6 alkyl), —N(C1-C6 alkyl)(C1-C6 alkyl), and fluoro; and R3 is chosen from —H, alkyl, cycloalkyl, haloalkyl, and heterocyclyl, wherein R3 has 0, 1, 2, or 3 substituents independently chosen from —NH2, —NH(C1-C6 alkyl), —N(C1-C6 alkyl)(C1-C6 alkyl), and fluoro; or an enantiomer, diastereomer, or mixture thereof, or a pharmaceutically acceptable salt or solvate thereof. The compounds of the invention show inhibitory LSD1 activity, which makes them useful in the treatment or prevention of diseases such as cancer.
US08859551B2 Compounds, formulations, and methods for treating or preventing inflammatory skin disorders
In methods, compounds, and topical formulations for treatment of inflammatory skin disorders incorporating compounds represented by the formulas below: wherein each of R1, R2, and R3 is independently hydrogen, hologen, alkyl, or alkoxy; each of R4 and R5 is independently hydrogen, alkyl, or alkoxy; and each of R6 and R7 is independently hydrogen, nitro, alkyl, or alkoxy; wherein each of A1, A3, and A4 is independently hydrogen or alkyl; and A2 is independently hydrogen or hydroxy; and wherein each of B1, B2, and B3 is independently hydrogen, hydroxy, or alkoxy; and each of B4 and B5 is independently hydrogen or alkyl, applying such compounds topically as sprays, mists, aerosols, solutions, lotions, gels, creams, ointments, pastes, unguents, emulsions, and suspensions to treat inflammatory skin disorders and the symptoms associated therewith.
US08859547B2 Pyridazinone derivatives
Compounds of the formula I in which R1, R2, R3, R4, R4′ have the meanings indicated in Claim 1, are inhibitors of tyrosine kinases, in particular Met kinase, and can be employed, inter alia, for the treatment of tumors.
US08859537B2 Pharmaceutical composition for treating and/or preventing a pathology associated with an obsessional behavior or with obesity
This invention relates to the use of a ligand of the 5-HT4 receptor or of a pharmaceutically acceptable salt of this ligand and to a nucleic acid coding for a 5-HT4 receptor or of a functionally equivalent receptor for a drug for treating and/or preventing a pathology associated with an obsessional behavior such as anorexia, bulimia and the addiction to drugs of abuse or obesity. The invention also relates to a method for identifying a compound that is biologically active in the treatment and/or the prevention of a pathology associated with an obsessional conduct or obesity including: a) placing the 5-HT4 receptor or a functionally equivalent receptor in contact with this biologically active compound, and b) the determination of whether this biologically active compound is capable of modulating the basal activity of the 5-HT4 receptor or of a functionally equivalent receptor.
US08859535B2 Hydroxy substituted isoquinolinone derivatives
The invention relates to compounds of formula (I): as defined in the application. Such compounds are suitable for the treatment of a disorder or disease which is mediated by the activity of MDM2 and/or MDM4, or variants thereof.
US08859533B2 Metalloinsertor complexes targeted to DNA mismatches
A composition including a Rh or Ru metalloinsertor complex specifically targets mismatch repair (MMR)-deficient cells. Selective cytotoxicity is induced in MMR-deficient cells upon uptake of the inventive metalloinsertor complexes.
US08859529B2 Compounds for the treatment of inflammatory disorders
This invention relates to compounds of the Formula (I) as described herein, or a pharmaceutically acceptable salt, solvate or ester thereof, which can be useful for the treatment of diseases or conditions mediated by MMPs, ADAMs, TACE, aggrecanase, TNF- or combinations thereof.
US08859524B2 Lipid conjugates in the treatment of chronic rhinosinusitis
This invention provides a method of treating, suppressing, inhibiting, or preventing chronic rhinosinusitis in a subject comprising the step of administering to a subject a compound comprising a lipid or phospholipid moiety bond to a physiologically acceptable monomer, dimer, oligomer, or polymer, and/or a pharmaceutically acceptable salt or a pharmaceutical product thereof. This invention also provides a method of treating, suppressing, inhibiting, or preventing nasal polyps in a subject comprising the step of administering to a subject a compound comprising a lipid or phospholipid moiety bond to a physiologically acceptable monomer, dimer, oligomer, or polymer, and/or a pharmaceutically acceptable salt or a pharmaceutical product thereof.
US08859523B2 Crosslinked compounds and methods of making and using thereof
Described herein are crosslinked compounds useful in numerous treatments. Described herein are methods of making crosslinked compounds via (1) the oxidative coupling of two or more thiol compounds or (2) by the reaction between at least one thiol compound with at least one thiol-reactive compound.
US08859520B2 Methods for therapy of neurodegenerative disease of the brain
A specific clinical protocol for use toward therapy of defective, diseased and damaged neurons in the mammalian brain by delivering a definite concentration of recombinant neurotrophin, into a targeted region of the brain using a lentiviral expression vector. The neurotrophin is delivered to, or within close proximity of, identified defective, diseased or damaged brain cells. Growth of targeted neurons, and reversal of functional deficits associated with the neurodegenerative disease being treated is provided.
US08859511B2 Proliferation inhibitor of Helicobacter pylori bacteria
A method for directly inhibiting proliferation of Helicobacter pylori bacteria, that includes administering to a subject infected with Helicobacter pylori an N-acetylglucosaminyl beta-linked monosaccharide represented by: GlcNAcl-beta-O—Y where Y is an alkyl group, an alkoxyl group, an alkenyl group, an alkynyl group, an aralkyl group, an aryl group, a heteroaryl group, a carboxyl group, or an alkoxycarbonyl group.
US08859510B2 Macrocyclic polymorphs, compositions comprising such polymorphs, and methods of use and manufacture thereof
The invention relates to novel forms of compounds displaying broad spectrum antibiotic activity, especially crystalline polymorphic forms and amorphous forms of such compounds, compositions comprising such crystalline polymorphic forms and amorphous forms of such compounds, processes for manufacture and use thereof. The compounds and compositions of the invention are useful in the pharmaceutical industry, for example, in the treatment or prevention of diseases or disorders associated with the use of antibiotics, chemotherapies, or antiviral therapies, including, but not limited to, colitis, for example, pseudo-membranous colitis; antibiotic associated diarrhea; and infections due to Clostridium difficile (“C. difficile”), Clostridium perfringens (“C. perfringens”), Staphylococcus species, for example, methicillin-resistant Staphylococcus, or Enterococcus including Vancomycin-resistant enterococci.
US08859509B2 Chimeric small molecules for the recruitment of antibodies to cancer cells
The present invention relates to chimeric chemical compounds which are used to recruit antibodies to cancer cells, in particular, prostate cancer cells or metastasized prostate cancer cells. The compounds according to the present invention comprise an antibody binding terminus (ABT) moiety covalently bonded to a cell binding terminus (CBT) through a linker and optionally, a connector molecule.
US08859508B2 Application of 5-methyl-1,3-benzenediol or derivatives thereof in the preparation of medicines and functional foods for treatment or prevention of depression
The present invention relates to the application of 5-methyl-1,3-benzenediol or its derivatives represented by Formula I; wherein the constituent variables are as defined herein or pharmaceutical compositions thereof containing them in the preparation of medicines or functional foods. The present studies indicate that 5-methyl-1,3-benzenediol or its derivatives represented by Formula I, wherein the constituent variables are as defined herein or pharmaceutical compositions thereof containing them show more significant antidepressant effects than fluoxetine or imipramine.
US08859506B2 Glycopeptide phosphonate derivatives
Disclosed are glycopeptides that are substituted with one or more substituents each comprising one or more phosphono groups; and pharmaceutical compositions containing such glycopeptide derivatives. The disclosed glycopeptide derivatives are useful as antibacterial agents.
US08859503B2 Methods and compositions targeting viral and cellular ITAM motifs, and use of same in identifying compounds with therapeutic activity
This invention provides methods of treating, reducing the incidence of, and inhibiting metastasis formation of carcinomas, sarcomas, Epstein-Barr virus-induced malignancies, B cell proliferative disorders, and mast cell activation disorders, comprising administering to a subject a compound that inhibits an interaction of a first protein and an immunoreceptor tyrosine-based activation motif (ITAM) of a second protein, and screening methods for identifying ITAM-inhibitory compounds and peptides. This invention also provides peptides that inhibit signaling by ITAMs.
US08859501B2 Protofibril-binding antibodies and their use in thereapeutic and diagnostic methods for parkinson's disease, dementia with lewy bodies and other alpha-synucleinopathies
Antibodies and fragments thereof have high affinity for human α-synuclein protofibrils and low binding of α-synuclein monomers, wherein the antibodies or fragments have specified Complementarity Determining Region (CDR) sequences. Compositions comprise such an antibody or fragment and methods of detecting α-synuclein protofibrils use such an antibody or fragment. In further embodiments, methods of preventing, delaying onset of or treating a neurodegenerative disorder with α-synuclein pathology comprise administering such an antibody or fragment, and such an antibody or fragment is used in the manufacture of a pharmaceutical composition for treatment of a neurodegenerative disorder with α-synuclein pathology. Such an antibody or fragment is used in the diagnosis or monitoring of the development of a neurodegenerative disorder with α-synuclein pathology, and in methods for reducing or inhibiting α-synuclein aggregation by administration of such an antibody or fragment.
US08859498B2 Targeted therapeutic proteins
Targeted therapeutics that localize to a specific subcellular compartment such as the lysosome are provided. The targeted therapeutics include a therapeutic agent and a targeting moiety that binds a receptor on an exterior surface of the cell, permitting proper subcellular localization of the targeted therapeutic upon internalization of the receptor. Nucleic acids, cells, and methods relating to the practice of the invention are also provided.
US08859496B2 Pharmaceutical composition using connective-tissue growth factor
This disclosure relates to an angiogenesis-related pharmaceutical composition using connective tissue growth factor, more particularly to a pharmaceutical composition for promoting angiogenesis containing the connective tissue growth factor or a pharmaceutical composition for inhibiting angiogenesis containing at least one selected from the group consisting of polypeptide, antibody and a compound binding to connective tissue growth factor. The fragment of connective tissue growth factor protein, which was found out in the present invention, is a binding region to FPRL1, effectively induces FPRL1-specific ERK phosphorylation, activates FPRL1 to increase intracellular Ca2+ concentration, and finally, effectively induces angiogenesis, and thus, the fragment of connective tissue growth factor may be useful for a pharmaceutical composition for promoting angiogenesis, while polypeptide, antibody or a compound binding to the fragment of connective tissue growth factor protein may be useful for a pharmaceutical composition for inhibiting angiogenesis.
US08859492B2 Metallodrugs having improved pharmacological properties, and methods of manufacture and use thereof
It is an object of the present invention to provide improved pharmacological properties to molecules which bind to a target with low affinity (hereinafter referred to as a “ligand moiety”) through linkage of such molecules to a metal binding moiety, thereby generating a combination molecule commonly referred to as a “metallodrug” or “metallotherapeutic.” The metal binding domain of metallodrugs typically catalyzes oxido-reductase chemistry or acts as a Lewis-Acid catalyst, resulting in modification of proteins and nucleic acids that are in close proximity due to binding of the ligand moiety to its target.
US08859491B2 Glucagon superfamily peptides exhibiting glucocorticoid receptor activity
Provided herein are glucagon superfamily peptides conjugated with GR ligands that are capable of acting at a glucocorticoid receptor. Also provided herein are pharmaceutical compositions and kits of the conjugates of the invention. Further provided herein are methods of treating a disease, e.g., a metabolic disorder, such as diabetes and obesity, comprising administering the conjugates of the invention.
US08859490B2 Peptide nucleic acid monomers and oligomers
Disclosed is a peptide nucleic acid monomer as well as a corresponding peptide nucleic acid molecule. The monomer comprises a terminal amino group and a terminal group A. The terminal amino group and the terminal group A are connected by an aliphatic moiety. The main chain of this aliphatic moiety is free of groups that are charged under physiological conditions. The terminal group A is one of —COOH, —COOR3, —COX, —COSR3, —CN, —CONH2, —CONHR3, —CONR3, R4, with R3 and R4 being H or an aliphatic, alicyclic, aromatic, arylaliphatic or arylalicyclic group, and X being a halogen atom. The terminal amino group is substituted by an aliphatic group with a main chain of at least two carbon atoms and optionally 0 to about 2 heteroatoms selected from the group N, O, S, Se and Si. The main chain has a polar head group Z.
US08859484B2 Detergent compositions comprising graft polymers having broad polarity distributions
The present invention relates to a detergent composition containing an amphiphilic graft polymer based on water-soluble polyalkylene oxides (A) as a graft base and side chains formed by polymerization of a vinyl ester component (B), where the polymer has a broad polarity distribution.
US08859481B2 Wiper for use with disinfectants
A dry wiper for use with disinfectant solutions having synthetic fibers and a disinfectant releasing treatment that makes the wiper active disinfectant stable is disclosed. Particularly, the wiper is stable for use in both quaternary ammonium disinfectant solutions and bleach disinfectant solutions. A method for producing such a wiper is also disclosed.
US08859480B2 Detergent compositions
Detergent compositions containing high efficiency lipase enzymes and specific detergent formulations comprising less than 10 wt % zeolite and phosphate builder are described. Preferred formulations comprise surfactants selected from alkyl benzene sulphonates in combination with alky ethoxylated sulfates or MES or non-ionic surfactants.
US08859477B2 Silicone solvent
A composition comprising a phosphonitrile halide and an oligomeric organopolysiloxanes having from 10 to 50 Si units is effective to remove silicone residues from substrates with minimal swelling of thin polymer substrates.
US08859474B2 Lubricating oil compositions containing epoxide antiwear agents
A lubricating oil composition comprising (a) a major amount of an oil of lubricating viscosity; and (b) an oil soluble epoxide compound having the following structure: wherein X is hydrogen or a substituted or unsubstituted C1 to C20 hydrocarbyl group, wherein the substituted hydrocarbyl group is substituted with one or more substituents selected from hydroxyl, alkoxy, ester or amino groups and Y is —CH2OR, —C(═O)OR1 or —C(═O)NHR2, wherein R, R1 and R2 are independently hydrogen or C1 to C20 alkyl or alkenyl groups; —and further wherein the oil of lubricating viscosity does not contain a carboxylic acid ester.
US08859473B2 Post-treated additive composition and method of making the same
An oil-soluble lubricating oil additive composition prepared by the process which comprises reacting (A) reacting a copolymer of an (i) an unsaturated acidic reagent; and (ii) a mono-olefin, with at least one linking hydrocarbyl di-primary amine, thereby producing a hybrid succinic anhydride copolymer having from about 10% to about 90% unreacted anhydride groups; and subsequently (B) reacting the hybrid succinic anhydride copolymer with a second amine compound, thereby producing the succinimide; and (C) reacting the succinimide with at least one post-treating agent selected from a cyclic carbonate, a linear mono-carbonate, a linear poly-carbonate, an aromatic polycarboxylic acid, an aromatic polycarboxylic anhydride, an aromatic polycarboxylic acid ester, or mixtures thereof.
US08859472B2 Use of ester group-including polymers as antifatigue additives
The present invention relates to the use of ester group-including polymers having at least one nonpolar segment P and at least one polar segment D, where the polar segment D includes at least 8 repeating units, and the proportion of dispersing repeating units in the polar segment D is at least 30% by weight based on the weight of the polar segment D, as antifatigue additive in lubricants.
US08859471B2 Lubricant compositions
The present invention relates to a lubricating composition containing (a) an ester-containing viscosity index improver; and (b) a polyoxyalkylene polyol. The invention further provides a method for lubricating a mechanical device with the lubricating composition.
US08859468B2 Hypermethylation biomarkers for detection of cervical cancer
Pap smears and HPV infection tests do not distinguish between lesions that will progress to an invasive carcinoma and those that will not. We aimed to identify epigenetic biomarkers for diagnosis and progression monitoring of premalignant lesions in cervical cancer. Hypermethylated genes were identified as potential biomarkers after validation by MSP, including GGTLA4 and ZNF516. The methylation frequency for these two genes was higher in tumor: GGTLA4 (100%) and ZNF516 (96%); than in normal samples: GGTLA4 (12%) and ZNF516 (16%). The methylation status of GGTLA4 showed a progression in methylation frequency from normal samples to invasive carcinoma. The immunohistochemical expression was lower in tumor for both: GGTLA4 (50.8%) and ZNF516 (66.2%); than in normal samples: GGTLA4 (71.2%) and ZNF516 (88.1%) (p<0.05). In conclusion, we identified methylation biomarkers for the molecular screening and characterization of cervical cancer.
US08859466B2 Oxaspirocyclic spiro-substituted tetramic acid and tetronic acid derivatives
The present invention relates to novel oxaspirocyclic spirophenyl-substituted tetramic acid and tetronic acid derivatives of the formula (I) in which W, X, Y, Z, A, B, D, Q1, Q2, and G have the meanings given above, to a plurality of processes for their preparation and to their use as pesticides and/or herbicides. The invention also provides selective herbicidal compositions comprising, firstly, oxaspirocyclic spirophenyl-substituted tetramic acid and tetronic acid derivatives and, secondly, a crop plant compatibility-improving compound. The invention furthermore relates to increasing the activity of crop protection compositions comprising compounds of the formula (I) by addition of ammonium salts or phosphonium salts and, if appropriate, penetrants.
US08859461B2 Dye migration preventing decoration pieces made of thermoplastic synthetic resin
A decoration piece has: a design piece made of thermoplastic synthetic resin formed with a design and having an outer profile line; a lower layer; and a dye migration preventing layer arranged between the design piece and the lower layer, said dye migration preventing layer having an outer profile same as that of said design piece, said dye migration preventing layer capable of being adhered to both said design piece and the lower layer, said dye migration preventing layer capable of preventing any migrating of dye. The dye migration preventing layer includes a dye migration preventing film made of ethylene-vinyl alcohol copolymer or polyamide MXD6, or a dye migration preventing film made of polyvinylidene chloride.
US08859460B2 Heat-sensitive recording material having authenticity feature
A heat-sensitive recording material includes at least a paper substrate, a heat-sensitive recording layer arranged on the front side of the substrate and which has at least one dye precursor and at least one color acceptor, wherein dye precursor and color acceptor react with one another under the action of heat to form color, and an authenticating security feature. The authenticating security feature is a mark which is applied to the back side of the paper substrate and which is made of a tincture having at least one organic solvent. A barrier coating is arranged between the substrate and heat-sensitive recording layer and is suitable to protect the heat-sensitive recording layer against penetration of the tincture into the heat-sensitive recording layer from the back side.
US08859459B2 Multilayer catalyst for preparing phthalic anhydride and process for preparing phthalic anhydride
The present invention relates to a multilayer catalyst for preparing phthalic anhydride which has a plurality of catalyst layers arranged in succession in the reaction tube, with the individual catalyst layers having alkali metal contents which decrease in the flow direction. The present invention further relates to a process for the oxidation of naphthalene or o-xylene/naphthalene mixtures over such a multilayer catalyst and the use of such multilayer catalysts for the oxidation of naphthalene or o-xylene/naphthalene mixtures to phthalic anhydride.
US08859456B2 Multifunctional biomaterials as scaffolds for electronic, optical, magnetic, semiconducting, and biotechnological applications
One-dimensional ring structures from M13 viruses were constructed by two genetic modifications encoding binding peptides and synthesis of a heterobifunctional linker molecule. The bifunctional viruses displayed an anti-streptavidin peptide and hexahistidine (SEQ ID NO:4) peptide at opposite ends of the virus as pIII and pIX fusions. Stoichiometric addition of the streptavidin-NiNTA linker molecule led to the reversible formation of virus-based nanorings with circumferences corresponding to lengths of the packagable DNAs. These virus-based ring structures can be further engineered to nucleate inorganic materials and form metallic, magnetic, or semiconductor nanorings using trifunctionalized viruses.
US08859455B2 Nanocrystal assembly for tandem catalysis
The present invention provides a nanocrystal tandem catalyst comprising at least two metal-metal oxide interfaces for the catalysis of sequential reactions. One embodiment utilizes a nanocrystal bilayer structure formed by assembling sub-10 nm platinum and cerium oxide nanocube monolayers on a silica substrate. The two distinct metal-metal oxide interfaces, CeO2—Pt and Pt—SiO2, can be used to catalyze two distinct sequential reactions. The CeO2—Pt interface catalyzed methanol decomposition to produce CO and H2, which were then subsequently used for ethylene hydroformylation catalyzed by the nearby Pt—SiO2 interface. Consequently, propanal was selectively produced on this nanocrystal bilayer tandem catalyst.
US08859449B2 Fine-particle structure/substrate composite member and method for producing same
In one example embodiment, a substrate having a smooth surface is prepared, a fine-particle layer including fine particles which are arranged along the surface is formed, and substituent molecules are bonded to the fine particles to change the fine-particle layer to a fine-particle assembly layer including the fine particles to which the substituent molecules are bonded, so that the center-to-center distance between the adjacent fine particles is increased to form a three-dimensional microstructure in which a portion of the fine-particle assembly layer is raised from the surface or the center-to-center distance between the adjacent fine particles is decreased to form a microstructure in which the fine-particle assembly layer is absent in a portion of the surface, the substrate being exposed in the absent portion.
US08859447B2 Columnar aluminum titanate and method for producing same
Provided are aluminum titanate capable of providing a sintered body having a low coefficient of thermal expansion, a high porosity, and high mechanical strength, a production method of the same, and a sintered body of the columnar aluminum titanate. The columnar aluminum titanate has an average aspect ratio (=(number average major-axis length)/(number average minor-axis length)) of 1.5 or more and its magnesium content is preferably within the range of 0.5% to 2.0% by weight relative to the total amount of titanium and aluminum in terms of their respective oxides.
US08859445B2 Refractory product having high zirconia content
The present invention relates to a molten, fluid refractory product comprising, in weight percentages on the basis of the oxides and for a total of 100% of the oxides: ZrO2+Hf2O: remainder to 100% 4.5%
US08859442B2 Encapsulated nanoparticles
In various embodiments, the present invention relates to production of encapsulated nanoparticles by dispersing said nanoparticles and an encapsulating medium in a common solvent to form a first solution system and applying a stimulus to said first solution system to induce simultaneous aggregation of the nanoparticles and the encapsulating medium.
US08859434B2 Etching method
The present invention relates to an etching method of capable of etching a silicon carbide substrate with a higher accuracy. A first etching step in which a silicon carbide substrate K is heated to a temperature equal to or higher than 200 ° C, SF6 gas is supplied into a processing chamber and plasma is generated from the SF6 gas, and a bias potential is applied to a platen, thereby isotropically etching the silicon carbide substrate K, and a second etching step in which the silicon carbide substrate K is heated to a temperature equal to or higher than 200 ° C., SF6 gas and O2 gas are supplied into the processing chamber and plasma is generated from the SF6 gas and the O2 gas, and a bias potential is applied to the platen on which the silicon carbide substrate K is placed, thereby etching the silicon carbide substrate K while forming a silicon oxide film as passivation film on the silicon carbide substrate K are alternately repeated.
US08859433B2 DSA grapho-epitaxy process with etch stop material
A method for defining a template for directed self-assembly (DSA) materials includes forming an etch stop layer on a neutral material, forming a mask layer on the etch stop layer and forming an anti-reflection coating (ARC) on the mask layer. A resist layer is patterned on the ARC using optical lithography to form a template pattern. The ARC and the mask layer are reactive ion etched down to the etch stop layer in accordance with the template pattern to form a template structure. The ARC is removed from the mask layer and the template structure is trimmed to reduce a width of the template structure. A wet etch is performed to remove the etch stop layer to permit the neutral material to form an undamaged DSA template for DSA materials.
US08859432B2 Bare aluminum baffles for resist stripping chambers
Bare aluminum baffles are adapted for resist stripping chambers and include an outer aluminum oxide layer, which can be a native aluminum oxide layer or a layer formed by chemically treating a new or used bare aluminum baffle to form a thin outer aluminum oxide layer.
US08859431B2 Process to remove Ni and Pt residues for NiPtSi application using chlorine gas
The invention discloses a method for cleaning residues from a semiconductor substrate during a nickel platinum silicidation process. Post silicidation residues of nickel and platinum may not be removed adequately just by an aqua regia solution (comprising a mixture of nitric acid and hydrochloric acid). Therefore, embodiments of the invention provide a multi-step residue cleaning, comprising exposing the substrate to an aqua regia solution, followed by an exposure to a chlorine gas or a solution comprising dissolved chlorine gas, which may further react with remaining platinum residues, rendering it more soluble in aqueous solution and thereby dissolving it from the surface of the substrate.
US08859423B2 Nanostructured electrodes and active polymer layers
Embodiments of methods for fabricating polymer nanostructures and nanostructured electrodes are disclosed. Material layers are deposited onto polymer nanostructures to form nanostructured electrodes and devices including the nanostructured electrodes, such as photovoltaic cells, light-emitting diodes, and field-effect transistors. Embodiments of the disclosed methods are suitable for commercial-scale production of large-area nanostructured polymer scaffolds and large-area nanostructured electrodes.
US08859421B2 Manganese oxide film forming method, semiconductor device manufacturing method and semiconductor device
There is provided a manganese oxide film forming method capable of forming a manganese oxide film having high adhesivity to Cu. In the manganese oxide film forming method, a manganese oxide film is formed on an oxide by supplying a manganese-containing gas onto the oxide. A film forming temperature for forming the manganese oxide film is set to be equal to or higher than about 100° C. and lower than about 400° C.
US08859419B2 Methods of forming copper-based nitride liner/passivation layers for conductive copper structures and the resulting device
One illustrative method disclosed herein includes forming a trench/via in a layer of insulating material, forming a barrier layer in the trench/via, forming a copper-based seed layer on the barrier layer, converting at least a portion of the copper-based seed layer into a copper-based nitride layer, depositing a bulk copper-based material on the copper-based nitride layer so as to overfill the trench/via and performing at least one chemical mechanical polishing process to remove excess materials positioned outside of the trench/via to thereby define a copper-based conductive structure. A device disclosed herein includes a layer of insulating material, a copper-based conductive structure positioned in a trench/via within the layer of insulating material and a copper-based silicon or germanium nitride layer positioned between the copper-based conductive structure and the layer of insulating material.
US08859417B2 Gate electrode(s) and contact structure(s), and methods of fabrication thereof
A conductive structure(s), such as a gate electrode(s) or a contact structure(s), and methods of fabrication thereof are provided. The conductive structure(s) includes a first conductive layer of a first conductive material, and a second conductive layer of a second conductive material. The second conductive layer is disposed over the first conductive layer, and at least a portion of the first conductive material includes grains having a size larger than a defined value, and at least a second portion of the second conductive material includes grains having a size less than the defined value. In one embodiment, the first and second conductive materials are the same conductive material, with different-sized grains.
US08859411B2 Method for producing transistor
According to the present invention, there is provided a process for producing a transistor having a high precision and a high quality with a high yield by selectively etching a natural silicon oxide film, and further by selectively etching a dummy gate made of silicon. The present invention relates to a process for producing a transistor using a structural body which includes a substrate, and a dummy gate laminate formed by laminating at least a high dielectric material film and a dummy gate made of silicon having a natural silicon oxide film on a surface thereof, a side wall disposed to cover a side surface of the laminate and an interlayer insulating film disposed to cover the side wall which are provided on the substrate, said process including an etching step using a specific etching solution and thereby replacing the dummy gate with an aluminum metal gate.
US08859409B2 Semiconductor component comprising a dopant region in a semiconductor body and a method for producing a dopant region in a semiconductor body
A semiconductor component includes a semiconductor body having a first side and a second side opposite the first side. In the semiconductor body, a dopant region is formed by a dopant composed of an oxygen complex. The dopant region extends over a section L having a length of at least 10 μm along a direction from the first side to the second side. The dopant region has an oxygen concentration in a range of 1×1017 cm−3 to 5×1017 cm−3 over the section L.
US08859406B2 Method of fabricating high efficiency CIGS solar cells
A method for fabricating high efficiency CIGS solar cells including the deposition of Ga concentrations (Ga/(Ga+In)=0.25-0.66) from sputtering targets containing Ga concentrations between about 25 atomic % and about 66 atomic %. Further, the method includes a high temperature selenization process integrated with a high temperature anneal process that results in high efficiency.
US08859404B2 Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
A seed crystal including mixed phase grains having high crystallinity at a low density is formed under a first condition over an insulating film, and then a first microcrystalline semiconductor film is formed over the seed crystal under a second condition that allows the mixed phase grains to grow and a space between the mixed phase grains to be filled. Then, a second microcrystalline semiconductor film is formed over the first microcrystalline semiconductor film under a third condition that allows formation of a microcrystalline semiconductor film having high crystallinity without increasing the space between the mixed phase grains included in the first microcrystalline semiconductor film.
US08859401B2 Method for growing a nitride-based III-V group compound semiconductor
A method for manufacturing a light-emitting diode, which includes the steps of: providing a substrate having a plurality of protruded portions on one main surface thereof wherein the protruded portion is made of a material different in type from that of the substrate and growing a first nitride-based III-V Group compound semiconductor layer on each recess portion of the substrate through a state of making a triangle in section wherein a bottom surface of the recess portion becomes a base of the triangle; laterally growing a second nitride-based III-V Group compound semiconductor layer on the substrate from the first nitride-based III-V Group compound semiconductor layer; and successively growing, on the second nitride-based III-V Group compound semiconductor layer, a third nitride-based III-V Group compound semiconductor layer of a first conduction type, an active layer, and a fourth nitride-based III-V compound semiconductor layer of a second conduction type.
US08859397B2 Method of coating water soluble mask for laser scribing and plasma etch
Methods of using a hybrid mask composed of a first water soluble film layer and a second water-soluble layer for wafer dicing using laser scribing and plasma etch described. In an example, a method of dicing a semiconductor wafer having a plurality of integrated circuits involves forming a hybrid mask above the semiconductor wafer. The hybrid mask is composed of a first water-soluble layer disposed on the integrated circuits, and a second water-soluble layer disposed on the first water-soluble layer. The method also involves patterning the hybrid mask with a laser scribing process to provide a patterned hybrid mask with gaps, exposing regions of the semiconductor wafer between the integrated circuits. The method also involves etching the semiconductor wafer through the gaps in the patterned hybrid mask to singulate the integrated circuits.
US08859396B2 Semiconductor die singulation method
In one embodiment, a method of singulating semiconductor die from a semiconductor wafer includes forming a material on a surface of a semiconductor wafer and reducing a thickness of portions of the material. Preferably, the thickness of the material is reduced near where singulation openings are to be formed in the semiconductor wafer.
US08859393B2 Methods for in-situ passivation of silicon-on-insulator wafers
Methods and systems are disclosed for performing a passivation process on a silicon-on-insulator wafer in a chamber in which the wafer is cleaved. A bonded wafer pair is cleaved within the chamber to form the silicon-on-insulator (SOI) wafer. A cleaved surface of the SOI wafer is then passivated in-situ by exposing the cleaved surface to a passivating substance. This exposure to a passivating substance results in the formation of a thin layer of oxide on the cleaved surface. The silicon-on-insulator wafer is then removed from the chamber. In other embodiments, the silicon-on-insulator wafer is first transferred to an adjoining chamber where the wafer is then passivated. The wafer is transferred to the adjoining chamber without exposing the wafer to the atmosphere outside the chambers.
US08859390B2 Structure and method for making crack stop for 3D integrated circuits
A structure to prevent propagation of a crack into the active region of a 3D integrated circuit, such as a crack initiated by a flaw at the periphery of a thinned substrate layer or a bonding layer, and methods of forming the same is disclosed.
US08859387B2 Method for manufacturing silicon carbide semiconductor device
A method for manufacturing a silicon carbide semiconductor device includes the following steps. There is prepared a silicon carbide substrate having a first main surface and a second main surface. On the first main surface, an electrode is formed. The silicon carbide substrate has a hexagonal crystal structure. The first main surface has an off angle of ±8° or smaller relative to a {0001} plane. The first main surface has such a property that when irradiated with excitation light having energy equal to or greater than a band gap of silicon carbide, luminous regions in a wavelength range of 750 nm or greater are generated in the first main surface at a density of 1×104 cm−2 or smaller. In this way, a yield of a silicon carbide semiconductor device can be improved.
US08859384B1 Inductor formation with sidewall image transfer
Methods for forming inductors. The methods include forming sidewalls around a mandrel over a conductor layer; removing material from the conductor layer around a region defined by the sidewalls; removing the mandrel; partially etching the conductor layer in a region between the sidewalls; etching the partially etched conductor layer to form separate metal segments; depositing a dielectric material in and around the metal segments; and forming conductive lines between exposed contacts of adjacent metal segments.
US08859383B2 Method of fabricating semiconductor device having dielectric layer with improved electrical characteristics
A semiconductor device having a dielectric layer with improved electrical characteristics and associated methods, the semiconductor device including a lower metal layer, a dielectric layer, and an upper metal layer sequentially disposed on a semiconductor substrate and an insertion layer disposed between the dielectric layer and at least one of the lower metal layer and the upper metal layer, wherein the dielectric layer includes a metal oxide film and the insertion layer includes a metallic material film.
US08859381B1 Field-effect transistor (FET) with source-drain contact over gate spacer
A field-effect transistor (FET) and methods for fabricating such. The FET includes a substrate having a crystalline orientation, a source region in the substrate, and a drain region in the substrate. Gate spacers are positioned over the source region and the drain region. The gate spacers include a gate spacer height. A source contact physically and electrically contacts the source region and extends beyond the gate spacer height. A drain contact physically and electrically contacts the drain region and extends beyond the gate spacer height. The source and drain contacts have the same crystalline orientation as the substrate.
US08859380B2 Integrated circuits and manufacturing methods thereof
A method of forming an integrated circuit includes forming a plurality of gate structures longitudinally arranged along a first direction over a substrate. A plurality of angle ion implantations are performed to the substrate. Each of the angle ion implantations has a respective implantation angle with respect to a second direction. The second direction is substantially parallel with a surface of the substrate and substantially orthogonal to the first direction. Each of the implantation angles is substantially larger than 0°.
US08859379B2 Stress enhanced finFET devices
A non-planar semiconductor with enhanced strain includes a substrate and at least one semiconducting fin formed on a surface of the substrate. A gate stack is formed on a portion of the at least one semiconducting fin. A stress liner is formed over at least each of a plurality of sidewalls of the at least one semiconducting fin and the gate stack. The stress liner imparts stress to at least a source region, a drain region, and a channel region of the at least one semiconducting fin. The channel region is located in at least one semiconducting fin beneath the gate stack.
US08859378B2 Fin field-effect transistor and method for manufacturing the same
Embodiments of the present invention disclose a method for manufacturing a Fin Field-Effect Transistor. When a fin is formed, a dummy gate across the fin is formed on the fin, a spacer is formed on sidewalls of the dummy gate, and a cover layer is formed on the first dielectric layer and on the fin outside the dummy gate and the spacer; then, an self-aligned and elevated source/drain region is formed at both sides of the dummy gate by the spacer, wherein the upper surfaces of the gate and the source/drain region are in the same plane. The upper surfaces of the gate and the source/drain region are in the same plane, making alignment of the contact plug easier; and the gate and the source/drain region are separated by the spacer, thereby improving alignment accuracy, solving inaccurate alignment of the contact plug, and improving device AC performance.
US08859377B2 Damage implantation of a cap layer
A method for fabricating a transistor on a semiconductor wafer includes providing a partial transistor containing a gate stack, extension regions, and source/drain sidewalls. The method also includes performing a source/drain implant of the semiconductor wafer, forming a cap layer over the semiconductor wafer, and performing a source/drain anneal. In addition, the method includes performing a damage implant of the cap layer and removing the cap layer over the semiconductor wafer.
US08859373B2 High voltage device and manufacturing method thereof
The present invention discloses a high voltage device and a manufacturing method thereof. The high voltage device is formed in a substrate. The high voltage device includes: a gate, a source and drain, a drift region, and a mitigation region. The gate is formed on an upper surface of the substrate. The source and drain are located at both sides of the gate below the upper surface respectively, and the source and drain are separated by the gate. The drift region is located at least between the gate and the drain. The mitigation region is formed below the drift region, and the drift region has an edge closer to the source. A vertical distance between this edge of the drift region and the mitigation region is less than or equal to five times of a depth of the drift region.
US08859368B2 Semiconductor device incorporating a multi-function layer into gate stacks
Approaches are provided for forming a semiconductor device (e.g., a FET) having a multi-function layer (e.g., niobium carbide (NbC)) that serves as a work function layer and a gate metal layer in gate stacks of solid state applications. By introducing a single layer with multiple functions, total number of layers that needs processing (e.g., recessing) may be decreased. As such, the complexity of device integration and resulting complications may be reduced.
US08859362B2 Integrated circuit fabrication
A method for defining patterns in an integrated circuit comprises defining a plurality of features in a first photoresist layer using photolithography over a first region of a substrate. The method further comprises using pitch multiplication to produce at least two features in a lower masking layer for each feature in the photoresist layer. The features in the lower masking layer include looped ends. The method further comprises covering with a second photoresist layer a second region of the substrate including the looped ends in the lower masking layer. The method further comprises etching a pattern of trenches in the substrate through the features in the lower masking layer without etching in the second region. The trenches have a trench width.
US08859361B1 Symmetric blocking transient voltage suppressor (TVS) using bipolar NPN and PNP transistor base snatch
A symmetrical blocking transient voltage suppressing (TVS) circuit for suppressing a transient voltage includes an NPN transistor having a base electrically connected to a common source of two transistors whereby the base is tied to a terminal of a low potential in either a positive or a negative voltage transient. The two transistors are two substantially identical transistors for carrying out a substantially symmetrical bi-directional clamping a transient voltage. These two transistors further include a first and second MOSFET transistors having an electrically interconnected source. The first MOSFET transistor further includes a drain connected to a high potential terminal and a gate connected to the terminal of a low potential and the second MOSFET transistor further includes a drain connected to the terminal of a low potential terminal and a gate connected to the high potential terminal.
US08859360B2 Method of manufacturing semiconductor device with offset sidewall structure
A method of manufacturing a semiconductor device with NMOS and PMOS transistors is provided. The semiconductor device can lessen a short channel effect, can reduce gate-drain current leakage, and can reduce parasitic capacitance due to gate overlaps, thereby inhibiting a reduction in the operating speed of circuits. An N-type impurity such as arsenic is ion implanted to a relatively low concentration in the surface of a silicon substrate (1) in a low-voltage NMOS region (LNR) thereby to form extension layers (61). Then, a silicon oxide film (OX2) is formed to cover the whole surface of the silicon substrate (1). The silicon oxide film (OX2) on the side surfaces of gate electrodes (51-54) is used as an offset sidewall. Then, boron is ion implanted to a relatively low concentration in the surface of the silicon substrate (1) in a low-voltage PMOS region (LPR) thereby to form P-type impurity layers (621) later to be extension layers (62).
US08859359B2 Floating body cell structures, devices including same, and methods for forming same
Floating body cell structures including an array of floating body cells disposed on a back gate and source regions and drain regions of the floating body cells spaced apart from the back gate. The floating body cells may each include a volume of semiconductive material having a channel region extending between pillars, which may be separated by a void, such as a U-shaped trench. The floating body cells of the array may be electrically coupled to another gate, which may be disposed on sidewalls of the volume of semiconductive material or within the void therein. Methods of forming the floating body cell devices are also disclosed.
US08859357B2 Method for improving device performance using dual stress liner boundary
An integrated circuit with DSL borders perpendicular to the tranistor gates primarily inside the nwell and with DSL borders parallel to the transistor gates primarily outside the nwell. A method for forming an integrated circuit with DSL borders perpendicular to the tranistor gates primarily inside the nwell and with DSL borders parallel to the transistor gates primarily outside the nwell.
US08859356B2 Method of forming metal silicide regions on a semiconductor device
The present disclosure is directed to various methods of forming metal silicide regions on an integrated circuit device. In one example, the method includes forming a PMOS transistor and an NMOS transistor, each of the transistors having a gate electrode and at least one source/drain region formed in a semiconducting substrate, forming a first sidewall spacer adjacent the gate electrodes and forming a second sidewall spacer adjacent the first sidewall spacer. The method further includes forming a layer of material above and between the gate electrodes, wherein the layer of material has an upper surface that is positioned higher than an upper surface of each of the gate electrodes, performing a first etching process on the layer of material to reduce a thickness thereof such that the upper surface of the layer of material is positioned at a desired level that is at least below the upper surface of each of the gate electrodes, and after performing the first etching process, performing a second etching process to insure that a desired amount of the gate electrodes for the PMOS transistor and the NMOS transistor are exposed for a subsequent metal silicide formation process. The method concludes with the step of forming metal silicide regions on the gate electrode structures and on the source/drain regions.
US08859355B1 Method to make dual material finFET on same substrate
A method of fabricating a semiconductor device including proving a substrate having a germanium containing layer that is present on a dielectric layer, and etching the germanium containing layer of the substrate to provide a first region including a germanium containing fin structure and a second region including a mandrel structure. A first gate structure may be formed on the germanium containing fin structures. A III-V fin structure may then be formed on the sidewalls of the mandrel structure. The mandrel structure may be removed. A second gate structure may be formed on the III-V fin structure.
US08859352B2 Lightly-doped drains (LDD) of image sensor transistors using selective epitaxy
Embodiments of the present invention are directed to an image sensor having pixel transistors and peripheral transistors disposed in a silicon substrate. For some embodiments, a protective coating is disposed on the peripheral transistors and doped silicon is epitaxially grown on the substrate to form lightly-doped drain (LDD) areas for the pixel transistors. The protective oxide may be used to prevent epitaxial growth of silicon on the peripheral transistors during formation of the LDD areas of the pixel transistors.
US08859350B2 Recessed gate field effect transistor
A semiconductor device having a gate positioned in a recess between the source region and a drain region that are adjacent either side of the gate electrode. A channel region is below a majority of the source region as well as a majority of the drain region and the entire gate electrode.
US08859348B2 Strained silicon and strained silicon germanium on insulator
A method for fabricating field effect transistors patterns a strained silicon layer formed on a dielectric layer of a substrate into at least one NFET region including at least a first portion of the strained silicon layer. The strained silicon layer is further patterned into at least one PFET region including at least a second portion of the strained silicon layer. A masking layer is formed over the first portion of the strained silicon layer. After the masking layer has been formed, the second strained silicon layer is transformed into a relaxed silicon layer. The relaxed silicon layer is transformed into a strained silicon germanium layer.
US08859347B2 Semiconductor-on-insulator with back side body connection
Embodiments of the present invention provide for the removal of excess carriers from the body of active devices in semiconductor-on-insulator (SOI) structures. In one embodiment, a method of fabricating an integrated circuit is disclosed. In one step, an active device is formed in an active layer of a semiconductor-on-insulator wafer. In another step, substrate material is removed from a substrate layer disposed on a back side of the SOI wafer. In another step, an insulator material is removed from a back side of the SOI wafer to form an excavated insulator region. In another step, a conductive layer is deposited on the excavated insulator region. Depositing the conductive layer puts it in physical contact with a body of an active device in a first portion of the excavated insulator region. The conductive layer then couples the body to a contact in a second detached portion of the excavated insulator region.
US08859343B2 3D semiconductor structure and manufacturing method thereof
A semiconductor structure includes a plurality of stacked strips on a substrate and a plurality of conductive lines on the stacked strips. The stacked strips and the conductive lines are arranged orthogonally to each other and a conductive liner is formed there between. A first air gap fills the space between the two adjacent stacked strips and under one of the conductive lines, which is positioned on top of said two adjacent stacked strips, whereas a second air gap is between the two adjacent conductive lines. The material of the conductive liner is different from that of the conductive lines. The distance between the two adjacent stacked strips is below 200 nm, and the aspect ratio of the stacked strip is at least 1.
US08859342B2 Integrated circuit packaging system with substrate mold gate and method of manufacture thereof
A method of manufacture of an integrated circuit packaging system includes: providing a substrate; forming a mold gate on an upper surface of the substrate; mounting an integrated circuit to the substrate; and forming an encapsulant encapsulating the integrated circuit, the encapsulant having disruption patterns emanating from the mold gate and underneath a bottom plane of the integrated circuit.
US08859339B2 Mold chase
A mold chase for packaging a semiconductor die includes first and second toothed mold clamps, each having teeth, recesses located between the teeth, and an open cavity located in a center of the first mold clamp. The second mold clamp is in facing arrangement with the first mold clamp and the teeth in the first mold clamp mate with corresponding recesses in the second mold clamp and vice-versa. In an open position a lead frame can be inserted into one of the first or second mold clamps and in a closed position, the teeth and recesses of the first and second mold clamps bend leads of the lead frame into two spaced, planar rows.
US08859338B2 Method of manufacturing a semiconductor device and semiconductor device
A method of manufacturing a semiconductor device includes a sealing step of sealing an inner lead of a lead frame with a resin, and a bending step of bending a target bending region in which a stress by bending is not applied to a resin burr generated in the sealing step.
US08859336B2 Method of packaging semiconductor die with cap element
A method of assembling semiconductor devices includes placing an array of semiconductor dies on a die support. A cap array structure is provided that has a corresponding array of caps supported by a cap frame structure. The cap array structure and the array of semiconductor dies on the die support are aligned, with the caps extending over corresponding semiconductor dies, in a mold chase. The array of semiconductor dies and the array of caps are encapsulated with a molding compound in the mold chase. The encapsulated units of the semiconductor dies with the corresponding caps are removed from the mold chase and singulated. Singulating the encapsulated units may include removing the cap frame structure from the encapsulated units.
US08859326B2 Thin film transistor and method of fabricating the same using an organic semconductor layer and an organic acceptor-donor layer
A thin film transistor including a contact layer that contains an organic semiconductor layer over a substrate, a contact layer containing an organic semiconductor material, an acceptor or donor material provided between an organic semiconductor layer, and a source electrode and a drain electrode at opposite end portions of the contact layer; and a method of fabricating same.
US08859323B2 Method of chalcogenization to form high quality cigs for solar cell applications
A method for high temperature selenization of Cu—In—Ga metal precursor films comprises ramping the precursor film to a temperature between about 300 C and about 400 C in a Se containing atmosphere and at a pressure between about 600 torr and 800 torr. A partial selenization is performed at a temperature between about 300 C and about 400 C in a Se-containing atmosphere. The film is then ramped to a temperature between about 400 C and about 550 C in a Se containing atmosphere and at a pressure between about 600 torr and 800 torr. The film is then annealed at a temperature between about 550 C and about 650 C in an inert gas.
US08859322B2 Cell and module processing of semiconductor wafers for back-contacted solar photovoltaic module
The present invention relates to cost effective production methods of high efficiency silicon based back-contacted back-junction solar panels and solar panels thereof having a multiplicity of alternating rectangular emitter- and base regions on the back-side of each cell, each with rectangular metallic electric finger conductor above and running in parallel with the corresponding emitter- and base region, a first insulation layer in-between the wafer and finger conductors, and a second insulation layer in between the finger conductors and cell interconnections.
US08859318B2 Method for integrating MEMS microswitches on GaN substrates comprising electronic power components
Methods of fabrication of electronic modules comprise, on the one hand, power electronic components fabricated on a substrate made of gallium nitride (GaN) and, on the other hand, micro-switches using electrostatic activation of the MEMS (Micro Electro Mechanical System) type. The electronic components and the micro-switches are fabricated on a single gallium nitride substrate and the fabrication method comprises at least the following steps: fabrication of the power components on the gallium nitride substrate; deposition of a first common passivation layer on said components and on the substrate; fabrication of the micro-switches on said substrate.
US08859315B2 Epitaxial wafer and manufacturing method thereof
A semiconductor device comprises a substrate; a conductive layer deposited on a substrate, the conductive layer being patterned to include a first pattern, the first pattern including a major surface and a plurality of grids defined in the major surface, the major surface including first lines and a connecting portion, wherein the connecting portion is connected to an electrode; and an epitaxial layer disposed on the conductive layer, covering the grids and the first line between the adjacent grids.
US08859311B2 Flip-chip light-emitting diode structure and manufacturing method thereof
A flip-chip light-emitting diode structure comprises a carrier substrate, a light-emitting die structure, a reflective layer, an aperture, a dielectric layer, a first contact layer and a second contact layer. The light-emitting die structure, located on the carrier substrate, comprises a first type semiconductor layer, a second type semiconductor layer and a light emitting layer. The light emitting layer is formed between the first type and the second type semiconductor layer. The reflective layer is located on the first type semiconductor layer. The aperture penetrates the light-emitting die structure. The dielectric layer covers an inner sidewall of the aperture and extends to a portion of a surface of the reflective layer. The first contact layer is disposed on the part of the reflective layer not covered by the dielectric layer. The second contact layer fills up the aperture and is electrically connected to the second type semiconductor layer.
US08859310B2 Methods of fabricating optoelectronic devices using semiconductor-particle monolayers and devices made thereby
Methods of fabricating optoelectronic devices, such as photovoltaic cells and light-emitting devices. In one embodiment, such a method includes providing a substrate, applying a monolayer of semiconductor particles to the substrate, and encasing the monolayer with one or more coatings so as to form an encased-particle layer. At some point during the method, the substrate is removed so as to expose the reverse side of the encased-particle layer and further processing is performed on the reverse side. When a device made using such a method has been completed and installed into an electrical circuit the semiconductor particles actively participate in the photoelectric effect or generation of light, depending on the type of device.
US08859306B2 Method of manufacturing flexible display apparatus including multiple plastic films
A method of manufacturing a flexible display apparatus, and more particularly, to a flexible display apparatus including a display unit including a thin-film transistor which is easily encapsulated. The method of manufacturing a flexible display apparatus includes: sequentially forming a first plastic film and a first barrier layer on a first substrate; forming a thin-film transistor on the first barrier layer; forming on the thin-film transistor a display device that is electrically connected to the thin-film transistor; forming an encapsulation member including a second substrate, a second plastic film, and a second barrier layer, wherein the second substrate and the second film are sequentially stacked on the second barrier layer; combining the encapsulation member with the upper portion of the display device; ablating the first substrate from the first plastic film; and ablating the second substrate from the second plastic film.
US08859305B2 Light emitting diodes and associated methods of manufacturing
Light emitting diodes and associated methods of manufacturing are disclosed herein. In one embodiment, a light emitting diode (LED) includes a substrate, a semiconductor material carried by the substrate, and an active region proximate to the semiconductor material. The semiconductor material has a first surface proximate to the substrate and a second surface opposite the first surface. The second surface of the semiconductor material is generally non-planar, and the active region generally conforms to the non-planar second surface of the semiconductor material.
US08859304B2 Light-emitting device and manufacturing method thereof
A light-emitting device having a curved light-emitting surface is provided. Further, a highly-reliable light-emitting device is provided. A substrate with plasticity is used. A light-emitting element is formed over the substrate in a flat state. The substrate provided with the light-emitting element is curved and put on a surface of a support having a curved surface. Then, a protective layer for protecting the light-emitting element is formed in the same state. Thus, a light-emitting device having a curved light-emitting surface, such as a lighting device or a display device can be manufactured.
US08859301B2 System and method for step coverage measurement
Determining an unknown step coverage of a thin film deposited on a 3D wafer includes exposing a planar wafer comprising a first film deposited thereon to X-ray radiation to create first fluorescent radiation; detecting the first fluorescent radiation; measuring a number of XRF counts on the planar wafer; creating an XRF model of the planar wafer; providing a portion of the 3D wafer comprising troughs and a second film deposited thereon; determining a multiplier factor between the portion of the 3D wafer and the planar wafer; exposing the portion of the 3D wafer to X-ray radiation to create second fluorescent radiation; detecting the second fluorescent radiation; measuring a number of XRF counts on the portion of the 3D wafer; calculating a step coverage of the portion of the 3D wafer; and determining a uniformity of the 3D wafer based on the step coverage of the portion of the 3D wafer.
US08859292B2 Method for measuring temperature of biological sample, method for measuring concentration of biological sample, sensor chip and biosensor system
A sensor chip is configured to measure the temperature of a blood sample and includes a capillary section and an electrode unit. The capillary section allows the blood sample to be introduced therein. The electrode unit is configured to measure the temperature of the blood sample and includes a working electrode and a counter electrode. The working electrode and the counter electrode respectively include a reaction reagent layer containing an electrolyte. Further, the electrode unit is configured to receive a predetermined voltage to be applied in measuring the temperature of the blood sample for allowing a result of the measurement to be less affected by increase and reduction in a glucose concentration and the like.
US08859291B2 Methods for target molecule detection using siderophores and related compositions
The invention provides methods for isolating a target molecule from a sample. In an embodiment, the method involves contacting a sample with a capture agent, the agent comprising a siderophore and a transition metal cation, under conditions wherein the agent is capable of binding a target molecule to form a target molecule-capture agent complex, wherein the target molecule is selected from the group consisting of a phosphorylated molecule, a nitrotyrosine-containing molecule and a sulfated molecule, and separating the target molecule-capture agent complex from the sample, thereby isolating the target molecule from the sample. Also provided are methods for determining the presence of a target molecule in a sample, that involve contacting a sample with a capture agent, the agent comprising a siderophore and a transition metal cation.
US08859288B2 pH-sensitive microparticles with matrix-dispersed active agent
Methods to produce pH-sensitive microparticles that have an active agent dispersed in a polymer matrix have certain advantages over microcapsules with an active agent encapsulated in an interior compartment/core inside of a polymer wall. The current invention relates to pH-sensitive microparticles that have a corrosion-detecting or corrosion-inhibiting active agent or active agents dispersed within a polymer matrix of the microparticles. The pH-sensitive microparticles can be used in various coating compositions on metal objects for corrosion detecting and/or inhibiting.
US08859286B2 In vitro differentiation of pluripotent stem cells to pancreatic endoderm cells (PEC) and endocrine cells
A human immature endocrine cell population and methods for making an immature endocrine cell population are provided. Specifically, immature beta cells and methods for production of immature beta cells are described. Immature beta cells co-express INS and NKX6.1 and are uni-potent and thereby develop into mature beta cells when implanted in vivo. The mature beta cells in vivo are capable of producing insulin in response to glucose stimulation.
US08859282B2 Method for preservation of human hematopoietic stem or progenitor cells
Maintenance of quiescent hematopoietic stem and progenitor cells (HSPC) in culture without the addition of exogenous growth factors is an important aspect in clinical hematology. A recent report described the ability of Flt3 receptor-interacting lectin (FRIL) in the maintenance of cord blood (CB) derived progenitors in vitro. Since FRIL is a mannose binding lectin, the effectiveness of four such lectins of well-characterized specificities on the preservation of HSPC of CB origin have been examined. The HSPC preservation activity of lectins was assessed by in vitro colony forming unit (CFU) and long-term culture initiating cell (LTC-IC) assays. It was found that all four lectins had a HSPC preservation activity at least up to 30 days in culture without addition of exogenous growth factors. The results indicate that use of such lectins may provide a cost effective method of HSPC maintenance for clinical use.
US08859281B2 Host cells with artificial endosymbionts
The present invention is directed generally to eukaryotic cells comprising single-celled organisms that are introduced into the eukaryotic cell through human intervention and which transfer to daughter cells of the eukaryotic cell through at least five cell divisions, and methods of introducing such single-celled organisms into eukaryotic cells. The invention also provides methods of using such eukaryotic cells. The invention further provides single-celled organisms that introduce a phenotype to eukaryotic cells that is maintained in daughter cells. The invention additionally provides eukaryotic cells containing magnetotactic bacteria.
US08859277B2 Plasmids and phages for homologous recombination and methods of use
Lambda phages that can be used to introduce recombineering functions into host cells are disclosed. Also disclosed are plasmids that can be used to confer recombineering functions to a variety of strains of E. coli and to other bacteria, including Salmonella, Pseudomonas, Cyanobacteria, Spirochaetes. These plasmids and phages can be isolated in vitro and can be used to transform bacterial cells, such as gram negative bacteria.
US08859275B2 Method for modulating gene expression by modifying the CpG content
The invention relates to nucleic acid modifications for a directed expression modulation by the targeted insertion or removal of CpG dinucleotides. The invention also relates to modified nucleic acids and expression vectors.
US08859274B2 Antibody fragment-targeted immunoliposomes for systemic gene delivery
A targeted vector allowing enhanced gene transfer to human hepato-cellular carcinoma (HCC1) cells in vitro was developed using cationic liposomes covalently conjugated with the mAb AF-20. This high affinity antibody recognizes a rapidly internalized 180 kDa cell surface glycoprotein which is abundantly expressed on the surface of human HCC and other cancer cells. Quantitative binding analysis of liposomes with target cells by flow cytometry showed specific association of mAb-targeted liposomes with human HCC cells. Using mAb-targeted cationic liposomes containing 20% DOTAP, in the presence or absence of serum, gene expression in HuH-7 cells was enhanced up to 40-fold as compared to liposomes conjugated with an isotype-matched non-relevant control antibody. Transfection specificity was not observed in a control cell line that does not express the antigen recognized by mAb AF-20. This study demonstrates that cationic liposome formulations can be targeted with monoclonal antibodies (mAbs) to enhance specific in vitro gene delivery and expression in the presence or absence of serum.
US08859273B2 Methods of using HCN genes to treat cardiac arrhythmias
The subject invention is directed to methods of treating cardiac pacing dysfunction by administering HCN genes, alone or in combination with other genes.
US08859271B2 Thermal cycling apparatus and method for providing thermal uniformity
An apparatus and method for rapid thermal cycling including a thermal diffusivity plate. The thermal diffusivity plate can provide substantial temperature uniformity throughout the thermal block assembly during thermal cycling by a thermoelectric module. An edge heater can provide substantial temperature uniformity throughout the thermal block assembly during thermal cycling.
US08859270B2 Process and apparatus for extracting biodiesel from algae
The present invention relates to a system for cultivation of algae, extraction of lipids and transesterification of the lipids to obtain biodiesel. The system comprises three sections, that is to say cultivation, extraction and storage and reaction. In the lipid extraction area there is an ultrasonic reactor wherein the external walls of the alga are ruptured together with those of the oil sac to permit the extraction of lipids in the transesterification area there is also an ultrasonic reactor which ruptures the molecules of the fluid which passes therethrough to accelerate the reaction and render it almost immediate.
US08859269B2 Chemical activation of an actuator or an osmotic motor
An actuator that includes an enclosure having a wall impermeable to a first solute and permeable to a solvent and containing, at least temporarily, a catalyst capable of promoting the transformation of at least one second solute into the first solute to vary the osmotic pressure in the enclosure; and a deformable chamber connected to the enclosure, the chamber being capable of increasing in volume under the action of the solvent moving from the enclosure into the chamber by osmosis or the enclosure being designed to be arranged in contact with the solvent, the chamber being capable of increasing in volume under the action of the solvent penetrating into the enclosure by osmosis.
US08859267B2 Chip for optical analysis
The present invention relates to a chip for optical analysis. In particular, the present invention relates to an optical sensor handling a liquid sample, which is a chip for analysis that can be used for selectively measuring a biologically-relevant substance or a chemical substance such as an environmental pollutant or a health affecting substance in a liquid to be measured. The chip for optical analysis of the present invention is characterized in that (1) an adsorption region (filter region) is provided between a sample introduction section and the observation section in a passage of the chip for analysis, (2) a bypass passage is provided in the passage (main passage) of the chip for analysis, and a time lag is generated between samples passed through the main passage and passed through a bypass passage, and (3) a measurement region and a reference region are provided in the observation section of the chip for analysis. In the present invention, the aspects (1) to (3) can be achieved individually or two or more thereof can be combined.
US08859256B2 Method for detecting replication or colonization of a biological therapeutic
Methods for detecting replication in or colonization of a host by a biological therapeutic, such as an oncolytic virus, cells administered for cell therapy and gene therapy vectors, are provided. In the methods, a product produced by the biological therapeutic is detected in a sample of tissue or body fluid distinct from the administered therapy or locus thereof, thereby permitting assessment of the therapy and/or monitoring its progress.
US08859254B2 Polypeptides having beta-glucosidase activity and polynucleotides encoding same
The present invention relates to isolated polypeptides having beta-glucosidase activity, beta-xylosidase activity, or beta-glucosidase and beta-xylosidase activity and isolated polynucleotides encoding the polypeptides. The invention also relates to nucleic acid constructs, vectors, and host cells comprising the polynucleotides as well as methods of producing and using the polypeptides.
US08859246B2 Method to produce PHBV by recombinant Escherichia coli
The invention discloses a method for production of polyhydroxybutyrate-co-polyhydroxyvalerate (PHBV) by recombinant Escherichia coli harboring plasmid containing both phaCAB and prpE. Different percentage of hydroxyvalerate can be obtained from the recombinant E. coli when cultivated in the medium containing different concentrations of propionic acid. In this patent, we provide a method that integrated all of the genes (i.e. phaCAB, vgb and prpE) required for PHBV production into a single plasmid. The plasmids were then transformed into an E. coli host. Results showed that PHBV can be produced by this recombinant E. coli, and the ration of HV to HB in the co-polymers can be regulated by addition of different concentrations of propionic acid in the medium. The percentage of HV in the co-polymers can be adjusted from about 3% up to more than 35%.
US08859245B2 Method for separation of lactic acid component from lactic acid fermentation liquor, and separation apparatus
A lactic acid component (e.g., lactic acid or oligo (lactic acid)) can be obtained by extraction from a lactic acid fermentation liquor with a pH of 4.8 or less, using at least one solvent selected from the group consisting of toluene, xylene, mesitylene, ethylbenzene, methanol, ethanol, propanol, butanol, and mineral spirit. Furthermore, oligo (lactic acid) can be obtained, by heating a lactic acid fermentation liquor with a pH of 4.8 or less under reduced pressure, and washing, with water, the fermentation liquor containing a produced oligo (lactic acid). Hence, a method is provided for separating a lactic acid component from a lactic acid fermentation liquor, which is free from incorporation of impurities and which includes simple steps.
US08859234B2 Fusion constructs and use of same to produce antibodies with increased Fc receptor binding affinity and effector function
The present invention relates to the field of glycosylation engineering of proteins. More particularly, the present invention relates to nucleic acid molecules, including fusion constructs, having catalytic activity and the use of same in glycosylation engineering of host cells to generate polypeptides with improved therapeutic properties, including antibodies with increased Fc receptor binding and increased effector function.
US08859231B2 Assembly of wild-type and chimeric influenza virus-like particles (VLPs)
Influenza virus-like particles (VLPs) comprising the structural proteins HA, NA, M1 and M2 are described. VLPs are also generated containing M1 alone, as are VLPs with M1 and any one or two of HA, NA and M2. VLPs with HA from one influenza subtype and NA from a different influenza subtype are also described, as are VLPs in which a portion or all of HA or NA is replaced by a heterologous moiety not produced by influenza virus, so as to comprise chimeric VLPs.
US08859230B2 Polypeptides comprising an ice-binding activity
The present invention relates to novel polypeptides comprising an ice-binding capability resulting in an ice crystal formation and/or growth reducing or inhibiting activity. The present invention also relates to an edible product and to a solid support comprising the novel polypeptide. Furthermore, the present invention also relates to a method for producing the novel polypeptide and to different uses of the novel polypeptide.
US08859227B2 Polypeptides having xylanase activity and polynucleotides encoding same
The present invention relates to isolated polypeptides having xylanase activity and polynucleotides encoding the polypeptides. The invention also relates to nucleic acid constructs, vectors, and host cells comprising the polynucleotides as well as methods of producing and using the polypeptides.
US08859225B2 Systems and methods of voltage-gated ion channel assays
Systems and methods are provided for optically measuring ion concentrations in biological samples. The systems and methods employ polymer-based optical ion sensors that include ion-selective ionophores and a pH sensitive chromionophore. Electrodes are providing for electrically stimulating the biological samples.
US08859220B2 Luciferase-based assays
A method and kit are provided for enhancing the tolerance of an assay reagent to compounds in an assay sample, the assay reagent including a luciferase enzyme. The method includes contacting the luciferase with a tolerance enhancement agent in an amount sufficient to substantially protect luciferase enzyme activity from interference of the compound and minimize interference by at least about 10% relative to an assay not having tolerance enhancement agent.
US08859219B2 PVDF membranes
The invention provides a method of treating a polyvinyl difluoride (PVDF) membrane comprising: (a) contacting said membrane with an alcohol and a wetting agent; and (b) drying said membrane.
US08859217B2 Method of determining the sex of birds, reptiles and mammals using steroid hormones
The present invention consists in the design of an ELISA test, specific to determine through fecal feces, urine or plasma of birds, reptiles and mammals, the levels of concentration of the hormone testosterone as an indicator of masculine sex in these species for a better handling of the reproduction and the production of birds, reptiles and mammals. The procedure can be applied for practical purposes in any commercial operation that involves these species. With this method a greater efficiency and economic return is obtained, which reports improvement and greater benefits to the producers.
US08859216B2 Method for noninvasive prediction or diagnosis of inflammation and infection in amniotic fluid of patients with premature rupture of membranes
Provided is a method for noninvasive prediction or diagnosis of inflammation and/or infection in amniotic fluid leaked through the cervix into the vagina to predict the concentration of inflammatory markers in the amniotic fluid inside uterus by measuring the concentration of inflammatory markers (various cytokines). Further provided is a method for prediction or diagnosis of inflammation and/or infection in amniotic fluid by measuring the concentration of markers (IL-6, IL-1β IL-8, MCP-1, GRO-α) in the amniotic fluid leaked through the cervix into the vagina in patients with premature rupture of membranes. The method can be performed more stably on pregnant women, as compared to the conventional method for prediction or diagnosis of inflammation and/or infection using invasively collected amniotic fluid.
US08859213B2 Method for diagnosing melanocytic proliferations
The invention provides a method for diagnosing a melanocytic proliferation in a subject comprising staining a sample of lesional melanocytes with an antibody against soluble adenylyl cyclase (sAC) and interpreting the sAC staining pattern, which is associated with a diagnosis of a melanocytic proliferation. The sAC staining pattern, which is complex, is discriminatory and distinctive according to the nature of the melanocytic proliferation. The sAC staining pattern comprises one or more of dot-like Golgi staining, broad granular Golgi staining, diffuse cytoplasmic staining, nucleolar staining, incomplete granular nuclear staining, and pan-nuclear staining. The method of the invention is particularly useful in confirming or disaffirming a diagnosis reached through conventional histologic examination of a sample. Additionally, the invention provides a kit for use in interpreting melanocytic proliferations.
US08859210B2 Method for identifying allergenic proteins and peptides
A method for identifying allergenic proteins and peptides. More specifically, a method for identifying allergenic milk proteins and/or peptides including the steps of: providing at least one expression library comprising DNA or cDNA derived from the mammary gland tissue of a lactating cow, expressing at least one protein or peptide encoded by said expression library, determining the binding capacity of said at least one protein or peptide to IgE of at least one serum of an individual who is sensitive to cow's milk, contacting the at least one protein or peptide exhibiting an IgE binding capacity as determined in step c) with basophil cells, eosinophil cells or mast cells and identifying the at least one protein or peptide as being allergenic when said basophil cells, eosinophil cells or mast cells release upon contact with at least one protein or peptide of step d) at least one mediator.
US08859209B2 Reimmunization and antibody design
The present invention relates to methods for harvesting of antibodies from an antibody library. The antibodies are harvested by utilizing a certain epitope that is analogous to the epitope of the antigen used for immunization but that may differ in global physical and biochemical properties allowing the production of antibodies against antigens that normally can not be utilized as immunizing agents. The present invention furthermore relate to fields of use for harvested antigens in industry, agriculture and healthcare.
US08859207B2 Pharmaceutical compositions which inhibit FKBP52-mediated regulation of androgen receptor function and methods of using same
Pharmaceutical compositions that bind to a predicted FK506 Binding Protein 52 (FKBP52) interaction surface on the androgen receptor hormone binding domain, otherwise known as FKBP52 Targeting Agents (FTAs) are provided. These compositions of the present invention are found to specifically recognize the FKBP52 regulatory surface on the androgen receptor and inhibit FKBP52 from functionally interacting with the androgen receptor. Compositions comprising the pharmaceutical composition, as well as methods of use, treatment and screening are also provided.
US08859204B2 Method for detecting the presence of a target nucleic acid sequence in a sample
A method comprises loading a sample portion into a sample chamber which comprises means for minimizing diffusion of the sample portion, subjecting the sample portion to an amplification step, and determining whether the sample portion contains at least one molecule of a target nucleic acid. If the sample portion contains a single molecule of the target nucleic acid, the sample portion would attain a detectable concentration of the target nucleic acid after a single round of amplification. Also, a microfluidic device comprising a sample portion and a sample chamber comprising means for minimizing diffusion of the sample portion. Also, a microfluidic device comprising a sample chamber and an amplification targeting reagent positioned in the first sample chamber.
US08859203B2 Method for typing and detecting HBV
The present invention relates to a method for detection and/or genetic analysis of HBV in a biological sample, comprising hybridizing the polynucleic acids of the sample with a combination of at least two nucleotide probes, with said combination hybridizing specifically to a mutant target sequence chosen from the HBV RT pol gene region and/or to a mutant target sequence chosen from the HBV preCore region and/or to a mutant target sequence chosen from the HBsAg region of HBV and/or to a HBV genotype-specific target sequence, with said target sequences being chosen from FIG. 1, and with said probes being applied to known locations on a solid support and with said probes being capable of hybridizing to the polynucleic acids of the sample under the same hybridization and wash conditions, or with said probes hybridizing specifically with a sequence complementary to any of said target sequences, or a sequence where T of said target sequence is replaced by U; and detecting the hybrids formed; and inferring the HBV genotype and/or mutants present in said sample from the differential hybridization signal(s) obtained. The invention further relates to sets of nucleotide probes and possibly primers useful in said methods as well as to their use in a method for typing and/or detecting HBV and to assay kits using the same.
US08859190B1 Method to create gradient index in a polymer
Novel photo-writable and thermally switchable polymeric materials exhibit a refractive index change of Δn≧1.0 when exposed to UV light or heat. For example, lithography can be used to convert a non-conjugated precursor polymer to a conjugated polymer having a higher index-of-refraction. Further, two-photon lithography can be used to pattern high-spatial frequency structures.
US08859188B2 Immersion liquid, exposure apparatus, and exposure process
An immersion liquid is provided comprising an ion-forming component, e.g. an acid or a base, that has a relatively high vapor pressure. Also provided are lithography processes and lithography systems using the immersion liquid.
US08859186B2 Polyimide precursor, resin composition comprising the polyimide precursor, pattern forming method using the resin composition, and articles produced by using the resin composition
A polyimide precursor and a polyimide precursor resin composition, the polyimide precursor having repeating units represented by formula (1) and a photosensitive resin composition comprising the polyimide precursor and a photoacid generator or photobase generator: where R1 is a tetravalent organic group; R2 is a divalent organic group; R1s may be the same or different from each other and R2s may be the same or different from each other in the repeating units; R3 and R4 respectively represent a monovalent organic group having a structure represented by formula (2) and may be the same or different from each other; and R3s and R4s in the repeating units may be the same or different from each other, respectively. R5, R6, R7 and R8 are as described in the specification.
US08859179B2 Developer for electrophotography, image forming apparatus and process cartridge
A developer, including a toner including a binder resin comprising a crystalline resin; and a colorant, and a resin carrier comprising a resin; a magnetic particulate material having a magnetic anisotropy, dispersed in the resin, and having a saturated magnetization of from 16 to 30 emu/g, a coercive force of from 15 to 40 kA/m and an average particle diameter not less than 15 μm and less than 100 μm.
US08859178B2 Toner for electrostatic image development
A toner for electrostatic image development includes toner particles containing a releasing agent and a binder resin, the binder resin containing a copolymer including a structural unit derived from a monomer represented by the general, formula (1), in which R1 represents a hydrogen atom or a methyl group, and R2 represents a hydrogen atom, an alkyl group having 1 to 16 carbon atoms, or an aryl group having 6 to 15 carbon atoms, m is an integer of 2 or 3, and n is an integer of 1 to 8.
US08859175B2 Transparent toner for developing electrostatic latent image, electrostatic latent image developer, toner cartridge, process cartridge, image forming apparatus and image forming method
The invention provides a transparent toner for developing an electrostatic latent image, including a binder resin and a release agent, the difference between the endothermic peak Tm of the release agent in a temperature increasing process and the exothermic peak Tc of the release agent in a temperature decreasing process being from about 10° C. to about 50° C., where Tm and Tc are measured with a differential scanning calorimeter (DSC) according to the ASTM method.
US08859172B2 Electrophotographic photosensitive member, method of producing electrophotographic photosensitive member, process cartridge, and electrophotographic apparatus
An electrophotographic photosensitive member has a surface layer that contains a polymer produced by the polymerization of a charge transporting substance having two or more methacryloyloxy groups per molecule. The surface layer contains a quinone derivative at a concentration of 5 ppm or more and 1500 ppm or less of the total mass of the polymer. The quinone derivative is a compound represented by the following formula (1) or a compound represented by the following formula (2) or both.
US08859171B2 Charge transport particles
A charge transport particle comprising a plurality of segments and a plurality of linkers arranged as a covalent organic framework, wherein at a macroscopic level the covalent organic framework is a particle that has electroactive added functionality.
US08859169B2 Photomask having patterns for EUV light and DUV light
A photomask, including a photomask substrate, a reflective layer on a front surface of a first region of the photomask substrate, the reflective layer being configured to reflect an EUV light, an absorbing pattern on the reflective layer, the absorbing pattern being configured to absorb the EUV light, and an opaque pattern directly on a front surface of a second region of the photomask substrate, the opaque pattern being configured to block a DUV light.
US08859168B2 Masks for microlithography and methods of making and using such masks
Masks for microlithography apparatus, methods for making such masks, and methods for exposing photosensitive materials to form arrays of microfeatures on semiconductor wafers using such masks. In one embodiment, a method of making a mask comprises forming a mask layer on a substrate and identifying a first opening in the mask layer corresponding to a first feature site at which an intensity of the radiation at a focal zone is less than the intensity of the radiation at the focal zone for a second feature site corresponding to a second opening in the mask. The second opening is adjacent or at least proximate the first opening. The method can further include forming a first surface at the first opening and a second surface at the second opening such that radiation passing through the second opening constructively interferes with radiation passing through the first opening at the focal zone.
US08859164B2 Bipolar plates and electrochemical cells employing the same
In one embodiment, a bipolar plate includes a wall area and a landing area defining a fluid flow channel, and a plurality of wires extending from at least one of the landing area and the wall area. In another embodiment, an electrochemical cell includes the aforementioned bipolar plate and a gas diffusion layer (GDL) adjacent the bipolar plate and contacting at least a portion of the plurality of wires.
US08859160B2 Monomers and polymers carrying imidazole and benzimidazole groupings, and proton exchange membrane containing the same for the production of a fuel cell
The invention relates to a monomer (6, 14) carrying an imidazole-type heterocycle (3). According to the invention, the chemical structure of said monomer (6, 14) comprises at least one unit of formula (I) wherein R1 comprises an alkenyl grouping and R2 comprises a grouping for protecting one of the nitrogen atoms of the heterocycle. The invention also relates to a monomer carrying a benzimidazole-type heterocycle, and to protected polymers obtained from said monomers, deprotected polymers produced by the protected polymers, a proton exchange membrane based on deprotected polymers, and a fuel cell provided with said membrane. Furthermore, the invention relates to methods for producing the above-mentioned monomers and polymers.
US08859155B2 Fuel cell operating method and fuel cell system
Included are: a basic operation plan creating section 2 configured to create a basic operation plan based on durable years (e.g., 10 years) and a durable operating time (e.g., 40000 hours) of a fuel cell 1, such that the fuel cell 1 is operated within the range of at least one of a first allowable operating time (e.g., 11 hours) per predetermined unit period (e.g., per day) and the number of durable start-ups (e.g., 4000 times) per predetermined unit period; a special operation plan creating section 3 configured to create a special operation plan such that the fuel cell 1 is operated within the range of at least one of a second allowable operating time (e.g., 20 hours) per predetermined unit period (e.g., per day) and a second allowable number of start-ups per predetermined unit period, the second allowable operating time being longer than the first allowable operating time, the second allowable number of start-ups being greater than the first allowable number of start-ups; an operation plan selecting section 4 configured to select one of the basic operation plan and the special operation plan; and a fuel cell operating section 5 configured to operate the fuel cell 1 based on the operation plan selected by the operation plan selecting section 4.
US08859151B2 Immobilized enzymes in biocathodes
Disclosed is an improved biofuel cell having a cathode comprising a dual function membrane, which contains an oxygen oxidoreductase enzyme immobilized within a buffered compartment of the membrane and an electron transport mediator which transfers electrons from an electron conducting electrode to the redox reaction catalyzed by the oxygen oxidoreductase enzyme. The improved biofuel cell also has an anode that contains an oxidoreductase enzyme that uses an organic fuel, such as alcohol, as a substrate. An electric current can flow between the anode and the cathode.
US08859149B2 Anode for lithium ion secondary battery, lithium ion secondary battery, electric power tool, electrical vehicle, and electric power storage system
A lithium secondary battery that has high capacity and excellent cycle characteristics is provided. The lithium ion secondary battery includes a cathode, an anode, and an electrolyte. The anode has, on an anode current collector, an anode active material layer including LixSiFy (1≦x≦2 and 5≦y≦6) as an anode active material.
US08859148B2 Electrode active material for lithium secondary battery
Provided is an electrode active material comprising a nickel-based lithium transition metal oxide (LiMO2) wherein the nickel-based lithium transition metal oxide contains nickel (Ni) and at least one transition metal selected from the group consisting of manganese (Mn) and cobalt (Co), wherein the content of nickel is 50% or higher, based on the total weight of transition metals, and has a layered crystal structure and an average primary diameter of 3 μm or higher, wherein the amount of Ni2+ taking the lithium site in the layered crystal structure is 5.0 atom % or less.
US08859145B2 Method of preparing cathode containing iron disulfide for a lithium cell
A primary cell having an anode comprising lithium or lithium alloy and a cathode comprising iron disulfide (FeS2) or a mixture of iron disulfide (FeS2) and iron sulfide (FeS) and conductive carbon particles. A cathode slurry is prepared comprising the FeS2 or FeS2 plus FeS powder, conductive carbon, binder, and a solvent. The binder is preferably a styrene-ethylene/butylene-styrene (SEBS) block copolymer. There is an advantage discovered in utilizing a hydronaphthalene solvent to form the cathode slurry. The preferred solvent is 1,2,3,4-tetrahydronaphthalene or decahydronaphthalene and mixtures thereof. The slurry mixture is coated onto a conductive substrate and the solvent evaporated leaving a dry cathode coating on the substrate. Higher drying temperature may be used resulting in a dry cathode coating which resists cracking. The anode and cathode can be spirally wound with separator therebetween and inserted into the cell casing with electrolyte then added.
US08859144B2 Multi-phase separated silicon based alloys as negative electrode material for lithium batteries
A particulate composite of silicon, tin, and aluminum (or other suitable metal) is prepared as a negative electrode composition with increased lithium insertion capacity and durability for use with a metal current collector in cells of a lithium-ion battery or a lithium-sulfur battery. This electrode material is formed such that the silicon is present as a distinct amorphous phase in separate matrix phases of crystalline tin and crystalline aluminum. While the distinct tin and aluminum phases provide electron conductivity, each phase accommodates the insertion and extraction of lithium in the operation of the cell and all phases interact in minimizing mechanical damage to the material as the cell experiences repeated charge and discharge cycles. Other suitable metals for use in the composite with silicon and tin include copper and titanium.
US08859141B2 Solid-state sodium-based secondary cell having a sodium ion conductive ceramic separator
The present invention provides a solid-state sodium-based secondary cell (or rechargeable battery). While the secondary cell can include any suitable component, in some cases, the secondary cell comprises a solid sodium metal negative electrode that is disposed in a non-aqueous negative electrolyte solution that includes an ionic liquid. Additionally, the cell comprises a positive electrode that is disposed in a positive electrolyte solution. In order to separate the negative electrode and the negative electrolyte solution from the positive electrolyte solution, the cell includes a sodium ion conductive electrolyte membrane. Because the cell's negative electrode is in a solid state as the cell functions, the cell may operate at room temperature. Additionally, where the negative electrolyte solution contains the ionic liquid, the ionic liquid may impede dendrite formation on the surface of the negative electrode as the cell is recharged and sodium ions are reduced onto the negative electrode.
US08859139B2 Alkaline storage battery
An alkaline storage battery has an electrode terminal that is inserted in a penetration hole, and disposed astride an inside of a battery case and an outside of the battery case, and fastened to a hole periphery portion via a packing. The negative terminal has a seal portion that, together with the hole periphery portion, clamps and compresses the packing to liquid-tightly seal the penetration hole. The seal portion includes an annular seal surface located facing the hole periphery portion, and a seal periphery surface located around the seal surface. The seal surface is protruded from the seal periphery surface toward the hole periphery portion. The surface roughness Ry of the seal surface of the negative terminal is 15 μm or less.
US08859138B2 Electrical part, nonaqueous electrolyte cell, and lead wire and sealable container which are used therein
Provided are an electrical part, a nonaqueous electrolyte cell, and a lead wire and a sealable container which are used therein. The electrical part includes a sealable container having a metal layer, and a lead conductor extending from the inside to the outside of the sealable container, the sealable container and the lead conductor being fusion-bonded at a sealing portion, in which the sealing portion at least partially has a layer composed of a polyallylamine at a part between the metal layer and the lead conductor and in contact with the lead conductor, and therefore, adhesion and sealing properties can be enhanced in the initial state and in a state of being in contact with an electrolytic solution.
US08859136B2 Fuel cell system
A fuel cell system includes a fuel cell module, a combustor, a fuel gas supply apparatus, an oxygen-containing gas supply apparatus, a water supply apparatus, a power converter, a control device, and a casing containing the fuel cell module, the combustor, the fuel gas supply apparatus, the oxygen-containing gas supply apparatus, the water supply apparatus, the power converter, and the control device. The casing includes a casing body and an open/close door that opens/closes the casing body by rotation about a vertical axis through hinges. The power converter and the control device are attached onto the open/close door at upper and lower positions.
US08859135B2 Battery cell connecting element and method of production thereof
The invention relates to a battery, particularly a lead storage battery, having at least one battery cell connector element (20) comprising a substantially prismatic base body (22) extending along a longitudinal axis (L) for connection to a plurality of pole flanges on a lower face (26) of the base body (22) and a contact lug (24) disposed adjacent to a contact-lug-side end face (30) of the base body (22) on the side of the contact lug, said contact lug being connected to the base body as one piece. A second groove (46) formed in the base body (22) and running to the lower face (26) is provided according to the invention.
US08859130B2 Battery cover for a high voltage automotive battery
A cover for a battery module having a plurality of battery cells arranged in a stacked configuration includes a non-conductive main body having a plurality of spaced apart recessed regions formed therein and a plurality of electrically conductive connectors, each of the connectors disposed in one of the recessed regions and coupled to the main body.
US08859125B2 Battery pack frame with elastic members and battery fixing portions
A battery pack frame for accommodating a plurality of secondary cells arranged in parallel with each other, each secondary cell extending axially in a first direction, the battery pack frame including an upper frame for coupling with an upper portion of each of the plurality of secondary cells so as to accommodate the plurality of secondary cells; a lower frame facing the upper frame, the lower frame being for coupling with a lower portion of each of the plurality of secondary cells, the upper and lower frames being spaced from each other in the first direction; and a plurality of elastic members disposed in parallel with each other and extending in the first direction.
US08859124B2 Integrated circuit and battery pack using the same
An integrated circuit includes: a voltage detection means for detecting a voltage to be applied between the positive electrode and the negative electrode of one or a plurality of secondary batteries; a power supply means for generating a predetermined voltage; an input terminal to which a voltage value according to the value of a current flowing in the secondary battery is input; and a control means configured such that, when the predetermined voltage is input from the power supply means, an overcharge detection signal or an overdischarge detection signal is output in accordance with the voltage detected by the voltage detection means, and when the predetermined voltage is not input from the power supply means, a charge control signal or a discharge control signal is output in accordance with the voltage detected by the voltage detection means or the voltage value to be input to the input terminal.
US08859122B2 Interconnect device for battery assembly
An interconnect device for a battery assembly having a plurality of battery cell assemblies and a thermistor is disclosed. The interconnect device includes a non-conductive frame; a plurality of conductive traces integrally formed with the frame, a busbar in electrical communication with at least one of the conductive traces and disposed adjacent an aperture formed in the frame, wherein the aperture receives a tab of at least one of the battery cell assemblies, and a conductive pad disposed on a lower surface of the frame, the pad in electrical communication with at least one of the conductive traces and adapted to receive a signal from the thermistor.
US08859121B2 Battery module, and electricity storage device
An electricity storage device according to the present invention includes a battery module having side plates and cover members assembled to both the sides of a case member of the battery module, so that gas discharge spaces are defined into which gas is emitted from the terminals of battery cells that are stored within the case member. Projecting portions are provided to the side plates respectively, and have through-holes communicating with the gas discharge spaces. The lowermost surfaces of the through-holes of the projecting portions are positioned lower than a axe of any of the battery cells. The projecting portions are connected by a tubular rubber members.
US08859118B2 Perpendicular magnetic recording medium
An object is to provide a perpendicular magnetic recording medium including a ground layer that prevents corrosion, while achieving a primary object of promoting finer magnetic particles of a magnetic recording layer and isolation of these magnetic particles.The structure of a perpendicular magnetic recording medium 100 according to the present invention includes, at least on a base 110: a magnetic recording layer 122 on which a signal is recorded; a ground layer 118 provided below the magnetic recording layer; a non-magnetic layer 116 for controlling crystal orientation of the ground layer; and a soft magnetic layer 114 provided below the non-magnetic layer. The ground layer 118 is configured to have three layers including, in an order from bottom, a first ground layer 118a and a second ground layer 118b that contain ruthenium, and a third ground layer 118c that contains a metal. A gas pressure at film formation by sputtering for the second ground layer 118b is the highest among those for the above three layers.
US08859117B2 Light-permeable heat protection element with aluminate-modified or borate-modified silicon dioxide
A light-permeable heat-protection element including at least one support element and at least one protective coating containing a reaction product which includes an aqueous alkali silicate solution and aluminate-modified or borate-modified silicon dioxide.
US08859115B2 Cemented carbide material for surface coated gear cutting tool and surface coated gear cutting tool
This cemented carbide material for a surface coated gear cutting tool is employed in a substrate for a surface coated gear cutting tool which is obtained by forming a hard coated layer on a surface of the substrate, the cemented carbide material for a surface coated gear cutting tool includes a WC-βt-Co based cemented carbide, wherein a content of Co forming a binder phase of the cemented carbide material is in a range of 12 to 17 wt %, and among components of a βt solid solution forming a hard phase of the cemented carbide material, a content of components excluding WC is in a range of 15 to 20 wt %, and a total content of Ta carbonitride and Nb caronitride is in a range of 5 to 8 wt %.
US08859112B2 Organic compound and organic light emitting element using the same
An organic compound represented by the following general formula [1] is provided. In the general formula [1], R1 to R3 are each independently selected from the group consisting of a hydrogen atom, a fluorine group, an alkyl group having 1 to 4 carbon atoms, and an aryl group, the aryl group is a phenyl group, a biphenyl group, or a terphenyl group, the aryl group may further include an alkyl group having 1 to 4 carbon atoms and/or a fluorine group as a substituent, and R4 to R17 are each independently selected from the group consisting of a hydrogen atom, an alkyl group having 1 to 4 carbon atoms, and a fluorine group.
US08859109B2 Compound for organic electroluminescent device and organic electroluminescent device using the same
Disclosed is an organic electroluminescent device (organic EL device) that is improved in luminous efficiency, fully secured of driving stability, and of simple structure. The organic EL device comprises a light-emitting layer between an anode and a cathode piled one upon another on a substrate and the light-emitting layer comprises a phosphorescent dopant and a compound containing carbazolyl groups at both ends represented by the following formula (1) as a host material. In formula (1), X is independently CH optionally containing a substituent or N and L is a direct bond, an ethylene group, or an acetylene group.
US08859100B2 Barrier structure and method for making
The disclosure is directed to a barrier structure including a fluoropolymer layer and a polymeric layer. The barrier structure has a chemical permeation breakthrough detection time greater than about one hour for hazardous chemicals as measured by ASTM F739. The disclosure is further directed to a method of forming the aforementioned barrier structure. The barrier material is designed to be suitable for construction of shelters, clothing, containers and other articles requiring barrier properties.
US08859099B2 Laminate of an acrylic resin composition layer containing triazine based ultra-violet compounds and an organosiloxane resin composition layer
A laminate having excellent weatherability and abrasion resistance as well as adhesion. The laminate comprises a base, a first layer formed by thermally curing an acrylic resin composition and a second layer formed by thermally curing an organosiloxane resin composition, all of which are formed in the mentioned order, wherein the acrylic resin composition comprises: (A) an acrylic copolymer which contains at least 70 mol % of a recurring unit represented by the following formula (A): wherein X is a hydrogen atom or methyl group, and Y is a methyl group, ethyl group, cycloalkyl group, hydroxyalkyl group having 2 to 5 carbon atoms or triazine-based ultraviolet absorber residue; (B) a blocked polyisocyanate compound; (C) a curing catalyst; and (D) a triazine-based ultraviolet absorber, and the organosiloxane resin composition comprises: (E) colloidal silica and (F) a hydrolysis condensate of an alkoxysilane.
US08859097B2 Photochromic compounds, compositions and articles
The present invention provides a compound represented by the Formula I: wherein substituents R16 and R17′ are each independently selected from an electron withdrawing group have a Hammett σp value of from 0.05 to 0.85 and R17 is hydrogen.
US08859095B2 High-carbon steel wire rod exhibiting excellent workability
Provided is a wire rod contains, in mass %: C: 0.6 to 1.1%; Si: 0.1 to 0.5%; Mn: 0.2 to 0.6%; S: 0.004 to 0.015%; and, Cr: 0.02 to less than 0.05%; with a balance including Fe and inevitable impurities in which P is limited to 0.02% by mass or lower and Al is limited to 0.003% by mass or lower; the wire rod has a pearlite in a surface thereof; and, the wire rod has, in a peripheral portion in a cross section thereof, a {110} crystal plane of ferrite in the pearlite, an accumulation degree of the crystal plane being 1.2 or more.
US08859094B2 Combination of elastomeric film composition and adhesive for a stretch laminate
A structure comprising an elastic film layer and an adhesive in contact therewith is disclosed. The elastomeric film layer may include a plasticizer and greater than 7 percent by weight of a tackifier. The elastomeric film formulation provides reduced loss of adhesion strength with the adhesive over time, providing for improvement in mechanical performance of the stretch laminate over time.
US08859092B2 Electrophotographic belt and electrophotographic apparatus
An electrophotographic belt includes a thermoplastic resin composition obtained by melt-kneading a thermoplastic polyester resin, at least one selected from polyether ester amide and polyether amide, and particles having a certain core-shell structure.
US08859091B2 Colored diffusion sheets, methods of manufacture thereof and articles comprising the same
Disclosed herein is a polymeric sheet that includes a first polymeric layer; and a second foamed layer; the first polymeric layer being in operative communication with the second foamed layer; the polymeric sheet having a percent light transmission as measured according to ASTM D 1003 of about 3% to about 80% at a thickness of greater than or equal to about 1,000 micrometers. Disclosed herein too is a method that includes disposing a first polymeric layer upon a second foamed layer to form a polymeric sheet; the first polymeric layer being in operative communication with the second foamed layer; the polymeric sheet having a percent light transmission as measured according to ASTM D 1003 of about 3% to about 80% at a thickness of greater than or equal to about 1,000 micrometers.
US08859088B2 Minimal weight composites using open structure
Preforms for open structured (lattice) composite tubular members manufactured from large (i.e. high filament count) prepreg yarns on a conventional maypole braiding machine, and subsequently cured to produce fiber reinforced composites of high strength and light weight.
US08859087B2 Insulating film
The present invention is to provide an insulating film having a high breakdown voltage and excellent heat resistance and handling properties. The present invention is a biaxially oriented insulating film which contains (i) a styrene-based polymer having a syndiotactic structure and (ii) particles and which has a refractive index in the thickness direction of 1.6050 to 1.6550.
US08859086B2 Bonded structure of touch screen with flexible circuit board for preventing overflow and bonding method thereof
The present invention provides a bonded structure of a flexible circuit board of a touch screen for preventing overflow including a touch sensor panel having a flexible circuit board adhered thereon. The flexible circuit board and/or the touch sensor panel have a glue dam disposed thereon, and a length of the glue dam is larger than a width of the flexible circuit board. The bonded structure of the flexible circuit board of the touch screen for preventing overflow in the present invention disposes the glue dam at a position at the bonding area of the flexible circuit board bonded to the touch sensor panel to effectively reduce the overflow to a side of the flexible circuit board outside the touch sensor panel, and an appearance of the overflow and voids during bonding could be avoided.
US08859084B2 Modifiers for oriented polypropylene
A biaxially oriented film that comprises polypropylene and either polytrimethylene terephthalate or polymethylpentene as a cavitating agent in an amount from 5 wt % to 25 wt %. The film has a haze of from 90% to 100% and a gloss of from 10 to 150. The film may be used in food packaging applications and in industrial applications.
US08859083B2 Quasi-isotropic three-dimensional preform and method of making thereof
A quasi-isotropic three-dimensional preform and a method of making thereof are disclosed. The preform includes a plurality of woven elements that are braided with each other. The woven elements have one or more integrally woven stiffeners or walls in a direction perpendicular to the plane of the woven element. The preform may be used in forming a fiber reinforced composite.
US08859081B2 Toothed belt comprising a resistant layer and resistant layer thereof
There is described a toothed belt comprising an elastomeric material body, in which a plurality of longitudinal filiform resistant inserts is embedded, and a toothing coated by a coating fabric. A resistant layer that increases the resistance to abrasion and thus the resistance to wear of the toothed belt is adhered over the coating fabric. The resistant layer comprises a vulcanization agent and more than 75% of an elastomer formed by at least one copolymer modified with an unsaturated carboxylic acid salt and obtained from a dien monomer and a nitrile group-containing monomer. The resistant layer is advantageously separated from the fabric and is calendered on the fabric itself.
US08859080B2 Glass panel with low levels of ghost images
The present invention relates to a laminated glass panel including two sheets of glass connected by an adhesive interlayer, wherein in that in at least one direction, the thickness of the adhesive interlayer is sequentially increasing and decreasing or decreasing and increasing.
US08859076B2 Corner insert for sheet panel assembly
A folded sheet panel assembly includes a gap formed in a corner region which is filled with a corner insert member. A retention clip is attached to the corner insert to retain the corner insert once installed in the gap. The retention clip includes outwardly flaring wing portions which press upon inner surfaces of the sheet panel to fix the installed position of the corner insert. The corner insert includes a locking device which engages a surface of the retention clip to limit or prevent movement of the corner insert relative to the retention clip.
US08859073B1 Graphic floor mat and method of making mat
A floor mat has a cavity accommodating an insert supporting a releasable sheet member. An adhesive releasably attaches the sheet member to the insert to allow the sheet member to be removed from the mat and replaced with another sheet member. The sheet member has visual indicia providing persons with information. Signal transmitter and receiver antennas of an electronic article surveillance system are located within the floor mat.
US08859069B2 Metal sheet for encapsulating organic light-emitting display device and encapsulating method of encapsulation using the same
A metal sheet for encapsulating an organic light-emitting display device, the metal sheet including a main body, first and second adhesive layers respectively formed on both surfaces of the main body, and first and second releasing films that respectively protect the first and second adhesive layers by covering them. A portion of an outer line of the first adhesive layer passes an inner region of the second adhesive layer.
US08859068B2 Adhesive stack with a central shear layer
An adhesive stack comprises a shear layer positioned between two adhesive layers. The shear layer, comprised of a weak adhesive sub-layer positioned between substrate sub-layers, is designed to fail upon application of a deliberate and appropriate shear force and before the failure of the adhesive layers. The adhesive sub-layer defines a width and/or length less than a width and/or length of another layer or sub-layer of the adhesive stack. The adhesive stack aids in securing components within an electronic device to prevent movement of the component when the device is dropped, hit, or bumped. The adhesive stack may occupy minimal space within the device, and reduce the cost of securing the component within the device's interior. The adhesive stack may allow for replacement of the component by an authorized person applying an appropriate and deliberate force. The adhesive stack may aid in identifying components replaced by an unauthorized person.
US08859064B2 Kit for tightly covering an elongate member of predetermined dimensions with a protective elastic sleeve
A kit including a sleeve; a tubular core for stretching the sleeve, tightly covered by the sleeve and adapted to receive internally an elongate member; and a thin and flexible sliding element disposed between the sleeve and the core to enable the core to slide relative to the sleeve. The sliding element makes a half-turn over a first end of the core so as thereafter to be disposed inside the core in order to be able to be pulled from the second end of the core. The sliding element includes a net formed of flexible filaments with at least one layer of filaments connected to each other in a predetermined arrangement. The filaments are adapted to slide on the outside surface of the core and to turn back on themselves around the first end of the core.
US08859061B2 Metallic printing
The present disclosure is drawn to an ink sets, printed articles, and related methods. The ink set can comprise a pre-treatment ink and a reflective ink. The pre-treatment ink can comprise a first liquid vehicle and inorganic pigment particles having an average particle size ranging from 20 nm to 300 nm and a refractive index of less than 2. The reflective ink can comprise a second liquid vehicle and metal oxide particles having an average particle size from 3 nm to 180 nm, wherein the average particle size of the metal oxide particles is smaller than the average particle size of the inorganic pigment particles. The reflective ink is formulated to have a metallic luster when overprinted on pre-treatment ink.
US08859058B2 Microwave plasma reactors and substrates for synthetic diamond manufacture
A microwave plasma reactor for manufacturing synthetic diamond material via chemical vapor deposition, the microwave plasma reactor comprising: a microwave generator configured to generate microwaves at a frequency f; a plasma chamber comprising a base, a top plate, and a side wall extending from said base to said top plate defining a resonance cavity for supporting a microwave resonance mode between the base and the top plate; a microwave coupling configuration for feeding microwaves from the microwave generator into the plasma chamber; a gas flow system for feeding process gases into the plasma chamber and removing them therefrom; a substrate holder disposed in the plasma chamber and comprising a supporting surface for supporting a substrate; and a substrate disposed on the supporting surface, the substrate having a growth surface on which the synthetic diamond material is to be deposited in use, wherein the substrate dimensions and location within the resonance cavity are selected to generate a localized axisymmetric Ez electric field profile across the growth surface in use, the localized axisymmetric Ez electric field profile comprising a substantially flat central portion bound by a ring of higher electric field, the substantially flat central portion extending over at least 60% of an area of the growth surface of the substrate and having an Ez electric field variation of no more than ±10% of a central Ez electric field strength, the ring of higher electric field being disposed around the central portion and having a peak Ez electric field strength in a range 10% to 50% higher than the central Ez electric field strength.
US08859053B2 Waterborne base coat compositions having a light metallic color
A waterborne base coat having a light metallic color and containing 0.5 to 2 wt.-% of poly(meth)acrylic acid thickener and 2 to 7 wt.-% of non-ionically emulsified EVA copolymer wax with a drop point of the wax portion of 80 to 110° C., the wt.-% in each case calculated as solids and relative to the solids content of the waterborne base coat.
US08859052B2 Methods for making environmental barrier coatings and ceramic components having CMAS mitigation capability
Methods of making components having calcium magnesium aluminosilicate (CMAS) mitigation capability include providing a component, applying an environmental barrier coating to the component, where the environmental barrier coating includes a CMAS mitigation composition selected from the group consisting of zinc aluminate spinel, alkaline earth zirconates, alkaline earth hafnates, rare earth gallates, beryl, and combinations thereof.
US08859050B2 Patterning of ultra-low refractive index high surface area nanoparticulate films
A method for forming a nanoporous film pattern on a substrate comprising imparting differential surface energy to a surface of a substrate to define first areas having a first surface energy conducive to maintenance of a nanoporous film thereon and second areas having a second surface energy non-conducive to maintenance of a nanoporous film thereon, said first and second areas defining a differential surface energy pattern on the substrate; depositing a nanoporous film precursor onto the differential surface energy pattern; and curing the nanoporous film precursor to form the nanoporous film pattern.
US08859049B2 Plating method for a radio frequency device and a radio frequency device produced by the method
A plating method for an RF device is disclosed. The method includes (a) pre-treating the RF device made from a substrate material; (b) forming a copper plating layer by applying copper plating to the RF device; and (c) forming a thin-film layer over the copper plating layer, the thin-film layer made of a precious metal, where a thickness of the precious-metal thin-film layer is thinner than a skin depth at a working frequency band. The disclosed method makes it possible to provide a plating treatment with a low cost while providing a superior appearance quality.
US08859041B2 Thermal spraying preprocessing method and a cylinder block of an engine so preprocessed
The adhesiveness of a thermal spray coating and a cylinder internal surface is improved by forming a sufficient roughened surface on an internal surface. A processing roller is installed on the main shaft of a processing device via a pressurizing mechanism. An uneven surface is provided on the external periphery of the processing roller. By pressing the processing roller against the internal surface while the roller rotates and moves, a fine unevenness that corresponds to the unevenness of the roller is formed on the cylinder internal surface. A thermal spray coating is formed on the internal surface where the inner surface has been roughened by forming the above-mentioned unevenness.
US08859036B2 Method of making metal-treated particles of specific surface area for remediation
The invention relates to metal-treated particles, methods for their preparation and methods for using metal-treated particles for, e.g., remediation of process waste-water, sewage, contaminated groundwater aquifers, and soil containing harmful contaminants. Another aspect of the invention relates to a metal-treated particle comprising a ferrosoferric oxide core and a metal supported on the core, where the average diameter or other largest transverse dimension of the core is from about 75 nm to about 990 nm and the amount of metal supported on the core is from about 8% to about 22% by weight, based on the weight of the metal-treated particle.
US08859032B2 Organic el device manufacturing method and apparatus
An organic EL device manufacturing method includes a step of supplying a substrate, and while moving the substrate with a non-electrode-layer side thereof in contact with a surface of a can roller, the non-electrode-layer provided with no electrode layer, discharging a material from a nozzle of a vapor deposition source to form an organic layer on an electrode-layer side of the substrate, the electrode-layer side provided with an electrode layer. The vapor deposition step includes supplying a shadow mask including an opening portion to interpose the shadow mask between the substrate contacting the can roller, and the nozzle; and forming the organic layer corresponding to the opening portion on the electrode-layer side of the substrate while moving the substrate and the shadow mask with through holes included at each of the substrate and the shadow mask engaged with projections included in the can roller.
US08859030B2 Method for producing medical device
A method for firmly fixing a hydrophilic polymer on a polyamide surface involves applying a solution containing a phenolic compound to a base material of which at least a part of the surface is a polyamide, and coating the base material with a hydrophilic polymer after applying the solution.
US08859029B2 Early stimulation of taste and/or flavour acceptance
The invention relates to a kit of parts comprising 2 to 100 containers each containing an infant formula, wherein each infant formula is in a form suitable for administration to an infant and/or toddler or in a form suitable for administration to an infant and/or toddler after admixing with an aqueous liquid, wherein the kit of part comprises at least two different infant formulas which differ from each other in taste and/or flavor by having a different protein fraction or by being a fermented infant formula, wherein each of the different protein fractions is selected from the group consisting of intact milk proteins including cow milk proteins, intact plant proteins including soy protein, hydrolysed proteins, free amino acids, or mixtures of two or more thereof. The invention further relates to the use of such kit of parts for stimulating the acceptance and/or liking of a broad range of fruits, vegetables and/or whole grain cereal products by infants and/or toddlers or later in life. In another aspect, the invention relates to such kit of parts for increasing familiarization with multiple tastes and/or flavors and/or for reducing the risk of food refusal and/or other eating difficulties. In a further aspect the invention relates to such kit of parts for the prevention of obesity, diabetes, atherosclerosis, hypertension, cardiovascular diseases, high blood pressure and cancer at an age of above 36 months.
US08859027B2 Adding an additive to a meat product
Method for treating a large number of meat products, in particular slaughtered poultry or parts thereof, in which an additive is added to the meat products. The meat products are supplied to additive-adding means using a conveyor means, the conveyor means being designed to feed the meat products sequentially in groups or separately. The additive-adding means subject each meat product or each group of meat products to an additive-adding treatment which is adapted to the corresponding meat product or to the corresponding group of meat products.
US08859026B2 Method for reducing methoxypyrazines in grapes and grape products
The present application described a method of reducing methoxypyrazines (MPs) in grapes or grape products comprising: (a) contacting the grape or grape product with a protein that binds to MPs at a pH of about 3 to about 4 to form a protein-MP complex; and (b) removing the protein-MP complex from the grape or grape product. Also described is a method of removing MPs from samples comprising contacting the sample with a polyethersulfone membrane.
US08859021B2 Skin appearance through gene manipulation
The present invention relates to a method for treating, preventing and improving the condition and/or aesthetic appearance of aging skin, particularly, treating, preventing, ameliorating, reducing and/or eliminating fine lines and/or wrinkles of skin, through meroterpene induced gene manipulation.
US08859019B2 Compositions comprising lipophilic extracts of Zingiber officinale and Echinacea angustifolia for the prevention and treatment of gastro-oesophageal reflux and chemotherapy-induced emesis
The present invention relates to compositions consisting of a combination of lipophilic extracts of Zingiber officinale and Echinacea angustifolia, obtained by extraction with carbon dioxide under supercritical conditions, which are useful for the prevention and treatment of oesophageal reflux and chemotherapy-induced emesis.
US08859015B2 Milk yield and/or milk quality improving agent, perinatal disease preventive or therapeutic agent, and reproductivity improving agent for ruminant
An object of the present invention is to improve the milk yield and/or milk quality of a ruminant for milk production, to prevent or treat a perinatal disease of a ruminant, and to improve the reproductivity of a ruminant. In order to solve the above-mentioned problem, the following is provided. An agent for improving at least one of milk yield and milk quality of a ruminant, comprising at least one of cashew nut shell liquid, heat-treated cashew nut shell liquid, anacardic acid, cardanol, and cardol. An agent for preventing or treating a perinatal disease of a ruminant, comprising at least one of cashew nut shell liquid, heat-treated cashew nut shell liquid, anacardic acid, cardanol, and cardol. An agent for improving reproductivity of a ruminant, comprising at least one of cashew nut shell liquid, heat-treated cashew nut shell liquid, anacardic acid, cardanol, and cardol.
US08859014B2 Method of converting fustin to fisetin
The present invention relates to a method for converting fustin into fisetin through the gas bubbling treatment of a solution containing fustin. Rhus verniciflua Stokes extracts having high content of Fisetin may be used as anticancer agents, anticancer and cancer prevention food products, and health functional foods due to the excellent bioactivity thereof.
US08859013B2 Anti-fever botanical composition and uses thereof
According to at least one aspect of the present invention, a botanical anti-fever composition is provided. In at least one embodiment, the botanical composition comprises a therapeutically effective amount of admixture of parts or extracts of at least one plant species from each of genus Baptisia and genus Swertia. In at least one particular embodiment, the botanical composition contains Baptisia Tincoria. In at least another particular embodiment, the botanical composition contains Swertia Chirata.
US08859010B2 Method of producing eggshell powder
The invention relates to producing dried eggshell powder in conditions of high temperatures, preferably in first, second and third heating stages to isolate specific components of the eggshell. These components have nutritional and anti-microbial activity.
US08859008B2 Bone substitute and method for the preparation thereof
The invention relates to a material that can be used as a bone substitute and to a method for the preparation thereof. The material comprises an organic phase (I) comprising striated collagen fibrils constituted of collagen I triple helices, said fibrils being organized over a large distance according to a 3D geometry associating aligned domains and cholesteric domains, and also isotropic domains where they are not organized; and a mineral phase (II) comprising apatite crystals having a hexagonal crystalline structure, space group 6/m, comprising at least calcium ions and phosphate ions; the axis c of said apatite crystals of the mineral phase is coaligned with the longitudinal axis of the striated collagen fibrils of the organic phase and the collagen content in the material is at least 75 mg/cm3. The method for preparing the material comprises preparing an initial acidic aqueous solution of collagen which is a precursor for the organic phase (I), and at least one aqueous solution of precursors for the mineral phase (II) and precipitating the collagen by increasing the pH to a value of at least 7. According to this method: the concentration of collagen in the acidic aqueous solution is at least 75 mg/ml and remains constant during said increase in pH; the mineral phase precursors comprise at least one calcium salt and at least one phosphate salt; the precipitation of the mineral phase (II) is carried out by bringing the mineral phase precursor solution into contact with the organic phase (I), said bringing into contact being carried out before or after the precipitation of said organic phase (I).
US08859004B2 pH-sensitive nanoparticles for oral insulin delivery
The present invention discloses the pH-sensitive nanoparticles composed of pH-sensitive polymer, hydrophobic material, internal stabilizer, external stabilizer content and insulin drug. The present invention also includes a method for preparation of pH-sensitive nanoparticles, in particular, a multiple emulsions solvent evaporation method. The pH-sensitive nanoparticles of the present invention show good pH-sensitive property with 100-300 nanometer particle size. Significant decrease in blood glucose level is observed in streptozotocin (STZ)-induced diabetic rats and the bioavailability of insulin is more than 10% after oral administration of the insulin-loaded pH-sensitive nanoparticles.
US08858990B2 Capsule of thermogenic cells for treating a metabolic disease
Described herein are methods and devices for treating a metabolic disease that involve implanting a micro-device into a tissue of a subject having a metabolic disease. The micro-device includes a plurality of thermogenic cells encapsulated in a biocompatible capsule. The capsule includes a core to accommodate the plurality of thermogenic cells and a porous immunoprotective membrane that allows for metabolic interaction between the plurality of thermogenic cells and the tissue.
US08858984B2 Buccal drug delivery
A lozenge is provided that has stable pH and stable levels of active ingredient over time. It comprises a combination of (i) at least one gum and (ii) at least one non-crystallizing sugar or non-crystallizing sugar alcohol in a matrix designed for controlled buccal delivery of a drug. The lozenge also contains water and optional components selected from flavorings, taste masking agents, colorings, buffer components, pH adjusting agents, excipients, stabilizers and sweeteners. Methods of preparing the lozenge are also provided.
US08858980B2 Synthetic mechanical hemostatic composition, method of making and use thereof
A biocompatible, polymeric composition is disclosed. The composition comprises a base polymer comprising (i) a prepolymer comprising para-dioxanone (PDO) and trimethylene carbonate (TMC); and (ii) an end-graft polymer chain comprising a polylactone. Also disclosed are a method for treating bleeding from bone or bony structures using the composition, a method for filling a void or correct a defect in a bone using the composition, and a method for producing the biocompatible, polymeric composition of the present application.
US08858972B2 Antimicrobial medicotechnical product, process for its preparation and use
The invention relates to a medicotechnical product having an antimicrobial finish of a complex material of metal nanoparticles and macromolecules, the macromolecules being formed at least partially from a polyamino acid.
US08858969B2 Hemostatic compositions, devices, and methods
Compositions that include a clay such as kaolin dispersed in a liquid such as water may be useful for promoting the clotting of blood. The compositions may be in a liquid, gel, paste, foam, or another form. Uses may include treating a traumatic injury such as in injury caused by a bullet, an explosive, a blade etc., or an injury caused during a medical procedure such as surgery.
US08858962B2 Adjuvant or pharmaceutical preparation for transdermal or transmucosal administration
An adjuvant for transdermal or transmucosal administration which comprises at least one substance selected from an aliphatic alcohol, a free fatty acid and a fatty acid derivative but does not contain a substance represented by the following formula: wherein R3 and R4 may together form a cyclic ring, and R1 and R2 independently represent an alkyl side chain having 1 to 16 carbon atoms.
US08858959B2 Gel vaccine delivery system for treating poultry
Safe and effective gel-bead vaccines for treating domesticated birds for diseases caused by cyst-forming protozoa, especially for coccidiosis.
US08858958B2 Adjuvant comprising aluminum, oligonucleotide and polycation
An immunological adjuvant comprises an aluminum salt, an immunostimulatory oligonucleotide and a polycationic polymer, wherein the oligonucleotide and the polymer ideally associate with each other to form a complex. The adjuvant can be included in a composition with an immunogen e.g. to elicit an immune response that protects against a bacterial disease or a fungal disease.
US08858954B2 Pharmaceutical composition for preventing and treating liver fibrosis or nonalcoholic fatty liver disease
The present invention provides a pharmaceutical composition for preventing and treating liver fibrosis or nonalcoholic fatty liver disease, comprising 50 to 90% by weight of Cordyceps sinensis mycelium powder, and 10 to 50% by weight of condensed astragalus powder.
US08858953B2 Herbal composition for treating cancer
An herbal composition and a method for treating cancer, especially for lung cancer, useful for enhancing the quality of life.
US08858949B2 Antibodies that specifically bind to a beta oligomers and use thereof
The present inventors successfully produced monoclonal antibodies that are specific to only soluble A beta oligomers, but do not recognize soluble A beta monomers, which are physiological molecules. It was demonstrated that the antibodies are useful as diagnostic/therapeutic monoclonal antibodies for Alzheimer's disease.
US08858947B2 Hepatitis C antibodies and uses thereof
The present invention provides identification and characterization of conformational epitopes of the envelope protein E2 of the Hepatitis C virus (HCV). The present invention provides a panel of human monoclonal antibodies that recognize conformational epitopes of E2. The antibodies are derived from patients infected with HCV. The present invention provides methods for utilizing HCV antibodies as therapeutic, diagnostic, and/or prophylactic agents. The present invention provides mimotopes with conformational epitopes intact and methods of using mimotopes. The present invention provides methods of stratifying patients based on their response to HCV. The present invention provides pharmaceutical compositions for prevention and treatment of HCV comprising one or more HCV antibodies.
US08858945B2 Three-dimensional structure of complement receptor type 2 and uses thereof
Disclosed is a crystalline human CR2 protein in complex with C3d, and the three dimensional structure of the crystalline complex. Also disclosed are methods of use of the structure, particularly for structure-based identification of compounds that bind to CR2 and inhibit or enhance the binding of CR2 to a natural ligand, that bind to CR2 and agonize or antagonize the receptor, that bind to CR2 and inhibit or enhance CR2 dimerization, or that use the C3-binding ability of CR2 as a drug delivery vehicle. Also disclosed are therapeutic compounds obtained by such methods and uses for such compounds.
US08858941B2 VEGF/DLL4 binding agents and uses thereof
The present invention relates to VEGF-binding agents, DLL4-binding agents, VEGF/DLL4 bispecific binding agents, and methods of using the agents for treating diseases such as cancer. The present invention provides antibodies that specifically bind human VEGF, antibodies that specifically bind human DLL4, and bispecific antibodies that specifically bind human VEGF and/or human DLL4. The present invention further provides methods of using the agents to inhibit tumor growth. Also described are methods of treating cancer comprising administering a therapeutically effect amount of an agent or antibody of the present invention to a patient having a tumor or cancer.
US08858939B2 Ectopic pregnancy treatment
The invention pertains to methods for treating ectopic pregnancy. More particularly, the present invention relates to methods for treating unruptured ectopic pregnancy using a non-surgical method comprising the administration of an EGFR inhibitor alone or in combination with an anti-metabolite e.g. methotrexate (MTX). The methodology is potentially applicable to treatment of unruptured ectopic pregnancies of all sizes.
US08858938B2 Human monoclonal antibodies against Hendra and Nipah viruses
The present invention relates to monoclonal antibodies that bind or neutralize Hendra or Nipah virus. The invention provides such antibodies, fragments of such antibodies retaining Hendra or Nipah virus-binding ability, fully human antibodies retaining Hendra or Nipah virus-binding ability, and pharmaceutical compositions including such antibodies. The invention further provides for isolated nucleic acids encoding the antibodies of the invention and host cells transformed therewith. Additionally, the invention provides for prophylactic, therapeutic, and diagnostic methods employing the antibodies and nucleic acids of the invention.
US08858934B2 Method for producing cells having characteristics of hepatocytes
The present invention relates to a method of producing cells having at least one characteristic of human hepatocytes as well as to cells produced by said method and uses of these cells.
US08858933B2 Nematicidal composition comprising bacillus subtilis and bacillus licheniformis
The present invention refers to a composition comprising Bacillus subtilis (DSM 17231) and Bacillus licheniformis (DSM 17236) with nematicidal effect against phytonematodes on plants and/or its habitat, to its use and process for its preparation, use of Bacillus subtilis (DSM 17231) and Bacillus licheniformis (DSM 17236), processes for controlling, combating and conferring specific resistance to phytonematodes, and a kit.
US08858932B2 Repair and/or reconstitution of invertebral discs
This invention relates to a method for repair and reconstitution of invertebral discs in a subject which involves administration of STRO-1+ multipotent cells. The method of the invention is useful in the treatment of spinal conditions characterized by degeneration of the invertebral disc.
US08858930B2 Live attenuated bacterial vaccine to reduce or inhibit carriage and shedding of enterohemorrhagic Escherichia coli in cattle
The invention provides live, attenuated enterohemorrhagic Escherichia coli (EHEC) bacteria in which the Shiga toxin coding sequences are deleted to abolish Shiga toxin production and one or more of the nucleotide sequences for the bacterial adhesin protein intimin, the locus of enterocyte effacement encoded regulator, and the translocated intimin receptor are mutated to inactivate the activity of the encoded protein(s). This live, attenuated E. coli bacteria is used in a vaccine for reducing or inhibiting carriage and shedding of EHEC in cattle.
US08858922B2 Broad spectrum UVA stable, non-toxic, zinc oxide based complex
Disclosed is a new composition of matter that is a compound which also is presented as a dispersion with broad UVA and UVB spectral absorption stability, heat absorption, and insulation properties, anti-microbial, water resistance, and high SPF properties. The composition of matter is a zinc oxide complex that is provided with distilled water buffered to a pH of greater than 10 using ammonium hydroxide, L-arginine, or other buffering agents, and zinc oxide particles. The zinc oxide complex may be dried and rinsed to increase stability. An emollient, such as glycerine, and/or an emulsifier such as a combination of cetearyl alcohol and cetearyl glucoside and/or sodium stearoyl glutamate and/or cetearyl glucoside may also be added to the zinc oxide complex. The zinc oxide complex is made from natural-based, earth-derived, Ecocert® certified ingredients is non-toxic, and may be used or combined with any compound in which UVA/UVB stability is desired. The applications for the zinc oxide complex are numerous and include; roofing materials, composite building materials, automobile and aircraft parts, textiles, wire and cable insulation, use in conductor and semi-conductor technologies, as well as for sunscreens and cosmetics.
US08858920B2 Anti-caries oral care composition with xylitol
An oral care composition comprises xylitol and a water-soluble calcium salt for caries prevention. Methods of treating and preventing dental caries are also provided.
US08858910B2 Device for and method of storage and generation of hydrogen for autonomous current sources based on fuel cells
A device for generating hydrogen for power system based on hydrolysis aluminum assisted water split has a housing, a unit for containing aluminum in the housing, a unit for periodically bringing the aluminum and the electrolyte in contact for production of hydrogen, and a unit for the withdrawing the hydrogen to a power source.
US08858902B2 Selective removal of sulfide from aqueous alkali solutions
A method for removing sulfide from an aqueous alkali solution in which hydrogen peroxide is introduced into a sulfide-containing aqueous alkali solution associated with an alkali mineral recovery operation. The method is particularly useful for the processing of sulfide-containing aqueous alkali solutions containing NaHCO3 and Na2CO3, where bicarbonate in the sulfide-depleted alkali solution is decomposed to form Na2CO3, with concurrent evolution of gaseous carbon dioxide byproduct but without formation of gaseous H2S as a pollutant, and where Na2CO3 values are subsequently recovered from the sulfide-depleted carbonate-rich alkali solution via a crystallization operation.
US08858898B2 Pipette tip with improved seal
The present invention provides a pipette tip member with improved seal.
US08858895B2 Continuous on-line adjustable disinfectant/sanitizer/bleach generator
Methods and systems for on-site, continuous generation of peracid chemistry, namely peroxycarboxylic acids and peroxycarboxylic acid forming compositions, are disclosed. In particular, an adjustable biocide formulator or generator system is designed for on-site generation of peroxycarboxylic acids and peroxycarboxylic acid forming compositions from sugar esters. Methods of using the in situ generated peroxycarboxylic acids and peroxycarboxylic acid forming compositions are also disclosed.
US08858892B2 Liquid treatment system
A liquid treatment system has a housing defining an interior space. The housing has an inlet port for receiving liquid into the interior space of the housing and an outlet port for allowing liquid to exit the interior space of the housing. The outlet port is spaced from the inlet port so that liquid flows through the interior space of the housing from the inlet port to the outlet port. An ultrasonic waveguide assembly is disposed within the interior space of the housing and includes an ultrasonic horn disposed at least in part intermediate the inlet port and the outlet port of the housing. The ultrasonic horn is operable at an ultrasonic frequency to ultrasonically energize liquid flowing within the housing. An ultraviolet light source emits ultraviolet light into the interior space of the housing to treat liquid flowing therein.
US08858890B2 Flue gas purification system
The aim of the invention is to devise a flue gas purification system which allows the use of only one absorber even for large systems. The flue gas purification system according to the invention comprises a fluidized-bed reactor having a flue gas inlet unit and a flue gas outlet unit, the flue gas outlet unit having at least two flue gas outlets which are arranged at an angle to each other.
US08858888B2 Radiation microdosimeters correlated with biological cells and cell components
One feature pertains to a radiation dosimeter comprising a microdosimeter cell array that includes a first microdosimeter cell having a first semiconductor volume configured to generate a first current in response to incident radiation. The first semiconductor volume may have at least one of a first size, a first shape, a first semiconductor type, and/or a first semiconductor doping type and concentration that is associated with a first biological cell type or a first biological cell component type. The dosimeter may further comprise a processing circuit communicatively coupled to the microdosimeter cell array and configured to generate a signal based on the first current. The signal generated may be indicative of an amount of radiation absorbed by the microdosimeter cell array. A display may be utilized by the dosimeter to show a radiation level reading based on the signal generated.
US08858878B2 Water system for a vehicle and method for reducing germs in a water system
A water system for a vehicle is provided. The water system comprises at least one water supply source, at least one water-conducting device, at least one closing valve and at least one germ reduction connection. The germ reduction connection and the water supply source are connected to the water-conducting device. The germ reduction connection is designed to introduce a germ-reducing fluid into the water-conducting device. The closing valve is arranged between the water supply source and the germ reduction connection and is designed to be fully closed thus preventing the ingress of germ-reducing fluid into the water supply source. By means of such a water system it is possible to carry out sterilization or disinfection very easily and quickly without this requiring any major maintenance work.
US08858877B2 Sputtering target material
This invention provides sputtering target materials having high reflectance and excellent heat resistance, which are formed of Ag base alloys formed by adding a specific, minor amount of P to Ag and alloying them.
US08858871B2 Process for the production of a thermally stable polycrystalline diamond compact
In a process, a thermally stable diamond table body and a substrate are stacked on each other at an interface which includes a layer of a imbibiting material interposed between a bottom surface of the body and an upper surface of the substrate. The stack is subjected to a suitable thermal cycle, constituted by heating, temperature maintenance and cooling, which brings at least some of the imbibiting material into the liquid state for migration into the thermally stable diamond table body and substrate at and about the interface so as to join the thermally stable diamond table body to the substrate. The substrate may be produced as a block of dense material constituted by hard particles dispersed in a binder phase, wherein the dense material has been enriched locally with binder phase by imbibition. Alternatively, imbibition material from the enriched substrate may be caused by the thermal cycle to migrate from the substrate into the diamond table body so as to join the thermally stable diamond table body to the substrate.
US08858867B2 Ladle metallurgy furnace having improved roof
The present invention relates generally to a ladle metallurgy furnace having an improved roof structure. The improved roof may comprise an internal surface structure having a substantially smooth exterior surface, an external surface structure spaced apart from the internal surface structure, a plurality of channels that are defined intermediate the internal and external surface structures, a supply port in fluid communication with at least one channel through the second surface structure and in further fluid communication with a supply line, and a return port in fluid communication with at least one channel through the external surface structure and in further fluid communication with a return line.
US08858864B2 Nozzle member and process for producing the same
The nozzle member of a fluid nozzle includes at least one through hole that is parallel to a center axis, wherein a diameter dimension of the through hole is 10 μm to 100 μm, a length-to-diameter ratio (L/D) of the through hole is 5 or above, and the nozzle member is formed of ceramics having relative density of 95% or above. The nozzle member including a plurality of minute through holes is manufactured by performing extrusion or cast molding in such a way that a molded body includes a filament of synthetic resin, carbon, or metal in a direction of the center axis of the molded body, and then defatting/sintering the molded body after removing the filament or, when the filament is formed of synthetic resin or carbon, defatting/sintering the molded body under oxidizing atmosphere to evaporate and remove the filament without removing the filament from the molded body.
US08858860B2 Biodegradable retarder for cementing applications
Cement compositions comprising carboxylated inulin and methods of using the same to cement a workspace. In one embodiment, a method of cementing includes providing a cement composition comprising a carboxylated inulin, for example, in a subterranean formation. The method further includes allowing the cement composition to set. In some embodiments, the cement composition has a thickening time of from about 2 hours to about 11 hours. In other embodiments, the cement composition has a viscosity that is about constant for a period of time after the cement composition is placed.
US08858857B2 Process for the rapid fabrication of composite gas cylinders and related shapes
A method of fabricating a composite vessel encompassing rapid manufacturing that is applicable to composite hydrogen and other gas storage tanks, both for high-pressure cylinders, as well as low-pressure conformal tanks. The process of fabrication includes using a liner, of metal or plastic materials, over which a braided or developed preform is wrapped. The dry fiber wrapped liner is placed in a mold and resin injected into the cavity formed between the liner and the mold outer walls. The liner is flooded with heated and/or cooled pressurized fluid thus enabling complete and independent process control from within the liner for both the resin injection and the cure phases. Fiber placement control is determined through combined biaxial and triaxial braid/preform design, and by wrapping at controlled tension onto the supporting liner. Fiber tension control is further enhanced by the methodology of mold loading whereby tensioning forces are enacted during actual load and close. The process may use thermoset or thermoplastic resins and any of a variety of fibrous reinforcements such as carbon, boron, glass, natural, aramid or other fibers.
US08858856B2 Method for building and using three-dimensional objects containing embedded identification-tag inserts
A method for building a three-dimensional object containing an identification-tag insert, the method comprising performing a build operation to form layers of the three-dimensional object using a layer-based additive technique, placing the identification-tag insert on at least a portion of the layers during the build operation, and reading information from the identification-tag insert.
US08858849B2 Methods and pressure vessels for solid-state microcellular processing of thermoplastic rolls or sheets
Disclosed herein are methods and pressure vessels for solid-state microcellular processing of thermoplastic rolls and sheets. In one embodiment, the present invention is directed to a method for making a gas impregnated interleaved roll, which method comprises: providing a pressure vessel having an internal pressure chamber and a rotatable shaft horizontally positioned within the pressure chamber; placing an interleaved roll about the rotatable shaft and within the pressure chamber, wherein the interleaved roll is made from a thermoplastic material sheet interleaved together with a gas-channeling material sheet; pressurizing the pressure chamber to a selected pressure; rotating the rotatable shaft having the interleaved roll thereabouts (thereby rotating the interleaved roll) while under pressure for a selected period of time; and depressurizing the internal chamber to yield the gas impregnated interleaved roll. In other embodiments, the invention is directed to multi-chambered pressure vessels for gas impregnation of thermoplastic rolls, sheets, and films.
US08858848B2 Foaming agent to improve EMI shielding
A conductive plastic article (31) is disclosed suited for a housing that offers improved shielding against electromagnetic interference or that offers improved electrostatic discharge properties. The plastic article is made by means of low pressure injection molding. The article (31) comprises at least 0.25 volume percent of electrically conductive additives (38). The article (31) comprises a cellular structure. The cellular structure is created by the use of a blowing or foaming agent. At least 0.25 weight percent of blowing or foaming agent is used in the production of the conductive plastic article (31).
US08858847B2 Method for producing carbonaceous film, and graphite film obtained by the same
In a method for producing a carbonaceous film in which a polymer film is wrapped around a core and is subjected to a heat treatment, material film surfaces during the carbonization step are prevented from fusion, whereby a long carbonaceous having a large area film is obtained.Fusion can be prevented by subjecting a polymer film to a heat treatment under a reduced pressure, and under a reduced pressure while allowing an inert gas to flow. The range of the pressure reduction is preferably −0.08 MPa to −0.01 kPa. It is preferred to carry out carbonization with the pressure reduced in the range of from −0.08 MPa to −0.01 kPa while allowing an inert gas to flow. In addition, the polymer film wrapped around the core is placed in an outer casing, and provided that a value derived by dividing (internal diameter of the outer casing−diameter of the core) by 2 is designated as “a” (mm), and a thickness of wrapping of the polymer film is designated as “b” (mm), a value (b/a) derived by dividing the “b” by the “a” is set to fall within the range of from 0.2 to 0.9.
US08858846B2 Titanium carbide as a friction and wear modifier in friction materials
Methods of making a carbon-carbon composite preforms, particularly suitable as brake discs in aircraft landing systems, by combining titanium carbide particles ranging in size from 0.01 to 10 microns in diameter, resinous binder, and carbon fibers or carbon fiber precursors in a mold, and subsequently subjecting the combined components to pressure and heat to carbonize the resinous binder by methods, thereby providing the carbon-carbon composite preform having particulate titanium carbide uniformly distributed throughout its mass. Prior to combining the titanium carbide and the binder with the fibers in this process, the particulate titanium carbide may be mixed with liquid binder, the resulting TiC/binder mixture may then solidified, and the resulting solid TiC/binder mixture may be ground into a fine powder for use in the process. Also, compositions for preparing a carbon-carbon composite friction materials, and methods of improving wear and dynamic stability in a carbon-carbon composite brake discs.
US08858844B2 In—Ga—Zn—O type sputtering target
A sputtering target including an oxide sintered body which includes In, Ga and Zn and includes a structure having a larger In content than that in surrounding structures and a structure having larger Ga and Zn contents than those in surrounding structures.
US08858843B2 High fidelity doping paste and methods thereof
A high-fidelity dopant paste is disclosed. The high-fidelity dopant paste includes a solvent, a set of non-glass matrix particles dispersed into the solvent, and a dopant.
US08858839B2 Thermoplastic poly(arylene ether)/polyamide blends and method of making
A thermoplastic composition comprises: a compatibilized blend of a poly(arylene ether), an aliphatic-aromatic polyamide and a polymeric compatibilizer; and an impact modifier; wherein the aliphatic-aromatic polyamide is composed of units derived from a dicarboxylic acid and units derived from a diamine and the units derived from a dicarboxylic acid comprise 60 to 100 mol % of units derived from terephthalic acid and the units derived from a diamine comprise 60 to 100 mol % of units derived from 1,9-nonanediamine, 2-methyl-1,8-octanediamine, or a combination of 1,9-nonanediamine and 2-methyl-1,8-octanediamine.