Document Document Title
US08410574B2 Integrated microelectronic device with through-vias
An integrated microelectronic device is formed from a substrate having a first side and a second side and including a doped active zone (2) in the first side of the substrate. A circuit component is situated in the doped active zone. A through silicon via extends between the second side and the first side, the via being electrically isolated from the substrate by an insulating layer. A buffer zone is situated between the insulating layer and the doped active zone. This buffer zone is positioned under a shallow trench isolation zone provided around the doped active zone. The buffer zone functions to reduce the electrical coupling between the through silicon via and the doped active zone.
US08410573B2 SOI (silicon on insulator) structure semiconductor device and method of manufacturing the same
In a SOI structure semiconductor device using a SOI substrate, a lattice distortion layer is formed by implanting Ar ions into a silicon substrate as an active layer. The lattice distortion layer is capable of serving as a gettering site. The dose amount of Ar ions is adjusted in such a manner that tensile stress in the lattice distortion layer is equal to or greater than 11 MPa and equal to or less than 27 MPa. Thus, the lattice distortion layer can prevent occurrence of a leakage current while serving as the gettering site.
US08410571B2 Layout of dummy patterns
A layout of dummy patterns on a wafer having a plurality of pads disposed thereon is described. The layout of the dummy patterns includes having a plurality of dummy patterns spaced apart from each other and enclosing the plurality of the pads. The plurality of dummy patterns also include a plurality of peripheral dummy patterns and a plurality of central dummy patterns, wherein a minimum distance between the plurality of the central dummy patterns and the plurality of the pads is greater a minimum distance between the plurality of the peripheral dumpy patterns and the plurality of the pads.
US08410569B2 Solid-state imaging device and method for producing the same
A solid-state imaging device includes a first substrate including a light-sensing portion configured to perform photoelectric conversion of incident light and a wiring portion provided on a light-incident side; an optically transparent second substrate provided on a wiring portion side of the first substrate at a certain distance; a through-hole provided in the first substrate; a through-via provided in the through-hole; a front-surface-side electrode connected to the through-via and provided on a front surface of the first substrate; a back-surface-side electrode connected to the through-via and provided on a back surface of the first substrate; and a stopper electrode provided on the front-surface-side electrode and filling a space between the front-surface-side electrode and the second substrate.
US08410567B2 Solid image-pickup device with flexible circuit substrate
An improved solid image-pickup device is so formed that its semiconductor device has on its surface an image-pickup area having a plurality of light sensors arranged thereon. A plurality of bonding pads are formed on the surface of the semiconductor device and arranged around the image-pickup area. A plurality of through holes are formed through the semiconductor substrate, extending from the lower surfaces of the bonding pads to the back surface of the semiconductor substrate and each of the through holes is filled with an electrically conductive material, thereby effecting an electric connection between the bonding pads and the copper wire of the flexible circuit substrate bonded to the back surface of the semiconductor substrate.
US08410562B2 Methods, apparatuses, and systems for micromechanical gas chemical sensing capacitor
A capacitive chemical sensor, along with methods of making and using the sensor are provided. The sensors described herein eliminate undesirable capacitance by etching away the substrate underneath the capacitive chemical sensor, eliminating most of the substrate capacitance and making changes in the chemical-sensitive layer capacitance easier to detect.
US08410561B2 Electronic device and method for manufacturing thereof
An electronic device, including a substrate, a functional structure constituting a functional element formed on the substrate, and a cover structure forming a cavity portion in which the functional structure is disposed, is disclosed. In the electronic device, the cover structure includes a laminated structure of an interlayer insulating film and a wiring layer, the laminated structure being formed on the substrate in such a way that it surrounds the cavity portion, and the cover structure has an upside cover portion covering the cavity portion from above, the upside cover portion being formed with part of the wiring layer that is disposed above the functional structure.
US08410559B2 Selectively self-assembling oxygen diffusion barrier
A shallow trench isolation structure is formed in a semiconductor substrate adjacent to an active semiconductor region. A selective self-assembling oxygen barrier layer is formed on the surface of the shallow trench isolation structure that includes a dielectric oxide material. The formation of the selective self-assembling oxygen barrier layer is selective in that it is not formed on the surface the active semiconductor region having a semiconductor surface. The selective self-assembling oxygen barrier layer is a self-assembled monomer layer of a chemical which is a derivative of alkylsilanes including at least one alkylene moiety. The silicon containing portion of the chemical forms polysiloxane, which is bonded to surface silanol groups via Si—O—Si bonds. The monolayer of the chemical is the selective self-assembling oxygen barrier layer that prevents diffusion of oxygen to a high dielectric constant material layer that is subsequently deposited as a gate dielectric.
US08410558B2 Semiconductor device with field plates
A semiconductor device includes source fingers and drain fingers provided on an active region of a nitride semiconductor layer alternately, gate fingers having a side edge and a distal edge, a first insulation film provided on the nitride semiconductor layer and covers a top face, the side and distal edges of the gate fingers, field plates provided on the first insulation film between the gate fingers and the drain fingers, a minimum distance between the side face of the first insulation film located on the side edge of the gate fingers and the field plate being at least 100 nm, and field plate interconnections provided on the first insulation film and located outside of the active region and electrically connected with the source fingers and the field plates, a minimum distance between the side face of the first insulation film located on the distal edge of the gate fingers and the field plate interconnections being at least 100 nm.
US08410550B2 Breakdown voltage MOS semiconductor device
A semiconductor device has: a low concentration drain region creeping under a gate electrode of a MIS type transistor; a high concentration drain region having an impurity concentration higher than the low concentration drain region and formed in the low concentration drain region spaced apart from the gate electrode; and an opposite conductivity type region of a conductivity type opposite to the drain region formed in the low concentration drain region on a surface area between the high concentration drain region and the gate electrode, the opposite conductivity type region and low concentration drain region forming a pn junction.
US08410547B2 Semiconductor device and method for fabricating the same
A semiconductor device includes a substrate having a recess in an area where a gate is to be formed, spacers formed over sidewalls of the recess, and a first gate electrode filling in the recess. The spacers include material having the first work function or insulation material. The first gate electrode includes material having a second work function, wherein the second work function is higher than that of the spacers.
US08410544B2 finFETs and methods of making same
A method of fabricating and a structure of a merged multi-fin finFET. The method includes forming single-crystal silicon fins from the silicon layer of an SOI substrate having a very thin buried oxide layer and merging the end regions of the fins by growing vertical epitaxial silicon from the substrate and horizontal epitaxial silicon from ends of the fins such that vertical epitaxial silicon growth predominates.
US08410539B2 MOS transistor with a settable threshold
A MOS transistor comprising a conductive extension of its source region, insulated from its substrate, and partially extending under its channel.
US08410538B2 Semiconductor memory device and method for manufacturing same
According to one embodiment, a semiconductor memory device includes a substrate, a stacked body, a memory film, and a SiGe film. The stacked body includes a plurality of conductive layers and a plurality of insulating layers alternately stacked above the substrate. The memory film includes a charge storage film. The memory film is provided on a sidewall of a memory hole punched through the stacked body. The SiGe film is provided inside the memory film in the memory hole.
US08410537B2 Nonvolatile semiconductor memory device and method of manufacturing the same
The invention enhances program performance by increasing a coupling ratio between an N+ type source layer and a floating gate and reduces a memory cell area. Trenches are formed on the both sides of an N+ type source layer. The sidewalls of the trench includes first and second trench sidewalls that are parallel to end surfaces of two element isolation layers, a third trench sidewall that is perpendicular to the STIs, and a fourth trench sidewall that is not parallel to the third trench sidewall. The N+ type source layer is formed so as to extend from the bottom surface of the trench to the fourth trench sidewall, largely overlapping a floating gate, by performing ion-implantation of arsenic ion or the like in a parallel direction to the third trench sidewall and in a perpendicular direction or at an angle to a P type well layer from above the trench having this structure.
US08410534B2 Integrated circuit structures with silicon germanium film incorporated as local interconnect and/or contact
Disclosed are integrated circuit structures each having a silicon germanium film incorporated as a local interconnect and/or an electrical contact. These integrated circuit structures provide improved local interconnects between devices and/or increased capacitance to devices without significantly increasing structure surface area or power requirements. Specifically, disclosed are integrated circuit structures that incorporate a silicon germanium film as one or more of the following features: as a local interconnect between devices; as an electrical contact to a device (e.g., a deep trench capacitor, a source/drain region of a transistor, etc.); as both an electrical contact to a deep trench capacitor and a local interconnect between the deep trench capacitor and another device; and as both an electrical contact to a deep trench capacitor and as a local interconnect between the deep trench capacitor and other devices.
US08410532B2 Solid-state imaging device including a multilayer wiring layer, color filters, lenses, and waveguide groove and manufacturing method for the same
The present invention provides a solid-state imaging device comprising: a semiconductor substrate having a pixel region and a peripheral circuit region; a multilayer wiring layer including layers of wiring and an interlayer film interposed therebetween, and disposed above the semiconductor substrate to cover the pixel region and the peripheral circuit region except areas above the photoelectric conversion elements; a waveguide member filling the areas above the photoelectric conversion elements (waveguides) and covering the multilayer wiring layer at least within the pixel region; and an optical structure (composed of a color filter material and a lens material) disposed above the waveguide member within the pixel region, wherein a groove is formed by removing a portion of the waveguide member from an area within the pixel region that is in a border between the pixel region and the peripheral circuit region.
US08410528B2 CMOS image sensor
Disclosed is a CMOS image sensor, which can minimize a reflectance of light at an interface between a photodiode and an insulating film, thereby enhancing image sensitivity. Such a CMOS image sensor includes a substrate provided with a photodiode consisting of Si, an insulating film consisting of SiO2 and formed on the substrate, a semi-reflection film interposed between the substrate and the insulating film, and metal interconnections, color filters and micro lenses constituting individual unit pixels. The semi-reflection film has a refraction index value between those of the Si photodiode and the SiO2 insulating film.
US08410525B2 Compound semiconductor substrate and device therewith
A semiconductor device is formed on a semiconductor substrate, which is comprised of: a base substrate; and a multilayer being formed on the base substrate and having a surface serving for an interface with the semiconductor device, the multilayer including alternating layers of a first compound semiconductor and a second compound semiconductor materially distinguishable from the first compound semiconductor, one selected from the group consisting of the first compound semiconductor and the second compound semiconductor being doped with one selected from the group consisting of carbon and transition elements.
US08410521B2 Semiconductor light emitting device
Provided is a semiconductor light emitting device. The semiconductor light emitting device comprises a substrate, a first semiconductor layer on substrate, an air-gap part disposed in at least portion between the substrate and the first semiconductor layer, and a plurality of compound semiconductor layers comprising a first conductive type semiconductor layer, an active layer, and a second conductive type semiconductor layer on the first semiconductor layer.
US08410519B2 Integrated circuits with aligned (100) NMOS and (110) PMOS FinFET sidewall channels
An integrated circuit device that includes a plurality of multiple gate FinFETs (MuGFETs) is disclosed. Fins of different crystal orientations for PMOS and NMOS MuGFETs are formed through amorphization and crystal regrowth on a direct silicon bonded (DSB) hybrid orientation technology (HOT) substrate. PMOS MuGFET fins are formed with channels defined by fin sidewall surfaces having (110) crystal orientations. NMOS MuGFET fins are formed with channels defined by fin sidewall surfaces having (100) crystal orientations in a Manhattan layout with the sidewall channels of the different PMOS and NMOS MuGFETs aligned at 0° or 90° rotations.
US08410518B2 Organic light emitting diode display and method for manufacturing the same
In one aspect, an organic light emitting diode (OLED) display that includes: a substrate; an organic light emitting element on the substrate; a thin film encapsulation layer on the substrate and covering the organic light emitting element; a polymer carpet layer directly on the thin film encapsulation layer; and a cover film directly on the polymer carpet layer is provided.
US08410517B2 Light emitting diode coating method
Provided is a light emitting diode (hereinafter, referred to as an LED) coating method, and more particularly, an LED coating method that can be used to coat a phosphor, a molding, etc., on an LED.The LED coating method includes (a) preparing a substrate and a plurality of LEDs arranged on the substrate; (b) applying a photoresist onto the substrate and the plurality of LEDs; and (c) selectively exposing the photoresist to light to form a first coating on surfaces of the plurality of LEDs. Here, the first coating is formed by curing the photoresist.
US08410516B2 Light emitting diode package having interconnection structures
A light emitting diode (LED) package includes a substrate, a first LED chip and a second LED chip. The substrate includes first to fourth electrodes, and an interconnection electrode. A mounting area is defined at center of a top surface of the substrate. The first to fourth electrodes are respectively in four corners of the substrate out of the mounting area. The first interconnection electrode is embedded in the substrate to electrically connect the first and the third electrodes. The first LED chip and the second LED chip are arranged in the mounting area. Each LED chip includes an anode pad and a cathode pad. The first to fourth electrodes are respectively connected to the four pads of the first and the second LED chips via a plurality of metal wires, and no metal wire connection is formed between the first and the second LED chips.
US08410515B2 Solid state lighting devices with point contacts and associated methods of manufacturing
Solid state lighting (“SSL”) devices with improved contacts and associated methods of manufacturing are disclosed herein. In one embodiment, an SSL device includes a first semiconductor material, a second semiconductor material spaced apart from the first semiconductor material, and an active region between the first and second semiconductor materials. The SSL device also includes an insulative material on the first semiconductor material, the insulative material including a plurality of openings having a size of about 1 nm to about 20 μm, and a conductive material having discrete portions in the individual openings.
US08410512B2 Solid state light emitting apparatus with thermal management structures and methods of manufacturing
Provided are apparatus and methods corresponding to a solid state light emitting element. Such methods include mounting, to a thermally conductive component, a solid state light emitting element that includes first and second electrical connection points that are configured to be conductively engaged on a first side of a circuit structure. The solid state light emitting element is electrically insulated from the thermally conductive component to provide that electrical connections are arranged on the first side of the circuit structure and heat is conducted to a second side of the circuit structure that is opposite the first side of the circuit structure.
US08410507B2 Thermal light source having a high color rendering quality
A luminous means (1) including at least one optoelectronic semiconductor device (2) which emits electromagnetic radiation during operation at at least one first wavelength (L1) and at at least one second wavelength (L2), wherein the first wavelength (L1) and the second wavelength (L2) differ from one another and are below 500 nm, in particular between 200 nm and 500 nm. Furthermore, the luminous means (1) includes at least one conversion means (3) which converts the first wavelength (L1) at least partly into radiation having a different frequency. The radiation spectrum emitted by the luminous means (1) during operation is metameric with respect to a black body spectrum. Such a luminous means makes it possible to choose the first wavelength and the second wavelength in such a way that a high color rendering quality and a high efficiency of the luminous means can be realized simultaneously.
US08410505B2 Light-emitting diode having a reflective layer between a transparent insulating layer and an electrode
A light-emitting diode (LED) structure and a method for manufacturing the same. The LED structure includes a substrate, an illuminant epitaxial structure, first conductivity type and second conductivity type contact layers, a transparent insulating layer, first and second reflective layers, first and second barrier layers, and first conductivity type and second conductivity type electrodes.
US08410502B2 Light-emitting device, planar light source including the light-emitting device, and liquid crystal display device including the planar light source
A light-emitting device according to an embodiment of the present invention includes a light-emitting element, and a package substrate on which this light-emitting element is placed. This package substrate includes a placement face on which the light-emitting element is placed, a back face that is opposed to the placement face, and a mounting face that is opposed, between the placement face and the back face, to a mounting substrate when the light-emitting device is mounted, and includes a first recess portion that extends, on the mounting face, from the back face toward the placement face and that has a first heat conduction member formed on the surface thereof, and an intermediate heat conduction member for conducting heat between the light-emitting element and the first heat conduction member.
US08410500B2 Light-emitting apparatus with shaped wavelength converter
Proposed is a light-emitting apparatus 200,300,400, comprising a semiconductor light emitting device 220,320,420 and a transparent ceramic body 230,330,430 comprising a wavelength converting material positioned in light receiving relationship to the semiconductor device. The light-emitting apparatus is characterized in that the side surfaces 233,333,433 of the ceramic body 230,330,430 are at an oblique angle 234,334,434 relative its bottom surface 231,331,431. This is especially advantageous to unlock the wave-guide modes inside the body 230,330,430. Consequently the total flux emitted from the light-emitting apparatus 200,300,400 can be enhanced considerably. Alternatively, the brightness of the top surface 232,332,432 of the ceramic body 230,330,430 can be enhanced considerably.
US08410499B2 LED with a current confinement structure aligned with a contact
An LED having a p-type layer of material with an associated p-contact, an n-type layer of material with an associated n-contact and an active region between the p-type layer and the n-type layer, includes a confinement structure that is formed within one of the p-type layer of material and the n-type layer of material. The confinement structure is generally aligned with the contact on the top and primary emission surface of the LED and substantially prevents the emission of light from the area of the active region that is coincident with the area of the confinement structure and the top-surface contact. The LED may include a roughened emitting-side surface to further enhance light extraction.
US08410495B2 Array-type light-emitting device and apparatus thereof
The application discloses an array-type light-emitting device comprising a substrate, a semiconductor light-emitting array formed on the substrate and emitting a first light with a first spectrum, wherein the semiconductor light-emitting array comprises a first light-emitting unit and a second light-emitting units, a first wavelength conversion layer formed on the first light-emitting unit for converting the first light into a third light with a third spectrum, and a circuit layer connecting the first light-emitting unit and the second light-emitting unit in a connection form to make the first light-emitting and the second light-emitting unit light alternately in accordance with a predetermined clock when driving by a power supply.
US08410494B2 Light emitting diode package and lamp with the same
An LED package comprises a substrate, a constant current die, an LED die and an encapsulation body. The substrate has a plurality of internal conductive contacts and a plurality of external conductive contacts. The constant current die is electrically connected to the internal conductive contact, and comprises a constant current circuit and a protection circuit in parallel, wherein the constant current circuit allows a first current to flow through and the protection circuit allows a second current, in an opposite direction to the first current, to flow through. The LED die is electrically connected to the internal conductive contact. The encapsulation body encapsulates the constant current die, the LED die and the internal conductive contacts of the substrate. Having a small volume, the LED package can be applied to a compact lamp and prevents the LED from being damaged. An LED lamp comprising the LED package is also disclosed.
US08410491B2 Semiconductor light emitting device substrate strips and packaged semiconductor light emitting devices
Semiconductor light emitting device packaging methods include fabricating a substrate configured to mount a semiconductor light emitting device thereon. The substrate may include a cavity configured to mount the semiconductor light emitting device therein. The semiconductor light emitting device is mounted on the substrate and electrically connected to a contact portion of the substrate. The substrate is liquid injection molded to form an optical element bonded to the substrate over the semiconductor light emitting device. Liquid injection molding may be preceded by applying a soft resin on the electrically connected semiconductor light emitting device in the cavity. Semiconductor light emitting device substrate strips are also provided.
US08410489B2 Semiconductor element, semiconductor device, and power converter
A semiconductor element 100 including an MISFET according to the present invention is characterized by having diode characteristics in a reverse direction through an epitaxial channel layer 50. The semiconductor element 100 includes a silicon carbide semiconductor substrate 10 of a first conductivity type, a semiconductor layer 20 of the first conductivity type, a body region 30 of a second conductivity type, a source region 40 of the first conductivity type, an epitaxial channel layer 50 in contact with the body region, a source electrode 45, a gate insulating film 60, a gate electrode 65 and a drain electrode 70. If the voltage applied to the gate electrode of the MISFET is smaller than a threshold voltage, the semiconductor element 100 functions as a diode in which current flows from the source electrode 45 to the drain electrode 70 through the epitaxial channel layer 50. The absolute value of the turn-on voltage of this diode is smaller than that of the turn-on voltage of a body diode that is formed of the body region and the first silicon carbide semiconductor layer.
US08410487B1 Manufacturing method and structure of LED chip
A manufacturing method and a structure of a light-emitting diode (LED) chip are disclosed. The method includes the steps of: providing a conductive block; providing an epitaxial block; bonding; removing an epitaxial substrate; making independent LEDs; forming a dielectric layer; and making electrical connection. A first LED, a second LED, and a third LED are formed on the conductive block, wherein the first and second LEDs are electrically connected in series, and the second and third LEDs are electrically connected in parallel. Thus, a basic unit with a flexible design of series- and parallel-connected LEDs can be formed to increase the variety and application of LED chip-based designs.
US08410486B2 Method for manufacturing microcrystalline semiconductor film and method for manufacturing semiconductor device
A method for manufacturing a semiconductor device having favorable electric characteristics with high productivity is provided. A first microcrystalline semiconductor film is formed over an oxide insulating film under a first condition that mixed phase grains with high crystallinity are formed at a low particle density. After that, a second microcrystalline semiconductor film is stacked over the first microcrystalline semiconductor film under a second condition that a space between the mixed phase grains are filled by the crystal growth of the mixed phase grains of the first microcrystalline semiconductor film.
US08410485B2 Pixel structure
A pixel structure including a scan line, a data line intersecting the scan line, a first gate, a second gate, a third gate, a semiconductor layer, a source, a first drain, a second drain, a first pixel electrode, and a second pixel electrode is provided. The dataline and the scan line are interlaced disposed. The semiconductor layer is disposed on the scan line to define the first gate and the second gate. The source is directly connected to the data line and located between the first gate and the second gate. The first gate is located between the first drain and the source. The second gate is located between the second drain and the source. The third gate is electrically connected to the scan line. The first pixel electrode and the second pixel electrode are respectively electrically connected to the first drain and the second drain.
US08410484B2 Flat display device with a dummy pixel integrally formed in a peripheral region
A flat display device is provided. The flat display device a substrate divided into an active region for displaying an image and a peripheral region that does not display the image, and includes: a gate line that crosses a data line to define a pixel region in the active region; a thin film transistor in a region near a crossing of the gate line and the data line; a first common electrode in the pixel region; a storage electrode on the first common electrode to provide storage capacitance; a pixel electrode electrically connected with the storage electrode and overlapping the pixel region, the data line, and the gate line; and an ink film covering the active region and the peripheral region, and having microcapsules including charged particles.
US08410483B2 Organic light-emitting display device and method of manufacturing the same
An organic light-emitting display device and method of manufacturing the same, the device including a first substrate; a second substrate facing the first substrate; an organic light-emitting unit formed by laser-induced thermal imaging, the organic light emitting unit being on the first substrate; a coupling member coupling the first substrate and the second substrate; and a supporting element on the first substrate, the supporting element having a height greater than a height of a thickest portion of the organic light-emitting unit and less than a height of the coupling member.
US08410482B2 Semiconductor device, light emitting apparatus and electronic device
Disclosed in a semiconductor device including a substrate, a first transistor, a second transistor, and a first source electrode and a first drain electrode of the first transistor are arranged along a first direction and a second source electrode and a second drain electrode of the second transistor are arranged in a reverse order of the first source electrode and the first drain electrode along the first direction, the first source electrode and the second source electrode are connected by a source connecting wiring, the first drain electrode and the second drain electrode are connected by a drain connecting wiring, a first gate electrode and a second gate electrode are connected by a gate connecting wiring and the source connecting wiring and the drain connecting wiring are provided at positions except a region overlapped with the first gate electrode, the second gate electrode and the gate connecting wiring.
US08410481B2 Pixel structure and pixel structure of organic light emitting device
A pixel structure including a first scan line, a second scan line, a data line and a power line substantially perpendicular to the first scan line and the second scan line, a reference signal line and an emission signal line substantially parallel with the first scan line and the second scan line, a common thin film transistor (C-TFT), a first pixel unit, and a second pixel unit is provided. The common thin film transistor has a common gate electrode, a common source electrode and a common drain electrode. The common gate electrode is electrically connected to the first scan line, the common drain electrode is electrically connected to the reference signal line. The first and the second pixel units respectively have a first TFT, a second TFT, a third TFT, a fourth TFT, a fifth TFT, a sixth TFT, a capacitor, and an emission device.
US08410478B2 p-Type MgZnO-based thin film and semiconductor light emitting device
A p-type MgxZn1-xO-based thin film (1) is formed on a substrate (2) made of a ZnO-based semiconductor. The p-type MgxZn1-xO-based thin film (1) is composed so that X as a ratio of Mg with respect to Zn therein can be 0≦X<1, preferably 0≦X≦0.5. In the p-type MgZnO thin film (1), nitrogen as p-type impurities which become an acceptor is contained at a concentration of approximately 5.0×1018 cm−3 or more. The p-type MgZnO thin film (1) is composed so that n-type impurities made of a group IV element such as silicon that becomes a donor can have a concentration of approximately 1.0×1017 cm−3 or less. The p-type MgZnO thin film (1) is composed so that n-type impurities made of a group III element such as boron and aluminum which become a donor can have a concentration of approximately 1.0×1016 cm−3 or less.
US08410477B2 Organic light emitting device, lighting apparatus and organic light emitting display apparatus
An organic light emitting device having increased outcoupling efficiency, a lighting apparatus including the organic light emitting device, and an organic light emitting display apparatus including the organic light emitting device. The organic light emitting device includes a substrate, a first electrode layer that is uniformly patterned on the substrate, a low refractive conductive layer disposed on the first electrode layer, and having a conductive material with a lower refractive index than a refractive index of an organic layer that is disposed on the low refractive conductive layer, and a second electrode layer formed on the organic layer.
US08410476B2 Organic electroluminescent display device and production method thereof
The present invention provides an organic electroluminescent display device including an electroluminescent element with a reduced leakage current and also provides a production method thereof. The present invention is an organic electroluminescent display device including an electroluminescent element, the electroluminescent element comprising a lower electrode, an organic layer, a light-emitting layer, and an upper electrode, stacked one above the other on and above a substrate in this order, wherein the organic electroluminescent display device further includes a bank formed on the organic layer.
US08410472B2 Epitaxial substrate for electronic device and method of producing the same
An epitaxial substrate for an electronic device having a Si single crystal substrate, a buffer as an insulating layer formed on the Si single crystal substrate, and a main laminated body formed by plural group III nitride layers epitaxially grown on the buffer, wherein a lateral direction of the epitaxial substrate is defined as an electric current conducting direction. The buffer including at least an initially grown layer in contact with the Si single crystal substrate and a superlattice laminate constituted of a superlattice multilayer structure on the initially grown layer.
US08410470B2 Core-shell quantum dot fluorescent fine particles
Disclosed is an ultraviolet fluorescent material having high light emission efficiency, wherein the peak wavelength of ultraviolet light to be emitted can be controlled by having a quantum dot structure wherein a fine crystal of zinc oxide having an average diameter of 1-10 nm serves as a core, and the surface of the zinc oxide fine crystal is covered with at least one of LiGaO2, LiAlO2, NaGaO2 and NaAlO2, which has a crystal structure similar to that of the zinc oxide and low lattice mismatch and hardly suffers from structural defects, or a solid solution thereof.
US08410460B2 Pattern defect inspection method and its apparatus
The pattern defect inspection apparatus and its method of the present invention comprises: a recipe setting unit for setting an inspection recipe and/or a review recipe; an illumination optical system including: a laser light source for emitting ultraviolet laser light; a quantity-of-light adjusting unit for adjusting a quantity of the ultraviolet laser light emitted from the laser light source; and an illumination range forming unit for forming on a sample an illumination range of the ultraviolet laser light; a coherence reducing system; and a detection optical system including: a condensing optical system; a diffracted-light control optical system; and a detecting unit.
US08410458B2 Optical filter material made of gallium-doped quartz glass, filter component and method for irradiation by means of a UV radiation source
The invention relates to an optical filter material made of doped quartz glass, which at a low dopant concentration exhibits spectral transmission as high as possible of at least 80% cm−1 for operating radiation of 254 nm, transmission as low as possible in the wave range below approximately 250 nm, and an edge wavelength λc within the wave range of 230 to 250 nm. It was found that this aim is achieved by doping comprising a gallium compound, which in the wave range below 250 nm has a maximum of an absorption band and thus determines the edge wave range λc.
US08410457B2 Sample transfer device and sample transferring method
A sample transfer device is provided which can insert to a charged particle beam apparatus a sample to be observed and analyzed under irradiation of a charged particle beam while suppressing to a minimum the time to expose the sample to the atmospheric environment. The sample transfer device for transferring the sample to be observed and analyzed by irradiating the charged particle beam comprises an expansible hollow member capable of accommodating a sample holder mounting the sample, a fixing member for fixing the sample holder within the expansible hollow member, and a sealing member communicating with the interior of the expansible hollow member to open/close an opening through which the sample holder passes.
US08410454B2 High dynamic range scanning with reduced channel cross-talk
A multi-channel scanning system adapted to implement a low channel cross-talk, extra-wide dynamic range scanning method by scanning the same location more than once, wherein at least one time, the power of the excitation light and detector gain are set to High for at least one of the channels and Low for at least one of the other channels and different settings are used in subsequent scans. The scans of the same channel taken with different High and Low settings are merged together to produce one wider dynamic range image.
US08410452B2 Ion source means for desorption/ionisation of analyte substances and method of desorbing/ionising of analyte substances
The invention relates to an ion source means comprising at least one holding means for holding at least one sample to expose the sample to a mass spectrometer device, wherein the holding means comprises a structured sample support means for supporting the sample and/or a structured sample or sample comprising a structured surface, respectively.
US08410451B2 Neutron fluorescence with synchronized gamma detector
Method and apparatus for minimizing signal noise (20, 22) in thermal, epithermal, and cold neutron fluorescence processes using neutron flux modulation and gamma ray detector pulse gating synchronized to neutron time of flight (NTOF). The apparatus includes a source (12) of thermal, epithermal, and/or cold neutrons, optionally switched between flux or power settings in various embodiments, a gamma ray detector (14) or detection system capable of either being turned ON and OFF, in some embodiments, or else being told to regard or disregard gamma ray signals (20, 22) in other embodiments, a control mechanism (24), and either a target range detector (26) or a prior measurement of target range, in embodiments where the range remains fixed. The gamma ray detector (14) is synchronized to the NTOF by the control mechanism (24) so that it remains switched OFF during the pulse period of the neutron source (12) and for the subsequent NTOF so that any nuisance signals (20, 22) arriving at the detector (14) during these times are not detected or considered.
US08410444B2 Net solar radiometer with thermally balanced spectral response
Disclosed is a net radiometer that measures the net difference between incoming solar and outgoing terrestrial radiant flux energy in the combined short-wave and long-wave far infrared spectral range. In accordance with principles of the invention, a balanced net radiometer can be constructed where each thermal absorber is formed from two separate pieces joined together to form a single thermal mass. Within each thermal absorber, each piece is coated with a separate surface coating of different spectral sensitivity. By constructing an absorber from two separate pieces, it is possible to apply spectral coatings with different curing characteristics, to thermal absorber that acts as a single thermal mass. The pieces within each thermal absorber are sized in a proportion that thermally balances the absorber's thermal sensitivity between short-wave and long-wave far infrared radiant energy.
US08410438B2 Charged particle beam device
A charged particle beam device has a tilt detection unit that detects a tilt of a sample surface and an E×B deflector in which an electric field and a magnetic field are overlapped with each other and which causes, according to the detected tilt of the sample surface, the sample surface to be perpendicularly irradiated with an irradiation charged particle beam while, at the same time, aligning the trajectory of the charged particle beam with the optical axis centers of an irradiation optical system and an imaging optical system; thereby, the charged particle beam device can prevent problems possibly occurring in cases where a sample stage is tilted or a sample surface is undulating and can enable an accurate image to be acquired.
US08410435B2 Cyclone separator type mass analyzing system
Provided is a small-sized mass analysis system capable of analyzing an analysis target system being under atmospheric pressure. The mass analysis system (7) has a cyclone separator (1) including a hollow shaft motor (19) for rotationally driving a turbo blade (17). Combining a mass analysis device with the cyclone separator makes it possible to remove dust and introduce into the mass analysis device a gas present in a region where the pressure in the cyclone separator is sufficiently reduced.
US08410434B1 Thermo-stabilized nano- and micro- flow LC/ESI-MS interface and a method thereof
A liquid chromatography interface is provided having an integrated column/ESI tip assembly including a liquid chromatography separation column, an ESI tip for generating ions having at least one emitting channel, and a temperature-controlled enclosure surrounding the liquid chromatography separation column. The enclosure has at least one opening and the ESI tip is exposed outside the enclosure through the opening. The enclosure has a heating or cooling device providing a substantially homogeneous distribution of temperature throughout an internal space of the enclosure where the liquid chromatography separation column is disposed. The enclosure includes at least one gas flow mixing element to permit heat exchange by directing a flow of gas toward the ESI tip. The integrated column/ESI tip assembly resides within a thermo-stabilized volume of substantially the same temperature from an entrance of the liquid chromatography separation column to the outlet of the ESI tip.
US08410426B2 Devices and methods for coupling mass spectrometry devices with chromatography systems
One embodiment of the invention provides a method of preparing an eluted sample containing salts or buffers from a liquid chromatography device for analysis by a mass spectrometry device. The method includes: continuously providing a non-polar solvent to the mass spectrometry device; receiving the eluted sample from the liquid chromatography device; flowing the eluted sample over a solid phase extraction column; flowing the non-polar solvent over the solid phase extraction column; and presenting non-polar solvent and the eluted sample to the mass spectrometry device.
US08410417B2 Photosensitive system including an output buffer coupled to a gated photodiode for reducing an impedance of the photodiode signal
Disclosed is a photosensitive system including a gated photodiode having at least one field plate and a cathode and an output buffer having an input coupled to the gated photodiode for reducing the impedance of the photodiode signal and having an output for providing the reduced impedance signal. The output is electrically connected to the at least one field plate. A device including such a photosensitive system is also disclosed.
US08410402B2 Method and apparatus for using light emitting diodes for removing moisture
A method and apparatus for removing moisture from a lighting apparatus is disclosed. In one embodiment of the present invention, the apparatus for removing moisture from a lighting apparatus includes at least one light emitting diode (LED) for outputting visible light and at least one LED for outputting infrared light to remove moisture from the housing.
US08410401B2 Powertrain driveline warm-up system and method
A powertrain/driveline warm-up system includes a vehicle controller, an electrical power source interfacing with the vehicle controller, at least one heater interfacing with the vehicle controller and at least a portion of a vehicle powertrain provided in thermal contact with the at least one heater.
US08410399B2 Capacitor charge and discharge circuit for fastener welding
A capacitor discharge (CD) fastener welding circuit including: an input voltage source; a rectifier bridge of diodes receiving the input voltage source; at least two capacitor charge switches linked with the rectifier bridge; at least one capacitor linked with the at least two capacitor charge switches; and at least two capacitor discharge switches linked with the capacitor and to welding output terminals.
US08410397B2 Mineral processing
The present invention concerns itself with a dry, free of toxic chemicals, and thus, environmentally safe, mineral processing technique. It uses ore elements' specific heat differences as the basis for separating valuable minerals from ores. No EPA approval, no environmental pit and no expensive monitoring are required in order to practice the teachings of the present invention. Furthermore, the technique described herein is economically attractive since it is a low cost approach to mineral processing.
US08410396B1 High precision, rapid laser hole drilling
A laser system produces a first laser beam for rapidly removing the bulk of material in an area to form a ragged hole. The laser system produces a second laser beam for accurately cleaning up the ragged hole so that the final hole has dimensions of high precision.
US08410393B2 Apparatus and method for temperature control of a semiconductor substrate support
A recirculation system of a substrate support on which a semiconductor substrate is subjected to a multistep process in a vacuum chamber, the system comprising a substrate support having at least one liquid flow passage in a base plate thereof, an inlet and an outlet in fluid communication with the flow passage, a supply line in fluid communication with the inlet, and a return line in fluid communication with the outlet; a first recirculator providing liquid at temperature T1 in fluid communication with the supply line and the return line; a second recirculator providing liquid at temperature T2 in fluid communication with the supply line and the return line, temperature T2 being at least 10° C. above temperature T1; a pre-cooling unit providing liquid at temperature Tpc connected to the inlet and the outlet, temperature Tpc being at least 10° C. below T1; a pre-heating unit providing liquid at temperature Tph connected to the inlet and the outlet, temperature Tph being at least 10° C. above T2; a controller operable to selectively operate valves of the recirculation system to recirculate liquid between the flow passage and the first recirculator, the second recirculator, the pre-cooling unit or the pre-heating unit.
US08410391B2 Weld current generating apparatus
A weld current generating apparatus includes a voltage conversion circuit, a control circuit, a switch circuit, and a current output circuit. The voltage conversion circuit receives an AC voltage from an AC voltage input terminal, and converts the AC voltage to a DC voltage. The control circuit receives the DC voltage, wherein the control circuit is capable of setting a weld time, and outputting a control signal for controlling the weld time. The switch circuit receives the control signal, and turns on for the weld time according to the control signal. The current output circuit outputs a continuous weld current when the switch circuit turns on.
US08410390B2 Grinding machine with a device for conditioning a grinding wheel and a method of conditioning a grinding wheel
A grinding machine for grinding a workpiece comprises a machine frame, a bearing device provided on the machine frame and movable along guides, in which a cup-shaped grinding wheel is rotatably drivable about a grinding wheel axis and electrically insulated. The grinding wheel is electrically connected to a generator. The device for profile dressing, sharpening and cleaning the grinding wheel consists of a single cup-shaped electrode, which is drivable about its central axis and is placed on a slide, which allows a working gap to exist between the machining surface of the cup-shaped electrode and the annular abrasive surface. A spark erosion discharge occurs in the gap when a voltage is applied. The grinding wheel can thereby be optimally conditioned by electric discharge machining.
US08410389B2 Remote drive for disconnector/isolator used in switchgear
A remotely controlled, motorized drive system for a disconnector used in switchgear. An operator pushes a button on a remote control, which signals a receiver in the disconnector to engage a motor that is coupled to a drive shaft. The drive shaft causes movable contacts of the disconnector to be moved into engagement or out of engagement with sets of fixed contacts in the switchgear that are connected to the line supply and to the circuit breaker that is bolted into the switchgear. One set of contacts is electrically coupled to the line supply, which can carry up to three phases of current. Another set of contacts is connected to corresponding terminals of the circuit breaker. A second motor controls movement of a grounding bar that grounds the circuit breaker to earth. Indicator lights on the switchgear panel indicate the position of the disconnector for visual confirmation of the same.
US08410387B2 Electrical switch
An electrical switch for an electric tool with an electric motor including an actuating mechanism which can be adjusted between an initial position and a final position. The switch has a signaling device, which is operatively connected to the actuating mechanism, for generating a signal associated with the adjustment path of the actuating mechanism. The switch has a contact system, with the actuating mechanism having a switching effect on the contact system in one position, whereby the contact system is configured as a spring-action contact system.
US08410386B2 Cam disk and spring excursion switch for a stored-energy spring mechanism and stored-energy spring mechanism
The disclosure relates to a cam disc and to a spring deflection switch having a cam disc for a spring-loaded drive, wherein the cam disc includes a first, second and third circumferential region, each having at least one corresponding radial extension, for actuating a pushbutton including a switching hysteresis. The at least one second radial extension is larger than the at least one third radial extension, which in turn is larger than the at least one first radial extension. The pushbutton can interact with the cam disc in the spring deflection switch such that when the pushbutton is applied by the first circumferential region, the switching contact assumes a first switching position, and when the pushbutton is applied by the second circumferential region, the switching contact assumes a second switching position, and when the pushbutton is applied by the third circumferential region, the switching contact remains in the switching position assumed earlier.
US08410383B2 Switch display assembly with seal
The present disclosure provides a switch assembly including a lens having a hollow interior, a display at least partially received within the hollow interior of the lens, an actuator moveably engageable by the lens, and an elastomeric switch activatable by the actuator in response to movement of the lens. The elastomeric switch may include a circuit assembly, a sealing switch layer disposed over the circuit assembly, and an elastomeric switch member formed within the sealing switch layer that is actuatable by the movement of the lens for engagement with the circuit assembly.
US08410380B2 Switch structure on sidewall of circuit board for electronic device
A switch structure on the sidewall of a circuit board for an electronic device and manufacturing methods of the circuit board are provided. The switch structure includes a circuit board, a plurality of conductive portions, and a movable unit. The conductive portions are formed on a sidewall of the circuit board and electrically insulated from each other. The movable unit is disposed corresponding to the conductive portions to electrically connect or disconnect the plurality of conductive portions to achieve the switch function. The switch structure utilizes the structural design of the circuit board to reduce the space on the circuit board preserved for a circuit board switch.
US08410379B2 Electric operating device of vehicle seat
An electric operating device includes an operation member for operating to activate a plurality of electric functions provided at a vehicle seat. An operating structure for switching a pivoting position of the operation member is configured as a switching structure for selecting the electric function that is an operational object. Further, an operating structure for switching a slide position in a diametrical direction of the operation member is configured as an operation control structure by which the electric function selected as the operational object is operated to activate. Further, a pushing operation structure of the operation portion is configured as a switching structure for switching a category of an electric function group. The pushing operating structure of the operation member is provided with a return mechanism for returning the operation member operated to be pushed to an initial position taken before the pushing operation by urging the operation member.
US08410376B2 Printed wiring board and method for manufacturing the same
A printed wiring board includes an interlayer resin insulation layer having a penetrating hole for a via conductor, a conductive circuit formed on one surface of the interlayer resin insulation layer, a via conductor formed in the penetrating hole and having a protruding portion protruding from the other surface of the interlayer resin insulation layer, and a surface-treatment coating formed on the surface of the protruding portion of the via conductor. The via conductor is connected to the conductive circuit and has a first conductive layer formed on the side wall of the penetrating hole and a plated layer filling the penetrating hole.
US08410372B2 Wiring board, stacked battery device, and vehicle having stacked battery device
A wiring board to be inserted between collector foils of each unit cell in a stacked battery includes a comb-shaped insulating substrate and a wiring layer. The insulating substrate has a plurality of teeth and a rod, and the wiring layer is formed on the insulating substrate and includes a plurality of lead wires individually extending from a distal end of each of the plurality of teeth to an end of the rod to deliver a current of a potential across a conductive member being in contact with the distal ends of the teeth to the end of the rod.
US08410366B2 Protection device for power cables with impregnated-paper-based insulation
A protection device for a power cable that includes a central conductor surrounded by insulation based on paper impregnated with material based on impregnation oil may include a sheath around the insulation, a first portion of the cable stripped of the sheath, an adjacent portion of the cable stripped of both the insulation and sheath, the adjacent portion penetrating the connector; an outer protection extending over at least the first and adjacent portions, and extending over the connector; and/or a plug of high-permittivity mastic material filling an axial space extending along the adjacent portion. The outer protection may include a barrier layer in contact with the insulation along at least part of the first portion. The barrier layer may include elastomer material that is virtually impermeable to the oil. The plug may axially separate the barrier layer and connector to prevent migration of the oil into the connector.
US08410363B1 Tamper proof wallplate
An electrical wall plate including a wall having a front surface and a rear surface with at least one opening, the opening sized to receive a face of a receptacle, a hinge secured to the front surface, a cover pivotally mounted to the front surface proximate the hinge, a latch located on the cover releaseably engaging the cover and selectively preventing pivotal movement of the cover.
US08410359B2 Connector for solar module
A box for connecting a solar panel to a cable has a generally planar flat base securable to a solar panel, forming a transversely open cavity for connection of elements of the solar panel with the cable, and formed with a laterally open aperture. A flat cover fittable transversely with the base to close the cavity is formed with an aperture forming with the aperture of the base when the cover is fitted to the base a hole that opens generally parallel to the base into the cavity and that is snugly fittable around the cable. A clip fixed on the base adjacent the aperture forms a mouth fittable around the cable extending through the hole and open generally parallel to the base.
US08410357B2 Back contact for thin film solar cells
Disclosed is a novel thin film photovoltaic device and a process of making. The device comprises an interface layer between the absorber layer and the electrode resulting in an improved back contact and improved device efficiency. The interface layer comprises a material comprising a Ma-(Group VIA)b compound, where M is a transition metal the Group VIA designates Te, Se and/or S.
US08410355B2 Thin film photoelectric conversion device having a stacked transparent oxide and carbon intermediate layer
This invention intends to develop a technique for forming an interlayer with excellent optical characteristics and to provide a photoelectric conversion device having high conversion efficiency. To realize this purpose, a series connection through an intermediate layer is formed in the thin-film photoelectric conversion device of the invention, and the interlayer is a transparent oxide layer in its front surface and n pairs of layers stacked therebehind (n is an integer of 1 or more), wherein each of the pair of layers is a carbon layer and a transparent oxide layer stacked in this order. Film thicknesses of each layer are optimized to improve wavelength selectivity and stress resistance while keeping the series resistance.
US08410344B2 Mouthpiece for woodwind instruments with venturi aperture
An improved mouthpiece for use with single reed woodwind instruments is provided. The mouthpiece includes a tapered shaped tone chamber that creates shaped-charge dynamics in the tone chamber air column to improve coupling of the air column to the reed. The tapered shape is obtained by varying the width of the tone chamber along the interior surfaces of the side walls from a top surface of the side rails to the bottom surface of the tone chamber. In addition, the width of the bottom surface is varied along a length of the tone chamber from a tip rail to the central bore of the mouthpiece. The transition from the top surfaces of the side rails to the interior surface of the tone chamber side walls is sloped to achieve a venturi effect between the top surfaces and the reed at the region of the tone chamber adjacent the tip rail.
US08410343B1 Maize variety hybrid X8N313
A novel maize variety designated X8N313 and seed, plants and plant parts thereof, produced by crossing Pioneer Hi-Bred International, Inc. proprietary inbred maize varieties. Methods for producing a maize plant that comprises crossing hybrid maize variety X8N313 with another maize plant. Methods for producing a maize plant containing in its genetic material one or more traits introgressed into X8N313 through backcross conversion and/or transformation, and to the maize seed, plant and plant part produced thereby. This invention relates to the maize variety X8N313, the seed, the plant produced from the seed, and variants, mutants, and minor modifications of maize variety X8N313. This invention further relates to methods for producing maize varieties derived from maize variety X8N313.
US08410342B1 Maize variety hybrid X08A236
A novel maize variety designated X08A236 and seed, plants and plant parts thereof, produced by crossing Pioneer Hi-Bred International, Inc. proprietary inbred maize varieties. Methods for producing a maize plant that comprises crossing hybrid maize variety X08A236 with another maize plant. Methods for producing a maize plant containing in its genetic material one or more traits introgressed into X08A236 through backcross conversion and/or transformation, and to the maize seed, plant and plant part produced thereby. This invention relates to the maize variety X08A236, the seed, the plant produced from the seed, and variants, mutants, and minor modifications of maize variety X08A236. This invention further relates to methods for producing maize varieties derived from maize variety X08A236.
US08410341B2 Nucleic acid encoding N-methylputrescine oxidase and uses thereof
The gene encoding N-methylputrescine oxidase (MPO) and constructs comprising such DNA are provided, including methods of regulating MPO expression independently or with other alkaloid biosynthesis genes to modulate alkaloid production in plants and host cells. MPO genes or fragments thereof are useful for reducing pyrrolidine or tropane alkaloid production in plants, for increasing pyrrolidine or tropane alkaloid production in plants, and for producing an MPO enzyme in host cells.
US08410338B2 Lettuce cultivar ‘Henriette’
A lettuce cultivar, designated ‘Henriette’, is disclosed. The invention relates to the seeds of lettuce cultivar ‘Henriette’, to the plants of lettuce cultivar ‘Henriette’ and to methods for producing a lettuce plant by crossing the cultivar ‘Henriette’ with itself or another lettuce cultivar. The invention further relates to methods for producing a lettuce plant containing in its genetic material one or more transgenes and to the transgenic lettuce plants and plant parts produced by those methods. This invention also relates to lettuce cultivars or breeding cultivars and plant parts derived from lettuce cultivar ‘Henriette’, to methods for producing other lettuce cultivars, lines or plant parts derived from lettuce cultivar ‘Henriette’ and to the lettuce plants, varieties, and their parts derived from the use of those methods. The invention further relates to hybrid lettuce seeds, plants, and plant parts produced by crossing cultivar ‘Henriette’ with another lettuce cultivar.
US08410337B2 Plant tubby-like proteins
An isolated polypeptide containing an amino acid sequence at least 70% identical to a Tubby-like protein (SEQ ID NO: 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, or 11), and an isolated nucleic acid encoding the polypeptide. Disclosed is an isolated nucleic acid that, under stringent conditions, hybridizes to a probe containing one of SEQ ID NOs:1-11; or its complementary sequence. Also disclosed are (1) a transformed cell or a transgenic plant containing such a nucleic acid and (2) a transformed cell or a transgenic plant having a reduced level(s) of one or more of the Tubby-like proteins. Also within the scope of the invention are methods for making the transformed cells or transgenic plants.
US08410334B2 Invertebrate microRNAs
This invention provides a non-natural transgenic plant cell expressing at least one invertebrate miRNA in planta for suppression of a target gene of an invertebrate pest or of a symbiont associated with the invertebrate pest, recombinant DNA constructs for expression of at least one invertebrate miRNA in planta and methods of use thereof, a non-natural transgenic plant containing the non-natural transgenic plant cell of this invention, a non-natural transgenic plant grown from the non-natural transgenic plant cell of this invention, and non-natural transgenic seed produced by the non-natural transgenic plants, as well as commodity products produced from a non-natural transgenic plant cell, plant, or seed of this invention.
US08410333B2 Humanized immunoglobulin loci
The present invention concerns methods and means to produce humanized antibodies from transgenic non-human animals. The invention specifically relates to novel immunoglobulin heavy and light chain constructs, recombination and transgenic vectors useful in making transgenic non-human animals expressing humanized antibodies, transgenic animals, and humanized immunoglobulin preparations.
US08410332B2 Absorbent medical articles
Medical articles including an absorbent layer, a backing layer and an optional liquid permeable facing layer are disclosed. The construction of the medical article is such that volumetric expansion of the absorbent layer is allowed in directions parallel to the surface of the backing layer as the absorbent layer absorbs moisture. The medical article may include a debonding agent located between the absorbent layer and the backing layer. The absorbent layer is operably attached to the backing layer. When the absorbent layer absorbs moisture, e.g., wound exudate, at least a portion of the absorbent layer detaches from the backing layer such that the absorbent layer can expand and move relative to the backing. The debonding agent facilitates this change from attachment to detachment of the absorbent layer to the backing. The medical articles of the present invention may also be constructed such that a portion of the front surface of the backing layer located directly opposite the absorbent layer is free of adhesive. The adhesive free area or areas may be provided in place of a physical debonding agent or in addition to a physical debonding agent.
US08410330B2 Composition to control acid rock drainage
A composition to control acid rock drainage is provided. The composition includes a slurry made from water and solids, the solids containing powders of limestone, clay and magnesium oxide or magnesium hydroxide. In some instances, the slurry is thixotropic and contains between 10 to 75 weight percent of solid powders. The solid powders can include between 40 to 75 weight percent limestone, 10 to 35 weight percent clay and 10 to 30 weight percent magnesium oxide or a proportional concentration of magnesium hydroxide.
US08410326B2 Integrated process and apparatus to produce hydrocarbons from aqueous solutions of lactones, hydroxy-carboxylic acids, alkene-carboxylic acids, and/or alcohols
A process for producing hydrocarbons, especially C8 or larger alkenes, from lactones, hydroxy-carboxylic acids, alkene-carboxylic acids, alcohols, or mixtures thereof, or an aqueous solution of lactones, hydroxy-carboxylic acids, alkene-carboxylic acids, alcohols, or mixtures thereof is described. The process includes reacting the starting materials with a first acid catalyst to yield a first product mixture. The first product mixture is then reacted with a second acid catalyst (which can be the same or different from the first acid catalyst) to yield a second product mixture comprising hydrocarbons, for example alkenes having a chain length of C8+. The process is suitable for producing hydrocarbons that can be used in or as liquid transportation fuels.
US08410325B2 Process for the preparation of C3-7 fluoroalkenes by base-mediated dehydrohalogenated C3-7 fluoroalkenes
The invention relates to a process for preparing a compound of formula CF3CF═CHX, CHX2CX═CX2 or a linear or branched C4-7 (hydro)fluoroalkene, wherein each X is, independently, H or F provided that in CHX2CX═CX2 at least one X is F, which process comprises dehydrohalogenating a compound of formula CF3CFYCH2X, CF3CFHCYHX, CHX2CXYCX2H, CHX2CXHCX2Y, or a linear or branched C4-7 hydro(halo)fluoroalkane, wherein each X is, independently, H or F provided that in CHX2CXYCX2H and CHX2CXHCX2Y at least one X is F, wherein Y is F, Cl, Br, or I, in the presence of a base.
US08410321B2 Alternative paths to alcohols and hydrocarbons from biomass
A method of producing alcohols, hydrocarbons, or both from biomass, the method including converting biomass into a carboxylic acid; reacting the carboxylic acid with an olefin to produce an ester; and hydrogenolyzing the ester to produce alcohol. The steps of reacting the carboxylic acid with an olefin to produce an ester, and hydrogenolyzing the ester to produce an alcohol, may both be carried out in the same reactor.
US08410318B2 Process for the preparation of 1,4-cyclohexanedimethanol from terephthalic acid
Disclosed is a process for the preparation of 1,4-cyclohexanedimethanol from terephthalic acid. Terephthalic acid is esterified with (4-methylcyclohexyl)methanol and the terephthalate ester hydrogenated to 1,4-cyclohexanedimethanol in a 2-stage process. The (4-methylcyclohexyl)methanol that is formed during the hydrogenation step is recycled to the esterification reaction. Also disclosed is a method for purifying and recovering the 1,4-cyclohexanedimethanol product.
US08410313B2 Phosphinate ruthenium complexes
Provided herein are ruthenium complexes of Formula I, and processes of preparation thereof. Also provided are methods of their use as a metathesis catalyst.
US08410310B2 Trypsin-like serine protease inhibitors, and their preparation and use
The invention relates to inhibitors of trypsin-like serine proteases of the general formula (I) which, as well as plasmin, also inhibit plasma kallikrein, and to their preparation and use as medicaments, preferably for treatment of blood loss, especially in the case of hyperfibrinolytic states, in organ transplants or heart surgery interventions, in particular with a cardiopulmonary bypass, or as a constituent of a fibrin adhesive.
US08410307B2 Method for producing vinyl acetate
A method for producing vinyl acetate in a heterogeneously catalyzed, continuous gas phase process by reacting ethylene with acetic acid and oxygen in a reactor and separating the product gas stream substantially comprising ethylene, vinyl acetate, acetic acid, water, carbon dioxide and inert gases.
US08410302B2 Methoxyimino compounds and fungicide composition comprising same
The present invention provides a methoxyimino compound, and a fungicide composition comprising same as an active ingredient. The methoxyimino compound of the present invention, which has an excellent antifungal activity against a wide spectrum of fungi even at a low application rate, can be used to protect various crops.
US08410299B2 Method for producing linear pentenenitrile
A method for producing 3-pentenenitrile is provided that includes: (a) isomerizing an educt stream containing 2-methyl-3-butenenitrile on at least one dissolved or dispersed isomerization catalyst to form a stream (1), which contains the isomerization catalyst(s), 2-methyl-3-butenenitrile, 3-pentenenitrile and (Z)-2-methyl-2-butenenitrile; (b) distilling the stream (1) to obtain a stream (2) as the overhead product, which contains 2-methyl-3-butenenitrile, 3-pentenenitrile and (Z)-2-methyl-2-butenenitrile and a stream (3) as the bottom product, which contains the isomerization catalyst(s); (c) distilling the stream (2) to obtain a stream (4) as the overhead product, which is enriched with (Z)-2-methyl-2-butenenitrile in comparison to stream (2), and a stream (5) as the bottom product, which is enriched with 3-pentenenitrile and 2-methyl-3-butenenitrile in comparison to stream (2); (d) distilling stream (5) to obtain a stream (6) as the bottom product, which contains 3-pentenenitrile and a stream (7) as the head product containing 2-methyl-3-butenenitrile.
US08410298B1 Phosphoric acid ester production method
The present invention provides a novel production method which enables obtain a phosphorus compound having both an aromatic substituent and a phosphorinane backbone, without using an expensive hydrogen halide scavenger, without going through a complicated post treatment step or a step of recovering a solvent, and with a favorable yield and purity. In the present invention, step (1) of allowing phosphorus oxytrihalide to react with a phenol compound or naphthol compound at a molar ratio of 1.1-3.0:1 in the presence of metal halide, and removing unreacted phosphorus oxytrihalide, to produce a mono-substituted phosphorodihalidate; and step (2) of allowing the mono-substituted phosphorodihalidate obtained in the step (1) to react with a diol compound, at a 0.90 to 0.99 molar equivalent based on 1 mole of the halogen atom in the mono-substituted phosphorodihalidate so as to perform a dehydrohalogenation reaction, to obtain a phosphorus compound represented by Formula (V).
US08410295B2 Method for production of optically active epoxy compound, and complex used therefor and process for producing the same
A complex, and a process for producing the same, represented by any of the following formulae (I), (I′), (II), (II′), (III), (III′), (IV), and (IV′): where: R1s are independently an alkyl group or an aryl group; R2s are independently a hydrogen atom, an alkyl group, or an aryl group; R3s are independently an alkyl group or an aryl group, provided that two R3s are optionally bonded with each other to form a ring; R4s are independently a hydrogen atom, a halogen atom, an alkyl group, an alkoxy group, a nitro group, or a cyano group; and M is TiY2, where Y is Cl or alkoxide or the complex forms a di-μ-oxo dimer where Y is a μ-oxo ligand.
US08410294B2 Method for preparing highly pure anhydrous crystalline docetaxel
A method for preparing highly pure anhydrous crystalline docetaxel is provided. The method for preparing highly pure anhydrous crystalline docetaxel enables preparation of anhydrous crystalline docetaxel that has purity of 99.5% or more, and is useful as an anticancer agent due to remarkably low residual solvent content compared to the standard of residual solvents in drugs.
US08410293B2 Process for the preparation of cyclic enol ethers
The present invention relates to a process for the preparation of cyclic enol ethers.
US08410292B2 Epoxy-guaiane derivatives and treatment of cancer
Disclosed are englerins and derivatives (I) thereof useful in the treatment of a number of cancers, particularly renal cancer, as well as pharmaceutical compositions and method of treating a patient with the use of these derivatives. The englerins, for example Englerin A and Englerin B, can be isolated from the plant Phyllanthus engleri or produced by synthetic methods. An example of the englerin derivative is 2′-chloroenglerin A, which has the structure (II), wherein double bond ‘a’ is E, Z, or a mixture of E and Z.
US08410291B2 Processes for the production of hydrogenated products
A process for making a hydrogenated product includes providing a clarified DAS-containing fermentation broth, distilling the broth to form an overhead that includes water and ammonia, and a liquid bottoms that includes MAS, at least some DAS, and at least about 20 wt % water, cooling and/or evaporating the bottoms, and optionally adding an antisolvent to the bottoms, to attain a temperature and composition sufficient to cause the bottoms to separate into a DAS-containing liquid portion and a MAS-containing solid portion that is substantially free of DAS, separating the solid portion from the liquid portion, recovering the solid portion, hydrogenating the second solid portion in the presence of at least one hydrogenation catalyst to produce the hydrogenated product comprising at least one of THF, GBL or BDO, and recovering the hydrogenated product.
US08410290B2 Cyclic carbonyl compounds with pendant carbonate groups, preparations thereof, and polymers therefrom
A one pot method of preparing cyclic carbonyl compounds comprising an active pendant pentafluorophenyl carbonate group is disclosed. The cyclic carbonyl compounds can be polymerized by ring opening methods to form ROP polymers comprising repeat units comprising a side chain pentafluorophenyl carbonate group. Using a suitable nucleophile, the pendant pentafluorophenyl carbonate group can be selectively transformed into a variety of other functional groups before or after the ring opening polymerization.
US08410288B2 Polymorphs of Saxagliptin hydrochloride and processes for preparing them
The invention provides polymorphs of Saxagliptin hydrochloride, processes for preparing polymorphs of Saxagliptin hydrochloride, and pharmaceutical compositions of polymorphs of Saxagliptin hydrochloride.
US08410286B2 Substituted pyrazoles, compositions containing these, method of production and use
The disclosure relates to a compound of formula (I): and salts thereof; wherein Ar, L, A, X, R1, R2, R3, R4a, R4b, and R5 are as defined in the disclosure; compositions comprising said compounds, methods for their preparation, intermediates thereto, and the use thereof, particularly as drugs.
US08410283B2 Electrolyte additive of dye-sensitized solar cell and method of making the same
An electrolyte additive is selected from N-alkyl benzimidazole derivatives and is applicable to dye-sensitized solar cells. Accordingly, the electrolyte additive can be added to electrolyte at low concentration, and loss of function due to crystallization after long-term use can be prevented; in addition, short circuit photocurrent density and solar energy-to-electricity conversion efficiency of solar cells incorporating the electrolyte additive can be increased.
US08410282B2 Compounds as adenosine A1 receptor antagonists
This compounds correspond to the formula (I), where: R1 represents and aryl or heteroaryl group optionally substituted by one or more substituents selected from the group consisting of halogen atoms, straight or branched optionally substituted lower alkyl, cycloalkyl, hydroxy, straight or branched, optionally substituted lower alkoxy, cyano, or —CO2R′, wherein R′ represents a hydrogen atom or a straight or branched, optionally substituted lower alkyl group; R2 represents a group selected from: a) a straight or branched lower alkyl group substituted by one or more carboxylic groups (—COOH) and optionally substituted by one or more halogen atoms; b) a cycloalkyl group substituted by one or more carboxylic groups (—COOH) and optionally substituted by one or more halogen atoms; c) a straight or branched alkylcycloalkyl or cycloalkylalkyl group substituted by one or more carboxylic groups (—COOH) and optionally substituted by one or more halogen atoms. Formula (I).
US08410275B2 Bicyclic derivatives as CETP inhibitors
The present invention relates to novel compounds of formula (I) or a pharmaceutical composition thereof, with all the variables being defined in the text. The present invention further relates to the use of the compounds herein for treatment of or delay progression to overt to diseases in which CETP is involved.
US08410272B2 Pyrazolylbenzothiazole derivatives and their use as therapeutic agents
Pharmaceutical compositions and compounds are provided. The compounds of the invention have use as therapeutic agents, e.g., they demonstrate anti-proliferative, anti-inflammatory, anti-angiogenic, anti-migration activities. In one embodiment of the invention, formulations of the compounds in combination with a physiologically acceptable carrier are provided. The pharmaceutical formulations are useful in the treatment of, e.g., anti-inflammatory, renal, and hyperproliferative disorders. The compounds of the invention are pyrazolylbenzothiazole derivatives of the following formula (1) wherein X, R1, R2, R3 and R4 are described herein.
US08410270B2 Transition metal complexes and use thereof in organic light-emitting diodes V
Metal complexes comprising at least one polycyclic aromatic ligand and bearing at least one deuterium atom, an organic light-emitting diode comprising at least one inventive metal complex, a light-emitting layer comprising at least one inventive metal complex, an organic light-emitting diode comprising at least one inventive light-emitting layer, the use of the at least one inventive metal complex in organic light-emitting diodes, and a device selected from the group consisting of stationary visual display units such as visual display units of computers, televisions, visual display units in printers, kitchen appliances and advertising panels, illuminations, information panels and mobile visual display units such as visual display units in cellphones, laptops, digital cameras, vehicles, and destination displays on buses and trains, comprising at least one inventive organic light-emitting diode.
US08410269B2 Phosphorescent iridium complex with non-conjugated cyclometalated ligands, synthetic method of preparing the same and phosphorescent organic light emitting diode thereof
The present invention discloses a phosphorescent tris-chelated transition metal complex comprising i) two identical non-conjugated cyclometalated ligands being incorporated into a coordination sphere thereof with a transition metal, and one ligated chromophore being incorporated into the coordination sphere; or ii) one non-conjugated cyclometalated ligand forming a coordination sphere thereof with a transition metal, and two ligated chromophores being incorporated into the coordination sphere, wherein the metal is iridium, platinum, osmium or ruthenium, and the ligated chromophore possesses a relatively lower energy gap in comparison with that of the non-conjugated cyclometalated ligand, the latter afforded an effective barrier for inhibiting the ligand-to-ligand charge transfer process, so that a subsequent radiative decay from an excited state of these transition complexes will be confined to the single ligated chromophore. The architecture and energy gap of the ligated chromophore are suitable for generation of high efficiency blue, green and even red emissions.
US08410264B2 Heterocyclic oxime compounds
The invention relates to compounds of formula (I) and salts thereof: wherein the substituents are as defined in the specification; a compound of formula (I) for use in the treatment of the human or animal body, in particular with regard to c-Met tyrosine kinase mediated diseases or conditions; the use of a compound of formula (I) for manufacturing a medicament for the treatment of such diseases; pharmaceutical compositions comprising a compound of the formula (I), optionally in the presence of a combination partner, and processes for the preparation of a compound of formula (I).
US08410260B2 Double-stranded RNA oligonucleotides which inhibit tyrosinase expression
Novel double-stranded RNA oligonucleotides are useful for decreasing tyrosinase expression, have cosmetic and/or pharmaceutical applications, for example are useful skin depigmenting or anti-browning agents, and can be associated with cationic particles less than or equal to 1 μm in size, having a zeta potential of from 10 to 80 mV.
US08410259B2 Recombinant expression vector elements (REVES) for enhancing expression of recombinant proteins in host cells
Compositions and methods comprising recombinant expression vector elements (rEVEs) to enhance the level of expression of recombinant proteins are described. Other compositions and methods for lowering, substantially suppressing, or essentially silencing expression of a recombinant protein are also described.
US08410258B2 Recombinant polyprotein vaccines for the treatment and diagnosis of leishmaniasis
Compositions and methods for preventing, treating and detecting leishmaniasis are disclosed. The compositions generally comprise fusion polypeptides comprising multiple Leishmania antigens, in particular, KMP11, SMT, A2 and/or CBP, or immunogenic portions or variants thereof, as well as polynucleotides encoding such fusion polypeptides.
US08410257B2 Nucleotide sequences encoding RAMOSA3 and Sister of RAMOSA3 and methods of use for same
The invention relates to the isolation and characterization of a maize gene, RAMOSA3 (RA3), responsible for meristem development and inflorescence development including branching. The gene, gene product, and regulatory regions may be used to manipulate branching, meristem growth, inflorescence development and arrangement, and ultimately to improve yield of plants. The invention includes the gene and protein product as well as the use of the same for temporal and spatial expression in transgenic plants to alter plant morphology and affect yield in plants. The invention also includes the gene and protein product for SISTER OF RAMOSA3 (SRA).
US08410255B2 Dark quenchers for donor-acceptor energy transfer
The present invention provides a family of dark quenchers, termed Black Hole Quenchers (“BHQs”), that are efficient quenchers of excited state energy but which are themselves substantially non-fluorescent. Also provided are methods of using the BHQs, probes incorporating the BHQs and methods of using the probes.
US08410251B2 Antibody against calcified globule and use of the same
The present inventors carried out immunization using renal/urinary calculus-derived calcified globules or carotid artery-derived arteriosclerotic plaques, and then obtained antibodies specific to calcified globules (NLO) via screening with NLO. The present inventors demonstrated that the antibodies reacted specifically to arteriosclerotic lesions (calcified lesions) and visualized arteriosclerotic plaques (in particular, calcified lesions) by using fluorescently labeled antibodies. Accordingly, the present inventors completed the present invention.
US08410250B2 Anti-FGFR3 antibodies and methods using same
The invention provides FGFR3 antibodies, and compositions comprising and methods of using these antibodies.
US08410246B2 TDF-related compounds and analogs thereof
The present invention relates generally to tissue differentiation factor (TDF) analogs. More specifically, the invention relates to structure-based methods and compositions useful in designing, identifying, and producing molecules which act as functional modulators of TDF-like receptors. The invention further relates to methods of detecting, preventing, and treating TDF-associated disorders.
US08410244B2 Vibration-damping film
Vibration damping film comprising a composition obtained by dispersing titanium dioxide (Y) and mica flakes (Z) in a polyester resin (X) comprising dicarboxylic acid units and diol units, satisfying conditions (I) to (III), and having a thickness in the range of 20 to 200 μm : (I) Content of polyester resin (X), titanium dioxide (Y) and mica flakes (Z) in the composition is in the range of 35 to 60% by mass, in the range of 5 to 15% by mass and in the range of 30 to 55% by mass, respectively, (II) Average particle diameter of the mica flakes (Z) in the resin composition is in the range of 5 to 80 μm, and (III) Elongation at failure point of the resin composition measured according to JIS K7127 is in the range of 30 to 70%.
US08410243B2 Aromatic ether-containing fluorene monomers, processes for their preparation and polymerization thereof
Novel aromatic ether-containing monomers are described along with processes for their preparation and their polymerization into corresponding aromatic ether-containing polyfluorenes. These polyfluorenes exhibited stable blue-emission and therefore have application in polymer light-emitting devices.
US08410240B1 Polycarbonate and method of preparing the same
Polycarbonate includes repeat units represented by Formula 1, Formula 2 and Formula 3, respectively: wherein: R1 and R2 are the same or different and are each independently substituted or unsubstituted C1 to C6 alkyl, substituted or unsubstituted C3 to C6 cycloalkyl, substituted or unsubstituted C6 to C12 aryl or halogen, a and b are the same or different and are each independently an integer from 0 to 4, and Q is substituted or unsubstituted C5 to C10 cycloalkyl.
US08410233B2 Method for producing superabsorbers with a low residual monomer content
To prepare superabsorbents with a low residual monomer content, a salt of urea with an inorganic acid is added to the monomer mixture before or during the polymerization or to the polymer after the polymerization but before a heat treatment which follows the polymerization.
US08410232B2 Emulsion polymerization of esters of itaconic acid
Esters of itaconic acid are polymerized in aqueous medium in the presence of seed particles. The seed particles may absorb the monomer and provide for polymerization to a desired particle size. The polymers that are produced may be employed in adhesives, paints, and paper formulations.
US08410231B2 Production process of olefin polymer
The present invention provides a highly active process for producing an olefin polymer, comprising: the step (I) of preliminarily polymerizing an olefin at 65° C. or lower in the presence of a preliminary polymerization catalyst prepared by bringing the following components (A), (B) and (C) into contact with each other, to produce a preliminary polymerization catalyst component (X); and the step (II) of polymerizing an olefin in the presence of a polymerization catalyst prepared by bringing the preliminary polymerization catalyst component (X) into contact with the following component (D), to produce an olefin polymer; component (A): a metallocene-base complex, component (B): a solid co-catalyst component in which a compound capable of ionizing the metallocene-base complex into an ionic complex is supported on fine particle support, component (C): an organoaluminum compound represented by the general formula R13Al (wherein, R1 is a linear hydrocarbon group of 1 to 8 carbon atoms, wherein the R1 can be the same or different), and component (D): an organoaluminum compound represented by the general formula R23Al (wherein, R2 is a branched hydrocarbon group of 3 to 8 carbon atoms, wherein the R2 can be the same or different).
US08410227B2 Fluid polyester moulding masses
Thermoplastic molding compositions comprising A) from 10 to 99.99% by weight of at least one thermoplastic polyester, B) from 0.01 to 50% by weight of a hyperbranched polycarbonate having an OH number of from 1 to 600 mg KOH/g of polycarbonate (to DIN 53240, Part 2), C) from 0 to 60% by weight of other additives, where the total of the percentages by weight of components A) to C) is 100%.
US08410226B2 Two-step process for brominating butadiene copolymers
Butadiene copolymers are brominated using certain quaternary ammonium tribromides as the brominating agent in a first step, and then with elemental bromine in a second bromination step. The bromination process proceeds easily under mild conditions, and produces a brominated product that has excellent thermal stability. The bromination proceeds to high conversions in shorter reaction times, when the bromination is performed using only the first bromination step.
US08410224B2 Method for producing modified conjugated diene based (co)polymer, modified conjugated diene based (co)polymer, and rubber composition
The method for producing a modified conjugated diene based (co)polymer, according to the present invention comprises (a) obtaining a primarily modified polymer by carrying out a primary modification reaction wherein at least one member selected from the group consisting of an alkoxysilane compound and a silanol compound is introduced into the active alkali metal terminal of a conjugated diene based (co)polymer having an active alkali metal terminal, and (b) obtaining a secondarily modified polymer (a modified conjugated diene based (co)polymer) by subjecting the above-obtained primarily modified polymer to reactions comprising (b1) and (b2). (b1): a reaction with a condensation accelerator comprising a metal element. (b2): a reaction with at least one member selected from the group consisting of inorganic acids and metal halides.
US08410223B2 Production method for water-absorbing resin
There is provided a production method for a water-absorbing resin for stably and continuously producing the water-absorbing resin with industrially high property, in particular, the water-absorbing resin with high liquid permeation. A method of the present invention relates to a production method for a water-absorbing resin including: a polymerization step of an aqueous solution of an unsaturated monomer; a drying step of the resultant hydrogel polymer; and a surface cross-linking step after drying; characterized by including a pneumatic transportation step for pneumatic transporting the water-absorbing resin powder substance in a pipeline with a surface roughness (Rz) of the inner surface of equal to or smaller than 800 nm, by using gas with a dew point of −5° C. to −100° C.
US08410219B2 Method for increasing melt flow of a bimodal ionomer composition
Provided is a method for increasing the melt flow of a composition comprising a mixture of high molecular weight carboxylate functionalized ethylene copolymers (Mw between 80,000 and 500,000 Da) with low molecular weight carboxylate functionalized ethylene copolymers (Mw between 2,000 and 30,000 Da), wherein the carboxylic acid groups are at least partially neutralized to form salts containing zinc cations. The method comprises melt mixing the composition with ionomers containing cations other than zinc. Also disclosed are trimodal compositions comprising high molecular weight terpolymers, high molecular weight dipolymers and low molecular weight dipolymers wherein the carboxylic acid groups are at least partially neutralized to salts containing zinc cations and non-zinc cations. The compositions are used in films, multilayer structures and other articles of manufacture.
US08410218B2 Pressure-sensitive adhesive composition having improved initial tack
The present invention is directed to providing a pressure-sensitive adhesive with good initial tack and good adhesion at low temperature. Generally, the pressure-sensitive adhesive composition comprises (1) a carboxylic group-containing (meth)acrylic tacky polymer having a weight average molecular weight of less than 800,000 and a glass transition temperature of −100° C. to −30° C., and (2) an amino group-containing (meth)acrylic non-tacky polymer having a weight average molecular weight of from 30,000 to 100,000 and a glass transition temperature of 20° C. to 90° C. The amino group-containing (meth)acrylic non-tacky polymer (2) is present in an amount of no less than 1 parts by mass and less than 20 parts by mass on a basis of 100 parts by mass of said carboxylic group-containing (meth)acrylic tacky polymer (1).
US08410213B2 Primerless two-part polyurethane adhesive
A two-part polyurethane adhesive comprising a prepolymer having the reaction product of a non-ionic surfactant and an allophanate modified isocyanate and a curative having a urethane modified high molecular weight polyol, a urethane modified cross-linking compound and a non-urethane modified low molecular weight chain extending compound. The curative has less than 5 equivalent percent total amino and hydroxyl groups having an equivalent weight greater than about 200. The two-part polyurethane adhesive may be used to adhere one or more substrates and can generally be applied without primer or any significant surface preparation.
US08410210B2 Fluorine-containing novolac resin, fluorine-containing surfactant, fluorine-containing surfactant composition, and resin composition
A fluorine-containing novolac resin represented by the following formula, as well as a fluorine based surfactant and a fluorine based surfactant composition, which include the fluorine-containing novolac resin. In the formula, R represents a fluorinated alkyl-containing substituent, R′ represents a hydrogen atom or a methyl group, R″ represents a hydrogen atom or a non-fluorinated substituent, —X— represents any one of following four types of linking groups, —X′ represents any one of three following types of substituents, n represents 0 or an integer of 1 or more, m represents an integer of 1 or more, and n+m is an integer of 2 or more.
US08410205B2 Matting agent composition containing low molecular weight polytrimethylene ether glycol
The present invention is directed to a matting agent for reducing gloss of a coating. The matting agent comprises: a) a silica component; b) a polytrimethylene ether glycol; c) one or more solvents; and d) optionally, one or more polymers. This invention is further directed to a matting agent comprising components derived from renewable resources.
US08410204B2 Hardener composition, adhesive system and method of gluing
The invention relates to a hardener composition for use in an amino resin based adhesive system, comprising an aliphatic alpha hydroxy- mono-, or di-, carboxylic acid, and polyvinyl alcohol. It also relates to an adhesive system and a method of gluing wooden materials.
US08410203B2 Phosphorus compound, method of preparing the same and flame retardant thermoplastic resin composition including the same
Disclosed herein is a phosphorus compound represented by Formula 1: wherein each R is the same or different and is independently hydrogen, C1-C6 alkyl or C6-C12 aryl.
US08410200B2 Polymeric films
A polymer composition and its use for thin film packaging applications including on a dry basis: a) from 45 to 90% by weight of starch; b) from 0.1 to 15% by weight of a water soluble polymer selected from polyvinyl alcohol, polyvinylacetate, and copolymers of ethylene and vinyl alcohol which have a melting point compatible with the molten state of the starch component; and c) from 5 to 45% by weight of one or more plasticizers having a molecular weight in the range of 50-6000, more preferably 50-2500 and more preferably still 100-400 and desirably selected from the group consisting of sorbitol, glycerol, maltitol, xylitol, mannitol, erythritol, glycerol trioleate, tributyl citrate, acetyl tri-ethyl citrate, glyceryl triacetate, 2,2,4-trimethyl-1,3-pentanediol diisobutyrate, polyethylene oxide, ethylene glycol, diethylene glycol or polyethylene glycol.
US08410198B2 Glass fiber-reinforced polyester resin composition and molded product using the same
A glass fiber-reinforced polyester resin composition including: (A) about 30 to about 80 wt % of two or more kinds of polyester resin; (B) about 20 to about 70 wt % of a vinyl-based copolymer including an acrylic-based graft copolymer, a rubber modified vinyl-based graft copolymer, a copolymer of aromatic vinyl monomers and unsaturated nitrile monomers, or a combination thereof; and (C) about 10 to about 100 parts by weight of glass fiber, based on about 100 parts by weight of the total amount of the polyester resin (A) and the vinyl-based copolymer (B), wherein the polyester resin includes polyethylene terephthalate resin having crystallinity of about 40% or more, is provided.
US08410197B2 Organic-containing particulate having elevated auto-ignition temperatures
The composition of this invention is an inorganic oxide particulate comprising at least 10% by weight organic material in which the particulate has an auto-ignition temperature which is at least 20° C. higher than the auto-ignition temperature of the particulate and organic material which does not contain antioxidant and/or inhibitor. Accordingly, a method has been developed in which one is able to elevate the auto-ignition temperature of such organic containing particulates. In a preferred embodiment the method comprises including antioxidant or inhibitor in the organic material prior to combining it with the inorganic oxide.
US08410195B1 Solvent-based dye sublimation ink composition
A solvent-based dye sublimation ink composition includes specific chemical formulations of production agents for its manufacture in several colors. The sublimation ink composition includes a vinyl chloride-vinyl acetate copolymer, a polymeric amide, 2-methoxy-1-methylethyl acetate, N-butyl acetate, butan-2-OL, petroleum distillate, disperse and/or solvent dyes, propylene glycol and one or more acetates. Once produced, the solvent-based dye sublimation ink of the present invention may be used with ink jet printers and prevents or minimizes paper cockling while exhibiting outstanding stability and high print density capabilities.
US08410194B1 Biopolymer additive
The biopolymer additive, in combination with water, functions as a soil conditioner that affects soil erosion and water infiltration. The biopolymer additive is derived from complex galactomannon-rich succulent plants. The succulent-derived (biopolymer) additive (SDA) may be used alone or in combination with polymers and copolymers of polyacrylamide (PAM). The combination of the SDA and PAM has a synergistic effect so that the resulting composition yields unexpected results and is a more effective treatment than either SDA or the PAM alone.
US08410193B2 Silane coating process for high alkali bioactive glasses
A method of preparing a ceramic-resin composite material for bone repair, including preparing a predetermined amount of pH controlled substantially anhydrous coupling agent by mixing a liquid silane material, alcohol and organic acid, producing particulate bioactive glass having a desired particle size of less than about 53 mesh, measuring a desired quantity of the particulate bioactive glass into a mixing vessel, heating the mixing vessel and particulate bioactive glass to about 100 degrees Celsius, mixing the heated quantity of particulate bioactive glass and spraying a predetermined amount of substantially anhydrous coupling agent into the heated quantity of particulate bioactive glass to define an admixture, mixing the admixture for sufficient time to define a quantity of substantially evenly coated particles, heating the quantity of substantially evenly coated particles for sufficient time to evolve excess solvent therefrom, and incorporating the quantity of substantially evenly coated particles into a resinous matrix to define a bone replacement medium.
US08410191B2 Thermochromic material for dental impression and method
The present invention relates to a thermochromic material for dental impression, capable of reversibly changing color depending on the temperature at which said material is found, a method for its preparation and the use thereof. Said material includes a bicomponent silicone composition in combination with at least a thermochromic pigment.
US08410190B2 Wettable hydrogel materials for use in ophthalmic applications and methods
A contact lens is provided that has extended and rechargeable wettability. The contact lens includes a lens body that is a reaction product of a polymerizable composition. A first polyhydric alcohol having a 1,2 diol or 1,3 diol moiety is present on a lens surface of the lens body. A contact lens package including the contact lens, and methods of producing and using the contact lens, are also provided.
US08410187B2 Polyvinylidene fluoride resin expanded beads, and molded articles of polyvinylidene fluoride resin expanded beads
Provided are polyvinylidene fluoride resin expanded beads which can be molded by in-mold molding and thus stably provide, without impairing excellent characteristics inherent in polyvinylidene fluoride resin, molded articles having excellent mechanical properties. Polyvinylidene fluoride resin expanded beads, characterized in that when 1 to 3 mg of the expanded beads are subjected to heat-flux type differential scanning calorimetry (DSC) wherein the beads are heated from 25° C. to 200° C. at a temperature rise rate of 10° C./min, the obtained DSC curve (of first heating) has both an inherent endothermic peak which is inherent in polyvinylidene fluoride resin and one or more higher-temperature endothermic peaks which appear on the higher-temperature side of the inherent endothermic peak, the quantity of heat of melting of the higher-temperature endothermic peaks being at least 0.5 J/g.
US08410180B2 Methods to treat urinary incontinence
A method is disclosed for treatment of urinary incontinence. The method includes the steps of providing to a person or animal, in the vicinity of a pubo-urethral ligament of the person or animal, a composition including collagen macromolecules that have hydroxyphenyl side groups substituted thereon, which are reacted to form dihydroxyphenyl linkages. In an embodiment, the collagen macromolecules are gelatin macromolecules. In another embodiment, the hydroxyphenyl side groups are tyramine side groups and the dihydroxyphenyl linkages are dityramine linkages. The composition can be injected into a space between a urethra and a pubis of the person or animal wherein the pubo-urethral ligament is disposed in the space. The method is advantageous, for example, based on being minimally invasive.
US08410179B2 Cis, 3,4-dihydroxy-2-(3-methylbutanoyl)-5-(3-methylbutyl)-4-(4-methylpentanoyl)cyclopent-2-en-1-derivatives, substantially enantiomerically pure compositions and methods
The present application provides cis 3,4-dihydroxy-2-(3-methylbutanoyl)-5-(3-methylbutyl)-4-(4-methylpentanoyl)cyclopent-2-en-1-one derivatives and substantially enantiomerically pure compositions thereof. These derivatives include (+)-(4S,5R)-3,4-dihydroxy-2-(3-methylbutanoyl)-5-(3-methylbutyl)-4-(4-methylpentanoyl)cyclopent-2-en-1-one, (−)-(4R,5S)-3,4-dihydroxy-2-(3-methylbutanoyl)-5-(3-methylbutyl)-4-(4-methylpentanoyl)cyclopent-2-en-1-one, and salts and crystals thereof. The application further provides methods of using the disclosed compounds and compositions to activate PPARγ, activate GPR120, inhibit inflammation, and treat conditions responsive to PPARγ modulation, conditions responsive to GPR120 modulation, and metabolic disturbances such as diabetes.
US08410175B2 Anticancer effect enhancer
An object of the present invention is to provide an enhancing agent for effect of anticancer agent for achieving an excellent therapeutic effect on cancer. The enhancing agent for effect of anticancer agent according to the present invention which is a solving means therefor is characterized in that a nitric oxide donor is an effective ingredient. In accordance with the present invention, an excellent therapeutic effect is able to be achieved on non-small cell lung cancer which is still in such a state that no effective therapeutic method has been established yet for a progressive cancer which is not operable and is one of cancers where chemotherapy is most difficult to apply.
US08410174B2 Method for treating arthritis
The present invention relates to the use of compounds of general formula (I) as ligands to the melanocortin receptors and/or for treatment of disorders in the melanocortin system: wherein X is H or OH; R1, R2, R3, R4 and R5 are the same or different and are selected from hydrogen, halogen, alkyl having 1 to 5 carbon atoms, electron donor groups such as alkoxy having 1-5 carbon atoms or hydroxy, electron acceptor groups selected from cyano, nitro, trifluoroalkyl or amide; alkylamino, benzoyloxy, nitroxy, phenyl or sulpho; and the pharmacologically active salts thereof.
US08410166B2 Fused bicyclic compound
Fused bicyclic compound having an affinity to mineral corticoid receptor (MR), of formula [I]: wherein ring A is benzene having a substituent R1, fused to an adjacent 6-membered heterocyclic ring and further optionally having a substituent(s) other than R1, R1 is alkylsulfonylamino, etc., R2 and R3 are (a) the same of different and are hydrogen, alkyl, or optionally substituted aryl, or (b) combined with each other to form an oxo group or (c) combined with each other at its terminal together with the adjacent carbon atom to form cycloalkyl, X is ═N—, ═C(R4)— or —CH(R4)—, R4 is (a) hydrogen, (b) cyano, (c) halogen, (d) alkyl, (e) alkenyl, (f) cycloalkyl, (g) alkanoyl, (h) carbamoyl, or (i) cycloalkenyl, Ar is an optionally substituted aromatic cyclic group and a dotted line means presence or absence of a double bond, or a pharmaceutically acceptable salt thereof, useful e.g. as an antihypertensive agent.
US08410164B2 Reagent for measurement of active oxygen
A compound represented by the formula (I) (R1 represents an aryl group such as hydroxyphenyl group, R2 represents 2-carboxyphenyl group etc., and R3 and R4 represent —(CH2)p—N(R5)(R6) (R5 and R6 represent —(CH2)n—COOH), a salt thereof, or an ester thereof, which is useful as a reagent for highly sensitive measurement of reactive oxygen such as hydroxy radical present at a trace amount in living bodies or tissues by a bioimaging technique over a long period of time.
US08410163B2 Substituted 4-amino-5-benzoyl-2-(phenylamino)thiophene-3-carbonitriles and substituted 4-amino-5-benzoyl-2-(phenylamino)thiophene-3-carboxamides as tubulin polymerization inhibitors
Substituted 4-amino-5-benzoyl-2-(phenylamino)thiophene-3-carbonitriles and substituted 4-amino-5-benzoyl-2-(phenylamino)thiophene-3-carboxamides and their salts are tubulin polymerization inhibitors, useful in the treatment of cancer.
US08410162B2 Choline esters
Compounds, formulations, and methods are provided containing the choline ester of a reducing agent, especially lipoic acid or derivatives thereof. The compounds may be administered via a topical ocular route to treat or prevent oxidative damage.
US08410160B2 5-membered heterocycle-based P38 kinase inhibitors
Provided are 5-membered heterocycle-based p38 kinase inhibitors. Further provided are pyrazole and imidazole-based p38 kinase, including p38α, and p38β kinase, inhibitors. Pharmaceutical compositions containing the compounds are also provided. Methods of use of the compounds and compositions are also provided, including methods of treatment, prevention, or amelioration of one or more symptoms of p38 kinase mediated diseases and disorders, including, but not limited to, inflammatory diseases and disorders.
US08410154B2 Tetrazole compounds for reducing uric acid
Uric acid in mammalian subjects is reduced and excretion of uric acid is increased by administering a compound of Formula I. The uric acid-lowering effects of the compounds of this invention are used to treat or prevent a variety of conditions including gout, hyperuricemia, elevated levels of uric acid that do not meet the levels customarily justifying a diagnosis of hyperuricemia, renal dysfunction, kidney stones, cardiovascular disease, risk for developing cardiovascular disease, tumor-lysis syndrome, cognitive impairment, early-onset essential hypertension, and Plasmodium falciparum-induced inflammation. In Formula 1, x is 1 or 2: y is O, 1, 2 or 3; and R1 is selected from the group consisting of hydrogen, alkyl having 1 or 2 carbon atoms, hydroxy, alkoxy having 1 or 2 carbon atoms, fluoro, chloro, bromo, and amino. A is phenyl unsubstituted or substituted by one, two or three groups selected from the group consisting of halo, alkyl having 1 or 2 carbon atoms, perfluoromethyL alkoxy having 1 or 2 carbon atoms, and perfluoromethoxy; or cycloalkyl having from 3 to 6 ring atoms wherein the cycloalky! is unsubstituted or one one two ring carbons are independently mono-substituted by methyl or ethyl; or a 5 or 6 membered heleraromatic ring having 1 or 2 ring heteroatoms selected from N, S and O and the heteroaromatic ring is covalently bound to the remainder of the compound by a ring carbon.
US08410151B2 Aminomethyl benzene derivatives
The invention relates to novel aminomethyl benzene derivatives, their preparation and their use as pharmaceutically active compounds. Said compounds particularly act as immunomodulating agents.
US08410146B2 2-pyridyl substituted imidazoles as ALK5 and/or ALK4 inhibitors
2-pyridyl substituted imidazoles of the formula (I) as shown in claim 1, are provided, which are useful in the treatment of diseases mediated by ALK5 or ALK4 inhibitors or both.
US08410143B2 Methylene urea derivatives
The present invention relates to methylene urea derivatives of formula (I), the use of the compounds of formula (I) as inhibitors of raf-kinase, the use of the compounds of formula (I) for the manufacture of a pharmaceutical composition and a method of treatment, comprising administering said pharmaceutical composition to a patient.
US08410137B2 Thiophene-2-carboxamide derivatives, preparation thereof and therapeutic use thereof
The subject matter of the invention is compounds corresponding to formula (I), in which: R1 and R2, together with the nitrogen atom to which they are attached, constitute a saturated heterocyclic radical containing from 4 to 7 atoms, which is preferably substituted; one of the two substituents R3 and R6 is a group Y-A-R9; Y is an oxygen atom or an —S(O)n′—, or —OSO2 group; A is an unsubstituted (C1-C4) alkylene group; R9 is an —OR19, —CH3, —NR19R20, —CONR19R20, —NR15COR19, —S(O)nR21, or —NR13SO2R21 group; —R10 is a hydrogen atom or a (C1-C4) alkyl group. The present invention also relates to the methods of preparation and the therapeutic uses of the compounds of formula (I).
US08410131B2 Cancer treatment
Rapamycin derivatives have interesting effects in the treatment of solid tumors, optionally in combination with a chemotherapeutic agent.
US08410128B2 Triazolopyridine compounds useful as kinase inhibitors
A compound of Formula (I) and enantiomers, diastereomers and pharmaceutically-acceptable salts thereof. Also disclosed are pharmaceutical compositions containing compounds of Formula (I), and methods of treating conditions associated with the activity of p38 kinase.
US08410127B2 Substituted tetrazol-1-yl-phenoxymethyl-thiazol-2-yl-piperidinyl-pyrimidine salts
Crystalline salts of 5-ethyl-2-{4-[4-(4-tetrazol-1-yl-phenoxymethyl)-thiazol-2-yl]-piperidin-1-yl}-pyrimidine, compositions thereof, methods for their preparation, and methods for their use are disclosed.
US08410125B2 Sulfonated precursors of thymidine for the treatment of epithelial hyperplasias
This invention relates to pharmaceutical compositions comprising a sulfonated biological precursor of thymidine, such as a precursor of 4-thiothymidine (4-TT), and their use in the photodynamic treatment of skin hyperplasias, including cancer, psoriasis, actinic keratosis and keloids, by topical or systemic administration.
US08410122B2 Bicyclic heterocycle derivatives and methods of use thereof
The present invention relates to Bicyclic Heterocycle Derivatives, compositions comprising a Bicyclic Heterocycle Derivative, and methods of using the Bicyclic Heterocycle Derivatives for treating or preventing obesity, diabetes, a metabolic disorder, a cardiovascular disease or a disorder related to the activity of a GPCR in a patient.
US08410120B2 Composition and method for treating or preventing skeletal muscle fibrosis
A compound in combination with a pharmaceutically acceptable carrier, the compound having a formula: wherein: R1 is a member of the group consisting of hydrogen, halogen, nitro, benzo, lower alkyl, phenyl, and lower alkoxy; R2 is a member of the group consisting of hydroxy, acetoxy, and lower alkoxy; and R3 is a member of the group consisting of hydrogen and lower alkenoxy-carbonyl; and n is either 1 or 2; and pharmaceutically acceptable salts thereof; for use in treatment of or prevention of skeletal muscle fibrosis and/or for inducing skeletal muscle regeneration.
US08410119B2 Fused-aryl and heteroaryl derivatives as modulators of metabolism and the prophylaxis and treatment of disorders related thereto
The present invention relates to certain fused aryl and heteroaryl derivatives of Formula (I) that are modulators of metabolism. Accordingly, compounds of the present invention are useful in the prophylaxis or treatment of metabolic disorders and complications thereof, such as, diabetes and obesity.
US08410116B2 Bis(styryl)pyrimidine or bis(styryl)benzene compounds, pharmaceutically acceptable salts thereof, preparation method thereof, and pharmaceutical composition for prevention or treatment of diseases featuring amyloids comprising the same as an active ingredient
Disclosed are bis(styryl)pyrimidine or bis(styryl)benzene compounds, represented by Chemical Formula 1, pharmaceutically acceptable salts, a method for preparing the same, and a pharmaceutical composition for the prevention and treatment of amyloidosis-associated diseases, comprising the same as an active ingredient. Having the ability to inhibit the deposition of beta amyloid and to reduce the toxicity of beta amyloid, the derivatives can improve learning and memory and can be useful in the prevention and treatment of amyloidosis-associated diseases. (wherein R1, R2, R3 and X are as defined in the specification).
US08410111B2 Hexahydro-1H-4,7-methanoisoindole-1,3-dione compounds
The present invention is directed to therapeutic agents which are atypical antipsychotics and which are useful in the treatment of neurological and psychiatric disorders associated with dopamine D2 and serotonin 5-HT2A neurotransmission dysfunction.
US08410108B2 Certain chemical entities, compositions and methods
Certain substituted urea derivatives selectively modulate the cardiac sarcomere, for example by potentiating cardiac myosin, and are useful in the treatment of systolic heart failure including congestive heart failure.
US08410105B2 Pyridazinyl amine derivatives, the use thereof in the preparation of picorna virus inhibitors
The present invention relates to substituted pyridazinylamine derivatives of the formula I or pharmaceutically acceptable salts or hydrates thereof, wherein the substituents are defined as in the description, their preparation process, pharmaceutical compositions comprising them, and uses of the said compounds as picorna virus inhibitors for prevention and/or treatment of diseases caused by picorna viruses.
US08410102B2 Methods and compositions for treating or preventing erythema
Methods and products for treating or preventing erythema or a symptom associated with erythema in a subject are described. The methods involve topically applying to an affected skin area a topical aqueous gel composition comprising about 0.01% to about 10% by weight of at least one α-adrenergic receptor agonist and a pharmaceutically acceptable carrier.
US08410101B2 Use of tetrahydrobiopterin as a marker and a therapeutic agent for fabry disease
Blood and tissue markers of the metabolic status, risk of health complications in Fabry disease patients and as a biomarker to follow the efficacy of treatment in animal models and patients with Fabry disease comprising tetrahydrobiopterin (BH4), precursors and metabolites of BH4, and other related co-factors is disclosed herein. The present invention further describes the use of BH4 therapy as a treatment option for Fabry disease to prevent, slow or reverses vascular cardiac and renal manifestations of Fabry disease.
US08410098B2 N-{1-[3-(2-ethoxy-5-(4-ethylpiperazinyl)sulfonylphenyl)-4,5-dihydro-5-OXO-1,2,4-triazin-6-yl]ethyl}butyramide, the preparation method and use thereof
Disclosed are N-{1-[3-(2-ethoxy-5-(4-ethylpiperazinyl)sulfonylphenyl)-4,5-dihydro-5-oxo-1,2,4-triazin-6-yl]ethyl}butyramide (which is represented by formula III and utilized for preparing vardenafil), its preparation method, intermediates during preparation procedure, preparation method for such intermediates and a method for preparing vardenafil from the compound. In the method for preparing vardenafil, a chloro-sulfonation reaction carries out in the early stage of the preparation procedure
US08410097B2 Heteropyrrole analogs acting on cannabinoid receptors
Disclosed are biologically active hetero pyrrole analogs such as imidazoles, thiazoles, oxazoles and pyrazoles capable of interacting with the CB1 and/or the CB2 cannabinoid receptors. One aspect discloses hetero pyrrole analogs acting as antagonists for the CB1 and/or the CB2 receptors. Another aspect discloses hetero pyrrole analogs having selectivity for the CB1 or CB2 cannabinoid receptor. Also disclosed are pharmaceutical preparations employing the disclosed analogs and methods of administering therapeutically effective amounts of the disclosed analogs to provide a physiological effect.
US08410095B2 Thiazolopyrimidinone derivatives as PI3 kinase inhibitors
This invention relates to novel compounds of formula (I): and derivatives thereof useful for the modulation, notably the inhibition of the activity or function of the phosphoinositide 3′ OH kinase family (hereinafter PI3 kinases), suitably, PI3Kα, PI3Kδ, PI3Kβ, and/or PI3Kγ.
US08410094B2 Amide compounds, their pharmaceutical compositions, their preparation method and their uses
The present invention pertains to the field of pharmaceutical chemistry and discloses 8-(3-aminopropyl)-3-exo-8-azabicyclo[3.2.1]octane-3-amino amide compounds represented by formula I, the pharmaceutical compositions, the preparation method and the use thereof. Such compounds or pharmaceutically acceptable salts thereof can be used as an antagonist of CCR5 in preparing medicaments for treating diseases mediated by CCR5, particularly HIV infection, asthma, rheumatoid arthritis, autoimmune diseases and chronic obstructive pulmonary diseases (COPD).
US08410091B1 Process for preparation of substituted 2-amino-5-(5-(heterocyclemethyl)pyridin-2-yloxy)benzoic acid
The present invention is related to a process of preparing substituted 2-amino-5-(5-(heterocyclemethyl)pyridin-2-yloxy)benzoic acid compound of formula (I) or a salt thereof,
US08410090B2 Heterocyclylamide-substituted thiazoles, pyrroles and thiophenes
The invention relates to heterocyclylamide-substituted thiazoles, pyrroles and thiophenes and processes for their preparation, to pharmaceutical compositions containing them, and to their use for the treatment and/or prophylaxis of diseases, in particular for the use as antiviral agents, especially against cytomegaloviruses.
US08410086B2 Compositions and methods for lowering triglycerides
In various embodiments, the present invention provides compositions and methods for treating and/or preventing cardiovascular-related diseases in subject in need thereof.
US08410084B2 Alkylated heterocyclic reaction products useful as antioxidants
This invention relates to novel macromolecular compositions having oxidation inhibition characteristics that are exhibited when added to organic material normally susceptible to oxidative degradation in the presence of air or oxygen, such a petroleum products, synthetic polymers, and elastomeric substances.
US08410083B2 23-substituted bile acids as TGR5 modulators and methods of use thereof
The invention relates to compounds of Formula A: (A) or a pharmaceutically acceptable salt, solvate, hydrate, or prodrug thereof. The compounds of Formula A are TGR5 modulators useful for the treatment of various diseases, including obesity, insulin sensitivity, inflammation, cholestasis, and bile desaturation.
US08410082B2 Fluorinated diaryl urea derivatives
This invention relates to novel diaryl urea compounds, their derivatives, and pharmaceutically acceptable salts thereof. This invention also provides compositions comprising a compound of this invention and the use of such compositions in methods of treating diseases and conditions that are beneficially treated by administering an inhibitor of multiple kinases.
US08410076B2 Cationized hyaluronic acid and/or salt thereof, method of producing the same, hair modifying agent, cuticle repairing agent, skin modifying agent, and cosmetic preparation each using the same
A cationized hyaluronic acid and/or a salt thereof includes a quaternary ammonium group-containing group, and has a degree of cationization of 0.15 to 0.6.
US08410074B2 Modulation of eIF4E expression
Oligomeric compounds, compositions and methods are provided for modulating the expression of eIF4E. The antisense compounds may be single- or double-stranded and are targeted to nucleic acid encoding eIF4E. Methods of using these compounds for modulation of eIF4E expression and for diagnosis and treatment of diseases and conditions associated with expression of eIF4E are provided.
US08410067B2 Inhibition of versican with siRNA and other molecules
The present invention provides methods of treating disease or condition in a subject in need thereof, comprising administering the subject a versican inhibitor in an amount effective to treat the disease or condition. Example diseases or conditions include, but are not limited to, fibrotic disease such as fibrotic lung disease, restenosis such as arterial restenosis, atherosclerosis, cancer, and inflammatory disease. Compounds and compositions for carrying out such a method are also described.
US08410064B2 Classical cannabinoid metabolites and methods of use thereof
The present invention encompasses classical cannabinoid metabolites and uses thereof.
US08410060B2 Soluble tumor necrosis factor receptor treatment of medical disorders
The invention pertains to methods and compositions for treating medical disorders characterized by elevated levels or abnormal expression of TNFα by administering a TNFα antagonist, such as recombinant TNFR:Fc.
US08410057B2 Method of inducing T cell apoptosis by administering Altered Peptide Ligand
The present invention is related with the field of the medicine, particularly with the use of an APL peptide or its analogues derived from the human heat shock protein of 60 kDa, for the manufacture of a pharmaceutical composition for the treatment of Crohn's disease, ulcerative colitis and diabetes mellitus type 1. This peptide is biodistributed into the gastrointestinal tract and also promotes the induction of apoptosis in activated intestinal lamina propria and peripheral blood T cells of patients with Crohn's disease. Furthermore, this peptide induces apoptosis in mononuclear cells of patients with diabetes mellitus type 1.
US08410056B2 Pro-oxidant anti-cancer compounds
This invention relates to anti-cancer compounds and to methods for treating or preventing cancer. In particular, the invention concerns pro-oxidant anti-cancer compounds, such as pro-oxidant forms of vitamin E that selectively interact with complex II of the mitochondrial respiratory chain of cancerous cells, generate reactive oxygen species and induce apoptosis of those cells.
US08410055B2 Skin wound healing compositions and methods of use thereof
A wound healing composition comprising an amount of heat shock protein effective to promote wound healing and a method thereof to apply the composition. A preferred heat shock protein is either full-length hsp90α or the middle domain plus the charged sequence of hsp90α. The composition is topically applied to skin wounds, covering the outer surface of the wound. The heat shock protein acts by promoting migration of both human epidermal keratinocyte and dermal fibroblasts to the wound in order to close, heal, and remodel the wound.
US08410050B2 Method for achieving desired glial growth factor 2 plasma levels
The present invention relates to administering glial growth factor 2 (GGF2) to a patient in need thereof, to achieve serum levels of GGF2 within a desired therapeutic window determined based on the disease or disorder afflicting the patient. In a particular embodiment, the patient is suffering from a disease or disorder associated with reduced levels of myelination and the GGF2 is administered to promote myelination in the patient.
US08410042B2 Odorants with anisic notes
The present invention concerns the use as perfuming ingredients of para-substituted derivatives of α-methyl cinnamic alcohol of formula (I) in the form of any one of its stereoisomers or a mixture thereof, and wherein R represents a hydrogen atom, a C1-4 alkyl or alkenyl group or a formyl or acetyl group; R1 represents a hydrogen atom or a methyl group; R2 represents a methyl, ethyl or methoxy group; and R3 represents a CH2 group or a carbon-carbon double bond. The present invention concerns the use of said compound in the perfumery industry as well as the compositions or articles containing said compound.
US08410040B2 Azeotrope-like compositions of 1,1,1,2,3-pentachloropropane and hydrogen fluoride
An azeotrope-like composition consisting essentially of 1,1,1,2,3-pentachloropropane and hydrogen fluoride is provided, as well as methods that involve such an azeotrope-like composition.
US08410039B2 Azeotropic and azeotrope-like compositions of methyl perfluoroheptene ethers and trans-1,2-dichloroethylene and uses thereof
The present disclosure provides azeotropic and azeotrope-like compositions comprised of methylperfluoroheptene ethers and trans-1,2-dichloroethylene. The present disclosure also provides for methods of use for the azeotropic and azeotrope-like compositions.
US08410038B2 Acidic cleaning compositions comprising a polymer
The present invention is directed, in certain embodiments, to compositions useful for the removal of grease, lime scale, soap scum, feces, rust or other soils from surfaces such as those found in bathrooms, toilets and kitchens. Methods of removing soils and preventing soil adhesion are also contemplated.
US08410035B2 Viscosity modifier of lubricating oil for power transmission system and lubricating oil composition for power transmission system
A lubricating oil composition for a power transmission system, which is excellent in both of low-temperature viscosity property and shear stability, is provided. The viscosity modifier of a lubricating oil for a power transmission system according to the present invention comprises an ethylene/α-olefin copolymer (B) having the properties: (B1) an ethylene content in the range of 70 to 85% by mol, (B2) an intrinsic viscosity [η] in the range of 0.2 to 1.0 dl/g, (B3) Mw/Mn not more than 2.4, and (B4) a melting point, as measured by DSC, not higher than 60° C., or no melting point observed.
US08410034B2 Ether composition
Provided is an ether composition containing at least two ether compounds each of which has from 1 to 4 groups represented by formula (X) and from 0 to 3 groups represented by formula (Z), where the total number of groups represented by formula (X) and (Z) is 4: HO—(CH2CH2O)a.(CH2CH(OH)CH2O)b-Q-  (X), CF3(CF2)sO(CF2CF2O)g—  (Z), where a, b, s, g and Q are defined herein. The ratio (CF3/(OH+CF3)) of the total molar amount of CF3 groups in the groups represented by formula (Z) to the sum of the total molar amount of CF3 groups in the groups represented by formula (Z) and the total molar amount of OH groups in the groups represented by formula (X) is from 0.01 to 0.5.
US08410030B2 Alkali metal borate and lubricating compositions thereof
The present invention relates to a lubricating composition containing an alkali metal borate. The invention further provides for a method of lubricating a device with grease or a metalworking fluid by employing the lubricating composition containing the alkali metal borate.
US08410029B2 Surface-oxide abrasion-resistant lubricant coating and method for forming the same
The present invention provides a surface-oxide abrasion-resistant lubricant coating that can maintain high lubricity for a long time without wear of a base material and a coating or damage to an object to be contacted by a simpler method and with less expensive material. A mixed fluid of a compressed gas and fine-particle powders of two soft metals having lower hardness and lower melting point than the base material of a sliding contact portion is ejected onto a surface of the sliding contact portion. The fine-particle powders of the soft metals are made to react with oxygen in the compressed gas at the surface of the sliding contact portion to form a metal-oxide film with high melting point composed of metal oxides of the two soft metals, one of the metal oxides having higher hardness than the other. This metal-oxide film with high melting point includes a coating having a thickness of 0.1 μm to 2 μm at an interface toward an object to be contacted, that is composed of the metal oxides, that has low friction resistance and low shear resistance, and shear fractures concentrated the coating thereto.
US08410028B2 Methods for synthesis of encoded libraries
The present invention provides a method of synthesizing libraries of molecules which include an encoding oligonucleotide tag.
US08410023B2 Compositions and methods for controlling nematodes
Compositions and processes for controlling nematodes are described herein, e.g., nematodes that infest plants or animals. The compounds include oxazoles, oxadiazoles and thiadiazoles.
US08410021B2 Compositions for the control of plant pests
The present invention provides a composition comprising synergistic amounts of a compound of the formula (I) wherein X, E, R, A and Z are as defined herein, and at least one fungicidal active compound as defined herein. The compositions of the present invention find use as pesticides.
US08410017B2 Filter aids made from low permeability diatomites
Disclosed herein are diatomite filter aid products with enhanced permeabilities and flow characteristics, and methods for enhancing the permeabilities and flow characteristics of very fine grain, low permeability diatomite ore by, in one embodiment, pre-agglomerating the ore in the presence of less than 10% water and then subjecting the pre-agglomerated ore to at least one calcination process. At least one flux may be used in the at least one calcination process. Also disclosed herein is a method of processing diatomite ore suitable for samples with high wet densities or large amounts of fine particulate matter. Also disclosed herein are methods of producing commercially applicable filter aid products from Hungarian diatomite.
US08410015B2 Process for liquid phase hydrogenation
A process and catalyst for the liquid phase selective hydrogenation of alkynes to alkenes with high selectivity to alkenes relative to alkanes, high alkyne conversion, and sustained catalytic activity comprising a reactant comprising an alkyne and a non-hydrocarbon solvent/absorbent, contacting the reactant stream with a hydrogen-containing stream in the presence of a supported, promoted, Group VIII catalyst, removing the solvent/absorbent, and recovering the alkene product.
US08410012B2 Catalyst composition, method for fabricating the same and fuel cell including the same
The present invention relates to a catalyst composition, a method for fabricating the same and a fuel cell including the same. The catalyst composition provided by the present invention includes: a catalyst carrier; and a metal solid solution, disposed on the surface of the catalyst carrier, in which the metal solid solution includes palladium and a second metal, and the second metal is selected from the group consisting of gold, platinum, ruthenium, nickel, silver and manganese. Accordingly, the catalyst composition provided by the present invention can exhibit excellent catalytic characteristics, and can be applied in a fuel cell to enhance the electrochemical properties and stability of the fuel cell.
US08410011B2 Fibrous catalyst, its preparation and use thereof
The present invention relates to novel methods for preparing fibrous catalysts, to fibrous catalysts, to catalysts systems and to uses of the fibrous catalysts in the treatment of a waste stream. The method comprising the steps of: (i) treating a fabric comprising polyacrylonitrile fibers with a hydrazine salt and a hydroxylamine salt in the presence of a base to provide a modified fabric; (ii) treating the modified fabric with a base; and (iii) treating the modified fabric with an aqueous solution comprising a salt of a transition metal cation and a salt of a non-transition metal cation. The transition metal cation is selected from a scandium, titanium, vanadium, chromium, manganese, iron, cobalt, nickel and copper cation, and mixtures thereof; the non-transition metal cation is selected from a calcium, magnesium, lithium and zinc cation, and mixtures thereof.
US08410010B2 Process for the production of polyol base catalysts
The present disclosure relates to a process for the production of a base complex catalyst comprising reacting a hydroxide base with a polyalcohol, under vacuum pressure, at a temperature in the range of about 60° C. to about 220° C., wherein the mole ratio of the hydroxide base to the polyalcohol is greater than about 2:1.
US08410009B2 Ceramic member, probe holder, and method for manufacturing ceramic member
Provided are a ceramic member being a sintered body including at least forsterite and boron nitride as major components, and in which the boron nitride is oriented in one direction, a probe holder formed by using the ceramic member, and a method for manufacturing the ceramic member. In the ceramic member, the index of orientation preference is equal to or lower than 0.07, and the coefficient of thermal expansion at 20 to 300° C. in a direction parallel to the direction of orientation is (3 to 5)×10−6/° C., or the three-point bending strength based on JIS R 1601 is equal to or higher than 250 MPa.
US08410008B2 Optical glass
An optical glass that is an oxide glass having a very high refractive index in spite of its low-dispersion property, having excellent glass stability and having less susceptibility to coloring.
US08410003B2 Method of manufacturing semiconductor device, method of processing substrate, and substrate processing apparatus
A method of manufacturing a semiconductor device includes forming a layer containing a predetermined element on a substrate by supplying a source gas containing the predetermined element into a process vessel and exhausting the source gas from the process vessel to cause a chemical vapor deposition (CVD) reaction. A nitrogen-containing gas is supplied into the process vessel and then exhausted, changing the layer containing the predetermined element into a nitride layer. This process is repeated to form a nitride film on the substrate. The process vessel is purged by supplying an inert gas into the process vessel and exhausting the inert gas from the process vessel between forming the layer containing the predetermined element and changing the layer containing the predetermined element into the nitride layer.
US08409998B2 Method of manufacturing vertical-cavity surface emitting laser
According to a method of manufacturing a vertical-cavity surface-emitting semiconductor laser element in accordance with the present invention, a process of wet etching is performed for a part that is oxidized in a layer of an AlGaAs (42) which configures a layer having an index of refraction as lower and in which a composition of aluminum is designed to be as higher comparing to the other pairs of layers in a DBR mirror at an upper side that are formed at an inner side of a mesa post (38). And then a process of filling up again is performed with making use of a layer of polyimide (26). Moreover, an etchant that includes such as a hydrofluoric acid or a buffered hydrofluoric acid or an aqueous ammonia or the like is made use in order to perform such the process of wet etching.
US08409997B2 Apparatus and method for controlling silicon nitride etching tank
A method and system for controlling a silicon nitride etching bath provides the etching bath including phosphoric acid heated to an elevated temperature. The concentration of silicon in the phosphoric acid is controlled to maintain a desired level associated with a desired silicon nitride/silicon oxide etch selectivity. Silicon concentration is measured while the silicon remains in soluble form and prior to silica precipitation. Responsive to the measuring, fresh heated phosphoric acid is added to the etching bath when necessary to maintain the desired concentration and silicon nitride:silicon oxide etch selectivity and prevent silica precipitation. The addition of fresh heated phosphoric acid enables the etching bath to remain at a steady state temperature. Atomic absorption spectroscopy may be used to monitor the silicon concentration which may be obtained by diluting a sample of phosphoric acid with cold deionized water and measuring before silica precipitation occurs.
US08409992B2 Method for producing a polished semiconductor wafer
A polished semiconductor wafer of high flatness is produced by the following ordered steps: slicing a semiconductor wafer from a rod composed of semiconductor material, material-removal processing of at least one side of the semiconductor wafer, and polishing of at least one side of the semiconductor wafer, wherein the semiconductor wafer has, after the material-removing processing and before the polishing on at least one side to be polished, along its margin, a ring-shaped local elevation having a maximum height of at least 0.1 μm, wherein the local elevation reaches its maximum height within a 10 mm wide ring lying at the edge of the semiconductor wafer.
US08409990B2 Chemical-mechanical polishing compositions and methods of making and using the same
The present invention provides an aqueous CMP slurry composition that includes abrasive particles and from about 0.01% to the limit of solubility in water of a compound according to Formula (I): wherein only one of R1, R2, R3, R4 and R5 is a hydroxyl group (—OH), only one of R1, R2, R3, R4 and R5 is a methoxy group (—OCH3), and the three of R1, R2, R3, R4 and R5 that are not either a hydroxyl group (—OH) or a methoxy group (—OCH3) are hydrogen atoms (—H).
US08409989B2 Structure and method to fabricate a body contact
A structure and method to fabricate a body contact on a transistor is disclosed. The method comprises forming a semiconductor structure with a transistor on a handle wafer. The structure is then inverted, and the handle wafer is removed. A silicided body contact is then formed on the transistor in the inverted position. The body contact may be connected to neighboring vias to connect the body contact to other structures or levels to form an integrated circuit.
US08409988B2 Method of manufacturing semiconductor device and substrate processing apparatus
Provided are a method of manufacturing a semiconductor device and a substrate processing apparatus capable of improving defects of conventional CVD and ALD methods, satisfying requirements of film-thinning, and realizing high film-forming rate. The method includes forming a first layer including a first element being able to become solid state by itself on a substrate by supplying a gas containing the first element into a process vessel in which the substrate is accommodated under a condition that a CVD reaction occurs, and forming a second layer including the first element and a second element being unable to become solid state by itself by supplying a gas containing the second element into the process vessel to modify the first layer, wherein a cycle including the forming of the first layer and the forming of the second layer is performed at least once to form a thin film including the first and second elements and having a predetermined thickness.
US08409985B2 Methods for growing low-resistivity tungsten for high aspect ratio and small features
The present invention addresses this need by providing methods for depositing low resistivity tungsten films in small features and features having high aspect ratios. The methods involve depositing very thin tungsten nucleation layers by pulsed nucleation layer (PNL) processes and then using chemical vapor deposition (CVD) to deposit a tungsten layer to fill the feature. Depositing the tungsten nucleation layer involves exposing the substrate to alternating pulses of a boron-containing reducing agent and a tungsten-containing precursor without using any hydrogen gas, e.g., as a carrier or background gas. Using this process, a conformal tungsten nucleation layer can be deposited to a thickness as small as about 10 Angstroms. The feature may then be wholly or partially filled with tungsten by a hydrogen reduction chemical vapor deposition process. Resistivities of about 14 μΩ-cm for a 500 Angstrom film may be obtained.
US08409979B2 Semiconductor device and method of forming interconnect structure with conductive pads having expanded interconnect surface area for enhanced interconnection properties
A semiconductor device has a substrate and first conductive pads formed over the substrate. An interconnect surface area of the first conductive pads is expanded by forming a plurality of recesses into the first conductive pads. The recesses can be an arrangement of concentric rings, arrangement of circular recesses, or arrangement of parallel linear trenches. Alternatively, the interconnect surface area of the first conductive pads is expanded by forming a second conductive pad over the first conductive pad. A semiconductor die has a plurality of interconnect structures formed over a surface of the semiconductor die. The semiconductor die is mounted to the substrate with the interconnect structures contacting the expanded interconnect surface area of the first conductive pads to increase bonding strength of the interconnect structure to the first conductive pads. A mold underfill material is deposited between the semiconductor die and substrate.
US08409975B1 Method for decreasing polysilicon gate resistance in a carbon co-implantation process
A method for decreasing polysilicon gate resistance in a carbon co-implantation process which includes: depositing a first salicide block layer on a formed gate of a MOS device and etching it to form a first spacer of a side surface of the gate of the MOS device; performing a P-type heavily doped boron implantation process and a thermal annealing treatment, so as to decrease the resistance of the polysilicon gate; removing said first spacer, performing a lightly doped drain process, and performing a carbon co-implantation process at the same time, so as to form ultra-shallow junctions at the interfaces between a substrate and source region and drain region below the gate; re-depositing a second salicide block layer on the gate and etching the mask to form a second spacer; forming a self-aligned silicide on the surface of the MOS device. The invention can decrease the resistance of the P-type polysilicon gate.
US08409966B2 Method for manufacturing SOI substrate
A method is demonstrated to manufacture SOI substrates with high throughput while resources can be effectively used. The present invention is characterized by the feature in which the following process A and process B are repeated. The process A includes irradiation of a surface of a semiconductor wafer with cluster ions to form a separation layer in the semiconductor wafer. The semiconductor wafer and a substrate having an insulating surface are then overlapped with each other and bonded, which is followed by thermal treatment to separate the semiconductor wafer at or around the separation layer. A separation wafer and an SOT substrate which has a crystalline semiconductor layer over the substrate having the insulating surface are simultaneously obtained by the process A. The process B includes treatment of the separation wafer for reusing, which allows the separation wafer to be successively subjected to the process A.
US08409960B2 Methods of patterning platinum-containing material
Some embodiments include methods of patterning platinum-containing material. An opening may be formed to extend into an oxide. Platinum-containing material may be formed over and directly against an upper surface of the oxide, and within the opening. The platinum-containing material within the opening may be a plug having a lateral periphery. The lateral periphery of the plug may be directly against the oxide. The platinum-containing material may be subjected to polishing to remove the platinum-containing material from over the upper surface of the oxide. The polishing may delaminate the platinum-containing material from the oxide, and may remove the platinum-containing material from over the oxide with an effective selectivity for the platinum-containing material relative to the oxide of at least about 5:1. Some embodiments include methods of forming memory cells. Some embodiments include integrated circuitry having platinum-containing material within an opening in an oxide and directly against the oxide.
US08409952B2 Method of forming an electronic device including forming a charge storage element in a trench of a workpiece
A method of forming an electronic device including forming a first trench in a workpiece including a substrate, the first trench having side walls and a bottom surface extending for a width between the side walls and forming a charge-storage layer along the side walls and bottom surface of the first trench. The method further includes implanting ions within the substrate underlying the bottom surface of the first trench to form an implant region and annealing the implant region, wherein after annealing, the implant region extends the width of the bottom surface and along a portion of the side walls.
US08409950B1 Method for integrating SONOS non-volatile memory into a sub-90 nm standard CMOS foundry process flow
An embodiment of a method is disclosed to integrate silicon oxide nitride oxide silicon (SONOS) non-volatile memory (NVM) into a standard sub-90 nm complementary metal oxide semiconductor (CMOS) semiconductor foundry process flow. An embodiment of the method adds a few additional steps to a standard CMOS foundry process flow and makes minor changes to the rest of the baseline CMOS foundry process flow to form a new process module that includes both CMOS devices and an embedded SONOS NVM. An embodiment of the method utilizes new material sets (which are not utilized at larger nodes) that enhance NVM performance by improving charge tunneling behavior and reducing leakage currents. Furthermore, an embodiment of the method integrates CMOS with SONOS NVM at ever-shrinking dimensions while enhancing the NVM performance, without performing extra, costly processing steps.
US08409947B2 Method of manufacturing semiconductor device having stress creating layer
Provided is a simplified method of manufacturing a semiconductor device having a stress creating layer. A first conductive first impurity region is formed on a semiconductor substrate on both sides of a first gate of a first area of the semiconductor substrate, and a second conductive second impurity region is formed on the semiconductor substrate on both sides of a second gate of a second area. First and second spacers are formed on sidewalls of the first and second gates, respectively. First and second semiconductor layers are formed in portions of the semiconductor substrate so as to contact the first and second impurity regions, respectively. The second semiconductor layer is removed. First and second barrier layers are formed in the first and second contact holes of the insulation layer, respectively.
US08409946B2 Methods of forming field effect transistors, pluralities of field effect transistors, and DRAM circuitry comprising a plurality of individual memory cells
A method of forming a field effect transistor includes forming trench isolation material within a semiconductor substrate and on opposing sides of a semiconductor material channel region along a length of the channel region. The trench isolation material is formed to comprise opposing insulative projections extending toward one another partially under the channel region along the channel length and with semiconductor material being received over the projections. The trench isolation material is etched to expose opposing sides of the semiconductor material along the channel length. The exposed opposing sides of the semiconductor material are etched along the channel length to form a channel fin projecting upwardly relative to the projections. A gate is formed over a top and opposing sides of the fin along the channel length. Other methods and structures are disclosed.
US08409944B2 Process of forming an electronic device including a nonvolatile memory cell having a floating gate electrode or a conductive member with different portions
An electronic device can include a nonvolatile memory cell that includes a capacitor, a tunnel structure, a state transistor, and an access transistor. In an embodiment, the capacitor and tunnel structure can include upper electrodes, wherein the upper electrode of the capacitor has a first conductivity type, and the upper electrode of the tunnel structure includes at least a portion that has a second conductivity type opposite the first conductivity type. In another embodiment, a process of forming the nonvolatile memory is performed using a single poly process. In a further embodiment, charge carriers can tunnel through a gate dielectric layer of the state transistor during programming and tunnel through a tunnel dielectric of the tunnel transistor during erasing.
US08409943B2 Work function adjustment with the implant of lanthanides
Semiconductor devices and fabrication methods are provided, in which fully silicided gates are provided. A lanthanide series metal is implanted into the gate electrode layer prior to silicidation and diffuses into the gate dielectric during an activation anneal. This process and resultant structure provides adjustment of the gate electrode work function, thereby tuning the threshold voltage of the resulting transistor.
US08409941B2 Semiconductor device and method for manufacturing the same
The present invention proposes a method of forming a dual contact plug, comprising steps of: forming a source/drain region and a sacrificed gate structure on a semiconductor substrate, the sacrificed gate structure including a sacrificed gate; depositing a first inter-layer dielectric layer; planarizing the first inter-layer dielectric layer to expose the sacrificed gate in the sacrificed gate structure; removing the sacrificed gate and depositing to form a metal gate; etching to form a first source/drain contact opening in the first inter-layer dielectric layer; sequentially depositing a liner and filling conductive metal in the first source/drain contact opening to form a first source/drain contact plug; depositing a second inter-layer dielectric layer on the first inter-layer dielectric layer; etching to form a second source/drain contact opening and a gate contact opening in the second inter-layer dielectric layer; and sequentially depositing a liner and filling conductive metal in the second source/drain contact opening and the gate contact opening to form a second source/drain contact plug and a gate contact plug. The present invention also proposes a semiconductor device manufactured by the above process.
US08409932B2 Method for manufacturing semiconductor device
A semiconductor chip is temporarily fixed on a circuit board by having a thermosetting adhesive film in between. A sealing resin film is provided with a mold release film, and a thermosetting sealing resin layer, which is laminated on the mold release film and has a film thickness 0.5 to 2 times the thickness of the semiconductor chip. The sealing resin film is arranged on the semiconductor chip so that the thermosetting sealing resin layer faces the semiconductor chip. Heat is applied to the side of the circuit board, while applying pressure to the sealing resin film from the side of the mold release film by using a rubber head having a rubber hardness of 5-100 to bond the semiconductor chip on the circuit board. After sealing the semiconductor chip with the resin, the mold release film is peeled.
US08409931B2 Method of manufacturing semiconductor device
A method of manufacturing a semiconductor device includes: forming a first layer including crystals by processing a surface of a first electrode of a semiconductor element; forming a second layer including crystals by processing a surface of a second electrode of a mounting member on which the semiconductor element is mounted; reducing a first oxide film present over or in the first layer and a second oxide film present over or in the second layer at a first temperature, the first temperature being lower than a second temperature at which a first metal included in the first electrode diffuses in a solid state and being lower than a third temperature at which a second metal included in the second electrode diffuses in a solid state; and bonding the first layer and the second layer to each other by solid-phase diffusion.
US08409928B2 Method for making contactless portable objects
The invention relates to a method for manufacturing contactless portable objects with an integrated circuit. The method of the invention is characterized in that it comprises the steps of: providing a silicon wafer (1) having integrated circuits (2) comprising plates (7) for connecting said circuits by capacitive coupling to the contact terminals of an antenna conductor circuit (5) provided at the surface of a dielectric substrate (4) of the contactless object; cutting the integrated circuits from said silicon wafer; grasping the integrated circuits using a gripping means of an integrated circuit transfer machine; and transferring the grasped integrated circuits onto the dielectric substrate so that the plates of said circuits are positioned substantially opposite the contact terminals of the antenna circuits. The invention can particularly be used for manufacturing UHF RFID objects.
US08409927B1 Methods for fabricating integrated circuit systems including high reliability die under-fill
A method is provided for fabricating an integrated circuit system that includes fabricating a plurality of integrated circuits in and on a semiconductor substrate. Spaced apart solder bumps are attached to the plurality of integrated circuits, the solder bumps in electrical contact to components of the integrated circuits. A dicing tape having a layer of under-fill material thereon is provided and the semiconductor substrate is laminated to the dicing tape with the layer of under-fill material filling spaces between the solder bumps. The semiconductor substrate and layer of under-fill material are diced to singulate individual ones of the plurality of integrated circuits, and one of the individual ones of the plurality of integrated circuits is attached to a second substrate such as another integrated circuit chip or printed circuit board.
US08409926B2 Semiconductor device and method of forming insulating layer around semiconductor die
A plurality of semiconductor die is mounted to a temporary carrier. An encapsulant is deposited over the semiconductor die and carrier. A portion of the encapsulant is designated as a saw street between the die, and a portion of the encapsulant is designated as a substrate edge around a perimeter of the encapsulant. The carrier is removed. A first insulating layer is formed over the die, saw street, and substrate edge. A first conductive layer is formed over the first insulating layer. A second insulating layer is formed over the first conductive layer and first insulating layer. The encapsulant is singulated through the first insulating layer and saw street to separate the semiconductor die. A channel or net pattern can be formed in the first insulating layer on opposing sides of the saw street, or the first insulating layer covers the entire saw street and molding area around the semiconductor die.
US08409925B2 Chip package structure and manufacturing method thereof
An embodiment of the present invention provides a manufacturing method of a chip package structure including: providing a first substrate having a plurality of predetermined scribe lines defined thereon, wherein the predetermined scribe lines define a plurality of device regions; bonding a second substrate to the first substrate, wherein a spacing layer is disposed therebetween and has a plurality of chip support rings located in the device regions respectively and a cutting support structure located on peripheries of the chip support rings, and the spacing layer has a gap pattern separating the cutting support structure from the chip support rings; and cutting the first substrate and the second substrate to form a plurality of chip packages. Another embodiment of the present invention provides a chip package structure.
US08409922B2 Semiconductor device and method of forming leadframe interposer over semiconductor die and TSV substrate for vertical electrical interconnect
A semiconductor device has a substrate with a plurality of conductive vias formed through the substrate and first conductive layer formed over the substrate. A first semiconductor die is mounted over the substrate. A second semiconductor die can be mounted over the first semiconductor die. A leadframe interposer has a base plate and a plurality of base leads extending from the base plate. An etch-resistant conductive layer is formed over a surface of the base plate opposite the base leads. The leadframe is mounted to the substrate over the first semiconductor die. An encapsulant is deposited over the substrate and first semiconductor die. The base plate is removed while retaining the etch-resistant conductive layer and portion of the base plate opposite the base leads to electrically isolate the base leads. An interconnect structure is formed over a surface of the substrate opposite the base leads.
US08409919B2 Method for manufacturing semiconductor device
According to a manufacturing method of one embodiment, a first solder bump and a second solder bump are aligned and placed in contact with each other, and thereafter, the first and second solder bumps are heated to a temperature equal or higher than a melting point of the solder bumps and melted, whereby a partially connection body of the first solder bump and the second solder bump is formed. The partially connection body is cooled. The cooled partially connection body is heated to a temperature equal to or higher than the melting point of the solder bump in a reducing atmosphere, thereby to form a permanent connection body by melting the partially connection body while removing an oxide film existing on a surface of the partially connection body.
US08409916B2 Thin film transistor substrate, display device having the same and method of manufacturing the display device
A thin film transistor substrate includes an insulating plate; a gate electrode disposed on the insulating plate; a semiconductor layer comprising a metal oxide, wherein the metal oxide has oxygen defects of less than or equal to 3%, and wherein the metal oxide comprises about 0.01 mole/cm3 to about 0.3 mole/cm3 of a 3d transition metal; a gate insulating layer disposed between the gate electrode and the semiconductor layer; and a source electrode and a drain electrode disposed on the semiconductor layer. Also described is a display substrate. The metal oxide has oxygen defects of less than or equal to 3%, and is doped with about 0.01 mole/cm3 to about 0.3 mole/cm3 of 3d transition metal. The metal oxide comprises indium oxide or titanium oxide. The 3d transition metal includes at least one 3d transition metal selected from the group consisting of chromium, cobalt, nickel, iron, manganese, and mixtures thereof.
US08409915B2 Methods of forming memory cells
Some embodiments include methods of forming memory cells utilizing various arrangements of conductive lines, electrodes and programmable material; with the programmable material containing high k dielectric material directly against multivalent metal oxide. Some embodiments include arrays of memory cells, with the memory cells including programmable material containing high k dielectric material directly against multivalent metal oxide.
US08409912B2 Back side contact solar cell structures and fabrication processes
In one embodiment, active diffusion junctions of a solar cell are formed by diffusing dopants from dopant sources selectively deposited on the back side of a wafer. The dopant sources may be selectively deposited using a printing method, for example. Multiple dopant sources may be employed to form active diffusion regions of varying doping levels. For example, three or four active diffusion regions may be fabricated to optimize the silicon/dielectric, silicon/metal, or both interfaces of a solar cell. The front side of the wafer may be textured prior to forming the dopant sources using a texturing process that minimizes removal of wafer material. Openings to allow metal gridlines to be connected to the active diffusion junctions may be formed using a self-aligned contact opening etch process to minimize the effects of misalignments.
US08409909B2 Range modulated implants for image sensors
Image sensors have photodiodes separated by isolations regions formed from p-well or n-well implants. Isolation regions may be formed that are narrow and deep. Isolation regions may be formed in a multi-step process that selectively places implants at desired depths in a substrate. Complementary photoresist patterns may be used. To form an implant near the surface of a substrate, a photoresist pattern with openings over the desired implant area may be used. Subsequent implantation may use a complementary pattern such that ions pass through photoresist before implanting in desired regions of a substrate.
US08409901B2 Low temperature wafer level processing for MEMS devices
Microelectromechanical systems (MEMS) are small integrated devices or systems that combine electrical and mechanical components. It would be beneficial for such MEMS devices to be integrated with silicon CMOS electronics and packaged in controlled environments and support industry standard mounting interconnections such as solder bump through the provisioning of through-wafer via-based electrical interconnections. However, the fragile nature of the MEMS devices, the requirement for vacuum, hermetic sealing, and stresses placed on metallization membranes are not present in packaging conventional CMOS electronics. Accordingly there is provided a means of reinforcing the through-wafer vias for such integrated MEMS-CMOS circuits by in filling a predetermined portion of the through-wafer electrical vias with low temperature deposited ceramic materials which are deposited at temperatures below 350° C., and potentially to below 250° C., thereby allowing the re-inforcing ceramic to be deposited after fabrication of the CMOS electronics.
US08409900B2 Fabricating MEMS composite transducer including compliant membrane
A method of fabricating a MEMS composite transducer includes providing a substrate having a first surface and a second surface opposite the first surface. A transducing material is deposited over the first surface of the substrate. The transducing material is patterned by retaining transducing material in a first region and removing transducing material in a second region. A polymer layer is deposited over the first region and the second region. The polymer layer is patterned by retaining polymer in a third region and removing polymer in a fourth region. A first portion of the third region is coincident with a portion of the first region and a second portion of the third region is coincident with a portion of the second region. A cavity is etched from the second surface to the first surface of the substrate. An outer boundary of the cavity at the first surface of the substrate intersects the first region where transducing material is retained, so that a first portion of the transducing material is anchored to the first surface of the substrate and a second portion of the transducing material extends over at least a portion of the cavity.
US08409892B2 Method of selective photo-enhanced wet oxidation for nitride layer regrowth on substrates
Various embodiments of the present disclosure pertain to selective photo-enhanced wet oxidation for nitride layer regrowth on substrates. In one aspect, a method may comprise: forming a first III-nitride layer with a first low bandgap energy on a first surface of a substrate; forming a second III-nitride layer with a first high bandgap energy on the first III-nitride layer; transforming portions of the first III-nitride layer into a plurality of III-oxide stripes by photo-enhanced wet oxidation; forming a plurality of III-nitride nanowires with a second low bandgap energy on the second III-nitride layer between the III-oxide stripes; and selectively transforming at least some of the III-nitride nanowires into III-oxide nanowires by selective photo-enhanced oxidation.
US08409889B2 Method for producing semiconductor optical device
A method for producing a semiconductor optical device, includes the steps of: (a) forming a semiconductor region on a substrate, the substrate including first and second areas; the first area including device sections (b) forming a first mask on the semiconductor region, the first mask including first patterns periodically arranged in the first area and a second pattern provided in the second area; (c) forming a plurality of periodic structures in each of the device sections and a monitoring structure in the second area by using the first mask, the periodic structures respectively corresponding to the first patterns, the monitoring structure corresponding to the second pattern; (d) measuring a shape of the monitoring structure; (e) selecting a desired periodic structure from the plurality of periodic structures on a basis of a result of measuring the shape of the monitoring structure; (f) forming a second mask including a pattern on the desired periodic structure; and (g) forming stripe mesas including the desired periodic structure by using the second mask.
US08409888B2 Highly integrable edge emitting active optical device and a process for manufacture of the same
Described herein is a novel technique used to make novel thin III-V semiconductor cleaved facet edge emitting active optical devices, such as lasers and optical amplifiers. These fully processed laser platelets with both top side and bottom side electrical contacts can be thought of as freestanding optoelectronic building blocks that can be integrated as desired on diverse substrates for a number of applications, many of which are in the field of communications. The thinness of these platelets and the precision with which their dimensions are defined using the process described herein makes it conducive to assemble them in dielectric recesses on a substrate, such as silicon, as part of an end-fire coupled, coaxial alignment optoelectronic integration strategy. This technology has been used to integrate edge emitting lasers onto silicon substrates, a significant challenge in the field of silicon optoelectronics.
US08409886B2 Method of producing image display unit
A method of producing the image display unit, wherein the image display unit includes an array of a plurality of light emitting devices for displaying an image, and wherein the method of producing the image display unit employs, for example, a space expanding transfer, whereby a first transfer step includes transferring the devices arrayed on a first substrate to a temporary holding member such that the devices are spaced from each other with a pitch larger than a pitch of the devices arrayed on the first substrate, a second holding step includes holding the devices on the temporary holding member, and a third transfer step includes transferring the devices held on the temporary holding member onto a second board such that the devices are spaced from each other with a pitch larger than the pitch of the devices held on the temporary holding member.
US08409883B2 Method for fabricating a phase change memory
The invention provides a phase change memory and a method for forming the phase change memory. The phase change memory includes a storage region and a peripheral circuit region. The peripheral circuit region has a peripheral substrate, peripheral shallow trench isolation (STI) units in the peripheral substrate, and MOS transistors on the peripheral substrate and between the peripheral STI units. The storage region has a storage substrate, an N-type ion buried layer on the storage substrate, vertical LEDs on the on the N-type ion buried layer, storage shallow trench isolation (STI) units between the vertical LEDs, and phase change layers on the vertical LEDs and between the storage STI units. The storage STI units have thickness equal to thickness of the vertical LEDs. Each vertical LED comprises an N-type conductive region on the N-type ion buried layer, and a P-type conductive region on the N-type conductive region. The P-type conductive region contains SiGe. The peripheral STI units have thickness equal to thickness of the storage STI units. A top of P-type conductive region is flush with a top of the peripheral substrate. The P-type conductive region containing SiGe reduces drain current through the vertical LED and raises current efficiency of the vertical LED. The peripheral circuit region can work normally without adverse influence on performance of the phase change memory.
US08409879B2 Method of using a buffered electric pulse induced resistance device
A switchable resistive device has a multi-layer thin film structure interposed between an upper conductive electrode and a lower conductive electrode. The multi-layer thin film structure comprises a perovskite layer with one buffer layer on one side of the perovskite layer, or a perovskite layer with buffer layers on both sides of the perovskite layer. Reversible resistance changes are induced in the device under applied electrical pulses. The resistance changes of the device are retained after applied electric pulses. The selected duration of the electrical pulse is in the range of from about 8 nanosecond to about 100 milliseconds. The selected maximum value of the electrical pulse is in the range of from about 1 V to about 150 V. The electrical pulse may have square, saw-toothed, triangular, sine, oscillating or other waveforms, and may be of positive or negative polarity.
US08409876B2 Fluorescent silica-based nanoparticles
The present invention provides nanoparticle compositions comprising, for example, a core comprising a fluorescent silane compound; and a silica shell on the core. Also provided are methods for the preparation of nanoparticle compositions including fluorescent nanoparticles, ligated-fluorescent nanoparticles, ligated-fluorescent nanoparticles having therapeutic agents, and ligated-fluorescent nanoparticles coupled or associated with an analyte. Also provided are methods: for the detection of the ligated-fluorescent nanoparticles; for associating the linked-fluorescent nanoparticles with a cellular component of interest and recording or monitoring the movement of the cellular component; for improving the therapeutic properties of the therapeutic agent by combining the therapeutic agent with linked-fluorescent nanoparticles and contacting or administering the combination to a cell or organism; for making and using the fluorescent nanoparticles in, for example, diagnostic agents for the detection of various analytes, and like applications.
US08409874B2 Reversible binding surface
The present invention relates to a method to treat a product which product comprises a surface which surface includes a plasma polymer of an organic monomer wherein immobilized on said plasma polymerized surface is at least one biological entity comprising, contacting said product with an agent that promotes, either directly or indirectly, the disassociation of said entity from said product.
US08409873B2 Method of pipetting using a pipette tip holder
A method of pipetting comprises (a) providing a pipette tip holder having a plurality of segregated compartments and a tip support member for supporting a plurality of pipette tips in the pipette tip holder, the tip support member configured to support a single pipette in tip each segregated compartment; (b providing a plurality of pipette tips in the tip support member; (c) removing a first pipette tip from a first segregated compartment; (d) using the first pipette tip to complete a pipetting operation and placing the first pipette tip into a segregated compartment that has not contained a used pipette tip; (e) subsequently removing the first pipette tip from the segregated compartment and using the first pipette tip to complete another pipetting operation; and, (f) replacing the first pipette tip into the pipette tip holder, whereby the first pipette tip, when placed in the segregated compartment, is segregated in the pipette tip holder to reduce the possibility of a fluid from the first pipette tip contacting other pipette tips in the pipette tip holder.
US08409869B2 Hydrogen sensor, hydrogen detecting system and method
A hydrogen sensor comprises a substrate having a surface coated with a hydrogen absorbing material and a temperature sensitive luminophore such that when the temperature sensitive luminophore is excited, it generates a fluorescent light, the luminescence of which is changed by an exothermic heat resulting from the adsorption of hydrogen through the hydrogen absorbing material. A hydrogen detecting system and a method of detecting hydrogen using the hydrogen sensor are also disclosed.
US08409868B2 Test element for determining a body fluid and measurement method
A test element for determining a body fluid is provided. The test element, in particular for determining the blood glucose level, includes a detection region which is charged with a reagent sensitive to the body fluid. A function element is arranged in or adjacent to the detection region for detecting at least one status parameter for the detection region, such that the functional element can be evaluated by means of a status measurement. A method for measuring the test element is also disclosed.
US08409867B2 Ultra low-power CMOS based bio-sensor circuit
An apparatus configured to identify a material having an electric charge, the apparatus having: an inverting gain amplifier including a first field-effect transistor (FET) coupled to a second FET; wherein a gate of the first FET is configured to sense the electric charge and an output of the amplifier provides a measurement of the electric charge to identify the material.
US08409862B2 Determination of testosterone by mass spectrometry
Provided are methods for determining the presence or amount of testosterone in a test sample, comprising ionizing all or a portion of the testosterone present in the sample to produce one or more testosterone ions that are detectable in a mass spectrometer. All or a portion of the testosterone present in the sample is ionized to produce one or more testosterone ions, which may be isolated and fragmented to produce precursor ions. A separately detectable internal testosterone standard can be provided in the sample. In a preferred embodiment, the reference is 2,2,4,6,6-d5 testosterone.
US08409861B2 Targeted deletion of cellular DNA sequences
Disclosed herein are methods and compositions for targeted deletion of double-stranded DNA. The compositions include fusion proteins comprising a cleavage domain (or cleavage half-domain) and an engineered zinc finger domain, and polynucleotides encoding same. Methods for targeted deletion include introduction of such fusion proteins, or polynucleotides encoding same, into a cell such that two targeted cleavage events occur. Subsequent cellular repair mechanisms result in deletion of sequences between the two cleavage sites.
US08409860B2 Method and apparatus for tissue transfer
A handheld tool is disclosed which may be used to transfer a plurality of plant tissue explants from a first container to a second container. The handheld tool may include a disposable tip member which couples the plurality of plant tissue explants through use of negative pressure. An automated system which transfers a plurality of plant tissue explants from a first container to a second container is also disclosed. The automated system may include a first presentment system which moves the first container to a region, a second presentment system which moves the second container to the region, and a robot system that transfers the plurality of plant tissue explants from the first container to the second container.
US08409859B2 Differentiation of non-embryonic stem cells to cells having a pancreatic phenotype
The invention provides methods for differentiating non-embryonic multipotent stem cells along the pancreatic lineage. The present invention further provides non-embryonic multipotent stem cells and progeny derived therefrom to provide pancreatic cells to a subject.
US08409858B2 Modular functional peptides for the intracellular delivery of nanoparticles
Described are peptides for delivery of a nanoparticle to the cytosol, the peptide comprising: (a) a nanoparticle association domain; (b) a proline-rich spacer domain; (c) an uptake domain; and (d) a vesicle escape domain comprising a non-hydrolyzable lipid moiety, wherein the spacer domain is between the nanoparticle association domain and the uptake and vesicle escape domains, and wherein the peptide, when attached to an extracellular nanoparticle, is effective to induce uptake of the nanoparticle by a cell and delivery of the nanoparticle to the cytosol of the cell. Also described are methods of delivery of a nanoparticle to the cytosol of a cell, the method comprising providing to a cell a nanoparticle attached to such a peptide. Exemplary nanoparticles include quantum dots.
US08409857B1 Use of molecular chaperones for the enhanced production of secreted, recombinant proteins in mammalian cells
The present invention relates to a method for increased production of a secreted, recombinant protein product through the introduction of molecular chaperones in a mammalian host cell. The present invention also relates to a mammalian host cell with enhanced expression of a secreted recombinant protein product by coexpressing at least one chaperone protein.
US08409856B2 Compositions and their uses directed to gemin genes
Disclosed herein are compounds, compositions and methods for modulating the expression of a Gemin Gene. Also provided are methods of target validation. Also provided are uses of disclosed compounds and compositions in the manufacture of a medicament for treatment of diseases and disorders.
US08409855B2 Apparatus for producing feeds comprising dried and fermented animal and plant residues and dried and fermented fertilizers
An apparatus is provided for the producing feeds/fertilizers containing died and fermented animal and plant residues. The apparatus includes a fermentation tank, an unobstructed circulation duct connected to the fermentation tank and a blower directly connected to the circulation duct wherein blower supplies air for advancing the residues up the circulation duct.
US08409854B2 Bioreactor provided with equipment with flexible walls
A bioreactor comprising a reactor chamber defined by reactor walls and a reactor opening, optionally with means penetrating the reactor opening in order to supply gases and/or liquids, for taking samples, for supplying microorganisms or cells, for the introduction of measuring probes, for the addition of additives or sterilizing agents and/or for emptying the bioreactor, with means for sealing the reactor opening, wherein at least one inflatable eddy current breaker is installed in the reactor chamber.
US08409850B2 Microorganism testing device
A microorganism testing device includes a measurement chip having a specimen container; a reaction container for mixing a specimen and a dyeing reagent; a bacteria detection portion irradiated with excitation light; a detection liquid waste container a solution flow path which connects the specimen container, the reaction container and the bacteria detection portion, and ventilation ports which are connected to the specimen container, the reaction container and the detection liquid waste container, through a air flow path and is connected to a chip connecting tube. Bacteria are detected in the bacteria detection portion by switching of the states of the containers between a sealed state and a state open to the atmospheric pressure, by moving the specimen to the reaction container to mix and stir the specimen and the dyeing reagent, and by moving of the liquid mixture to the detection liquid waste container.
US08409848B2 System and method for rapid thermal cycling
At least one exemplary embodiment is directed to an apparatus that includes a microfluidic channel and at least one energy absorbing element, where the energy absorbing element is configured to absorb at least a portion of an incident electromagnetic radiation. The absorption of the radiation by the energy absorbing element varies the temperature of a sample in the microfluidic channel.
US08409847B2 System and method for controlling the diameter of a mammilian hybrid coronary bypass graft
Systems and methods are provided for controlling the diameter of a mammalian hybrid coronary bypass graft. The system includes a controller having at least one input for receiving information and feedback information and an output for outputting control signals, including at least one steady flow system control signal; and a pressure/flow loop subsystem coupled to the controller. The pressure/flow loop subsystem includes a specimen holder, an external flow loop system coupled to the specimen holder, a steady flow system, and an output for outputting the feedback information. The pressure/flow loop subsystem receives the control signals and is capable of adjusting a diameter of a specimen in accordance with the control signals, when the specimen holder contains the specimen.
US08409842B2 Recombinant adeno-associated virus production
The present invention relates to methods and materials for recombinant adeno-associated virus production. More particularly, the invention relates to use of recombinant adenovirus encoding adeno-associated virus protein in recombinant adeno-associated virus production methods.
US08409841B2 Hydroxynitrile lyase
An improved hydroxynitrile lyase characterized by having a mutation of substitution of at least one amino acid residue in the amino acid sequence of a wild-type hydroxynitrile lyase with another amino acid and by its hydroxynitrile lyase activity per transformant being higher than the hydroxynitrile lyase activity per transformant into which the wild-type hydroxynitrile lyase gene is introduced; and a method for producing a hydroxynitrile lyase, comprising expressing the improved hydroxynitrile lyase in a host and recovering the improved hydroxynitrile lyase from the resultant culture.
US08409840B2 Recombinant preparation of selected bromelain fractions
The present invention pertains in general to Bromelain and particularly to the different active compounds contained in this complex mixture of proteins. The present invention provides recombinant expressed cysteine proteases, which are found in Bromelain. It has been found that the method for expression of the recombinant proteins is superior to the purification from Bromelain itself.
US08409833B2 Method for producing scyllo-inositol
It is intended to provide a novel NAD+-independent myo-inositol 2-dehydrogenase which converts myo-inositol into scyllo-inosose in the absence of NAD+; a novel enzyme scyllo-inositol dehydrogenase which stereospecifically reduces scyllo-inosose into scyllo-inositol in the presence of NADH or NADPH; and a novel microorganism which belongs to the genus Acetobacter or Burkholderia and can convert myo-inositol into scyllo-inositol. By using these enzymes or the microorganism, scyllo-inositol is produced. Furthermore, scyllo-inositol is purified by adding boric acid and a metal salt to a liquid mixture containing scyllo-inositol and a neutral saccharide other than scyllo-inositol to form a scyllo-inositol/boric acid complex, separating the complex from the liquid mixture, dissolving the thus separated complex in an acid to give an acidic solution or an acidic suspension and then purifying scyllo-inositol from the acidic solution or the acidic suspension.
US08409829B2 Methods for analysis of molecular events
Methods and compositions are provided for detecting the presence of nucleic acid sequence variants in a subpopulation of nucleic acid molecules in a biological sample. These methods are particularly useful for identifying individuals with mutations indicative of cancer.
US08409828B2 Animal product free system and process for purifying a botulinum toxin
Chromatographic processes and systems for purifying a botulinum toxin from an APF fermentation medium.
US08409825B2 Method for high-level secretory production of protein
This invention provides a means for high-level secretory production of a protein, and, in particular, a protein having a complicated structure such as an antibody, in a host cell such as a yeast cell. This invention provides a method for high-level secretory production of a foreign protein with the use of a transformed host cell having one or more types of chaperone protein genes and via suppression of O sugar chain inherent to a host cell such as a yeast cell.
US08409819B1 Methods to predict risk for celiac disease by detecting anti-flagellin antibody levels
The present invention provides methods, assays, and kits for predicting or stratifying the risk of celiac disease (CD) based upon HLA-DQ genotype and/or anti-flagellin antibody levels. Such risk prediction or stratification can provide benefits to family members of CD patients, to a subset of patients who are being evaluated clinically for CD, and to researchers, who can utilize this strategy to establish inclusion criteria for participation in research studies investigating potential preventive interventions.
US08409818B2 Method of diagnosis and kit therefor
The invention provides kits and methods for detecting or monitoring the number of cells in sample. The cell comprises a cell surface associated protein (CSAP) comprising a cytoplasmic (cytosolic) and an extracellular (ecto) domain. The kit comprises: (i) a chromatographic device; and (ii) a CSAP-binding agent. The method comprises: (i) optionally contacting the sample with an agent capable of lysing or permeabilizing CSAP bearing cells; (ii) contacting the sample with a CSAP-binding agent that binds to the cytoplasmic domain of the CSAP; and (iii) directly or indirectly evaluating the level or presence of bound CSAP in the sample.
US08409817B2 Methods and compositions for detection of Ehrlichia chaffeensis (VLPT)
The invention provides methods and compositions for the detection of Ehrlichia chaffeensis.
US08409813B2 Treatment of cancer using TLR3 agonists
The present invention relates generally to the fields of genetics and medicine. More specifically, the present invention relates to improved methods of treating cancers using a TLR3 agonist, by assessing the expression of a TLR3 receptor by cancer cells.
US08409810B2 Platelet aggregation assays using a CD40L-binding agent
The present invention provides methods of determining platelet aggregation, methods of determining susceptibility to clotting upon administration of a CD40L-binding moiety, and kits related thereto.
US08409800B2 Nucleic acid based fluorescent sensor for copper detection
A nucleic acid enzyme responsive to copper, comprising an oligonucleotide comprising a nucleotide sequence of SEQ ID NO:1, wherein the nucleic acid enzyme is not self-cleaving.
US08409794B2 Biomarkers useful for diagnosing prostate cancer, and methods thereof
The present invention describes a method for predicting a health-state indicative of the presence of prostate cancer. The method measures the intensities of specific small biochemicals, called metabolites, in a blood sample from a patient with an undetermined health-state, and compares these intensities to the intensities observed in a population of healthy individuals and/or to the intensities previously observed in a population of confirmed prostate cancer-positive individuals. The method enables a practitioner to determine the probability that a screened patient is positive for prostate cancer.
US08409792B2 Color intermediate motion picture film
Silver halide photographic elements that are color intermediate motion picture films have at least one green sensitive silver halide emulsion that includes a green sensitizing dye that is a cyanine dye having two oxazole groups in the molecule, and another green sensitizing dye having either two imidazole groups in the molecule or one oxazole group and one thiazole group in the molecule. The molar ratio of the first green sensitizing dye to the second green sensitizing dye is from about 4:1 to about 0.3:1. The magenta dye image forming unit has at least one green sensitive silver halide emulsion layer that comprises predominantly cubic silver iodobromide grains having at least 90 mol % bromide and at least 1 mol % iodide, which grains have an average equivalent spherical diameter (ESD) of less than 0.25 μm.
US08409791B2 Double-sided color photographic paper
Disclosed is a double-sided color photographic paper, including, in order, a first protection layer, a first photosensitive layer, a supporting substrate, a second photosensitive layer and a second protection layer. The supporting substrate has a first surface and a second surface opposite to the first surface. A first barrier layer and at least one layer of a first shading layer are sandwiched between the first photosensitive layer and the first surface of the supporting substrate. The first barrier layer is arranged between the first photosensitive layer and the first shading layer; a second barrier layer and at least one layer of a second shading layer are sandwiched between the second surface of the supporting substrate and the second photosensitive layer, and the second barrier layer is arranged between the second shading layer and the second photosensitive layer.
US08409790B2 Method of producing a relief image for printing
The present invention involves a method for making a relief image. A film that includes a carrier sheet and an imageable material is used to form a mask image that is opaque to a curing radiation. In one embodiment, the mask image is formed on the carrier sheet while in another embodiment, the mask image is formed on a receptor sheet. The mask image is then transferred to a photosensitive material, such as a flexographic printing plate precursor. The resulting assembly is exposed to the curing radiation resulting in exposed and unexposed areas of the photosensitive material. The carrier sheet or the receptor sheet may be removed from the mask image either before or after exposure to the curing radiation. Finally, the photosensitive material and mask image assembly is developed with a suitable developer to form a relief image.
US08409788B2 Laser induced thermal imaging method, method of patterning organic layer using the same and method of fabricating organic light emitting diode display device using the same
A laser induced thermal imaging (LITI) method, a method of patterning an organic layer using the same and a method of manufacturing an organic light emitting diode (OLED) display device using the same. The LITI method includes preparing a substrate including a transfer layer, preparing a donor substrate including a base film and a light-to-heat conversion layer disposed on the base film, aligning the substrate with the donor substrate, and irradiating laser to the base layer of the donor substrate. Here, the laser is irradiated to the base layer in a region excluding a region corresponding to a pattern to be formed on the substrate. Thus, according to the method, regardless of the size of the pattern to be formed and the size of the laser beam, stitching mura can be prevented.
US08409785B2 Apparatus and method for treating imaging materials
An apparatus and method for improving the durability of an image on an imaging material, including increasing the press run length or a printing plate. The apparatus and method can involve the use of, as an example but not restricted to, an imaging device, a pre-bake oven, a processor, and a post-process treatment unit that employs infrared lamps adapted to irradiate the image.
US08409784B2 Photosensitive resin composition, dry film, and processed product made using the same
Disclosed is a photosensitive resin composition which does not contain any halogenated compound or any antimony compound that has a high risk of putting a load on the environment, which exerts good flame retardancy after being cured, and which particularly meets the recent exacting requirements with respect to bending resistance and insulation reliability. Specifically disclosed is a photosensitive resin composition comprising: (A) a (meth)acrylate compound represented by the general formula (1); (B) a polyimide precursor; and (C) a photopolymerization initiator [in the formula, R1 represents a hydrogen atom or a methyl group; R2 represents a hydrogen atom or a univalent organic group; n and m independently represent an integer of 1 to 5; p represents an integer of 0 to 6; q and r independently represent an integer of 0 to 4; and s represent an integer of 0 to 6, provided that the sum of p, q, r and s is 6, and the sum total of p and s may range from 3 to 6 and is preferably 6].
US08409782B2 Photoresist composition comprising photoinitiators, and transparent thin film and liquid crystal display device using the composition
A photoresist composition is provided. The photoresist composition comprises two or more kinds of photoinitiators having different activation wavelengths whose difference is at least 20 nm. The photoresist composition has high sensitivity and forms a pattern whose thickness is easy to control depending on the exposure intensity through a slit or transflective mask. Further provided are a transparent thin film formed using the photoresist composition and a liquid crystal display device comprising the thin film.
US08409781B2 Composition for formation of resist protection film, and method for formation of resist pattern using the same
Disclosed are: a composition for forming a resist protection film, which shows less damage to a resist film, can form a good, rectangular resist pattern, and can be used regardless of the structure of a resin used in a resist composition; and a method for forming a resist pattern by using the composition. Specifically, disclosed are: a composition for forming a resist protection film, which comprises (a) an alkali-soluble polymer and (b) an ether-based solvent; and a method for forming a resist pattern by using the composition.
US08409780B2 Negative working, heat-sensitive lithographic printing plate precursor
A heat-sensitive negative-working lithographic printing plate precursor comprising: a support having a hydrophilic surface or which is provided with a hydrophilic layer; and an image-recording layer comprising hydrophobic thermoplastic polymer particles, an infrared light absorbing dye and a dye; wherein said dye has a specified structure and a most bathochromic light absorption peak between 451 nm and 750 nm.
US08409777B2 Toner and method of manufacturing the same
There is provided a toner which can be manufactured in a simple production method and which is excellent in preservation stability and anti-offset property with uniformly-charging performance. The toner is formed of aggregate of resin-containing particles which are obtained by forming amorphous resin particles into slurry and further finely granulating the amorphous resin particles in form of the slurry followed by aggregation of the amorphous resin particles and which contains a binder resin, a colorant, a release agent, and a release agent-dispersing aid, the resin-containing particles having a volume average particle diameter in a range of from 0.4 μm to 1.0 μm.
US08409770B2 Blank mask and method of fabricating mask using the same
A blank mask includes a substrate, a multilayer reflection layer disposed over the substrate, a capping layer disposed over the multilayer reflection layer, a self-assembled monolayer disposed over the capping layer, a buffer layer disposed over the self-assembled monolayer, and an absorption layer disposed over the buffer layer.
US08409769B2 Gas diffusion layer for fuel cell
A gas diffusion layer for a fuel cell is described. The gas diffusion layer includes a carbon fiber mat having a substantially open structure. Bloomed fibrillated acrylic pulp is added into a microporous layer ink. Alternatively, the bloomed fibrillated acrylic pulp can first be disposed on the carbon fiber mat, with the microporous layer ink added thereafter. When the microporous layer ink/bloomed fibrillated acrylic pulp mixture is coated on the carbon fiber mat, the ink penetrates through the open substrate, and is locked into place by the bloomed acrylic pulp fibers. This allows for a buildup of microporous layer ink on top of the substrate for added thickness when the bloomed fibrillated acrylic pulp sits on top of the mat.
US08409766B2 Fluoroionomer liquid composition
A liquid composition comprising: at least one fluoroionomer (I) [fluoroionomer (I-1)], the fluoroionomer (I-1) having a heat of fusion comprised between 4 and 20 J/g; and at least one fluoroionomer (I) [fluoroionomer (I-2)], the fluoroionomer (I-2) being substantially amorphous, that is to say having a heat of fusion of less than 4 J/g, and wherein the water extractable fraction of the fluoroionomer (I-2) is less than 40% wt, the liquid composition comprising the fluoroionomer (I-1) and the fluoroionomer (I-2) in a weight ratio (I-1)/(I-2) of at least 2:1.
US08409757B2 Lithium secondary battery
A lithium secondary battery is intended to suppress deterioration upon storage at high temperature of 50° C. or higher without deteriorating the output characteristics at a room temperature. The battery includes a positive electrode capable of occluding and releasing lithium ions, a negative electrode capable of occluding and releasing lithium ions, a separator disposed between the positive electrode and the negative electrode, and an electrolyte. The electrolyte contains a compound having a double bond in the molecule and a compound having a plurality of polymerizable functional groups in the molecule, and the electrolyte contains a compound represented by formula (4): (in which Z1 and Z2 each represent any one of an allyl group, a methallyl group, a vinyl group, an acryl group, and a methacryl group).
US08409754B2 Positive electrode active material for secondary cell and nonaqueous electrolyte secondary cell using the same, and method for analysis of positive electrode active material for secondary cell
A positive electrode active material for use in a non-aqueous electrolyte secondary cell comprises a powdery metal oxide (LiCoO2, LiNiO2, LiMn2O4 or the like). When the positive electrode active material is classified with a classification precision index κ of 0.7 or greater so as to obtain a coarse powder having a classification ratio in a range of 0.1% to 5%, a ratio (B/A) of the content (B) of an impurity metal element in the coarse powder obtained by the classification to the content (A) of the impurity metal element in the powder before the classification is 1.5 or less. The contents of the impurity metal elements are compared with respect to Ca, Mn, Fe, Cr, Cu, Zn and the like (exclusive of the metal element constituting the powdery metal oxide). The positive electrode active material for a secondary cell serves to improve cell performance capabilities and production yields.
US08409753B2 Alkaline storage battery
An alkaline storage battery in which an actual reaction area is not reduced after increasing a reaction area is provided. A hydrogen storage alloy negative electrode 11 of an alkaline storage battery 10 of the present invention is formed in a strip form including a long axis and a short axis, in which the ratio (A/B) of a length A (cm) of the long axis to a length B (cm) of the short axis is 20 or more and 30 or less (20≦A/B≦30), and the ratio (X/Y) of an electrolyte volume X (g) retained in the hydrogen storage alloy negative electrode 11 to an electrolyte volume Y (g) retained in a separator 13 is 0.8 or more and 1.1 or less (0.8≦X/Y≦1.1). With this arrangement, an alkaline storage battery with high output characteristics and long-term durability performance is obtained.
US08409748B2 Battery and electronic apparatus
A battery and an electronic apparatus are disclosed by which it can be discriminated with a simple configuration whether or not the battery is of a thin type. The battery is configured such that a wall portion for discrimination of a battery characteristic which is swollen in a lengthwise direction and connects to a connector section is formed at a location of one of end faces positioned rather near to an end portion in a widthwise direction of a case of the battery.
US08409747B2 Nonaqueous secondary battery separator and process for its fabrication
A nonaqueous secondary battery separator which is a composite porous membrane obtained by integrally covering both sides of a polyolefin microporous membrane having a gas permeability (JIS P8117) of between 15 seconds/100 cc·μm and 50 seconds/100 cc·μm per unit thickness and a film thickness of between 5 μm and 25 μm, with a porous layer composed of polymetaphenylene isophthalamide, and which exhibits both a shutdown function and effective heat resistance for meltdown resistance, as features desired for high-energy-density, high-output, large-sized, high-performance nonaqueous secondary batteries, while also having excellent handleability and ion permeability. The film thickness of the composite porous membrane is between 6 μm and 35 μm, the gas permeability (JIS P8117) is between 1.01 and 2.00 times that of the polyolefin microporous membrane, and the polymetaphenylene isophthalamide coating amount is between 1.0 g/m2 and 4.0 g/m2.
US08409740B2 Remote control system
The remote control system (1) has a battery compartment, which is disposed in a housing and which is closed by means of a removable cover lid (4). The cover lid (4) is held to the housing by means of at least one permanent magnet (6, 7).
US08409732B2 Oxide coated cutting insert
A cutting tool insert includes a body of a hard alloy of cemented carbide, cermet, ceramics, cubic boron nitride based material or high speed steel and a hard and wear resistant coating, including one or several layers, at least one of which is an (Al,Cr)2O3 layer. The coating, with a total thickness of 2-20 μm includes one or several layers, at least one of which is an (Al,Cr)2O3 layer with a thickness of 1-5 μm having a corundum phase crystalline structure and a composition (Al1-yCry)2O3 with 0.5≦y≦0.7. The (Al,Cr)2O3 layer has a fiber texture with rotational symmetry in the direction of the coated surface normal with an inclination angle, φ, of the basal planes relative to the coated surface normal or the inclination angle, φ, for the highest peak in the pole plot with 20°<φ<55°.
US08409727B2 Color filter array and organic light-emitting display device using the same
A color filter array is provided that is capable of implementing full color with improved light-emitting efficiency and color coordinate values from a mixed light of blue and red, and an organic light-emitting display device using the same. A color filter array receiving a mixed light of blue wavelength light and red wavelength light to implement full color according to an embodiment of the invention comprises a red filter, a green filter, and a blue filter. The red filter includes a first color conversion material for converting the blue wavelength light into green light and red light and a green blocking material for blocking the green light. The green filter includes a second color conversion material for converting the blue wavelength light into green light and red light and a red blocking material for blocking the red light. The blue filter includes a red blocking material for blocking the red wavelength light.
US08409726B2 Printed circuit board with multiple metallic layers and method of manufacturing the same
Provided is a printed circuit board (PCB) with multiple metallic layers and a method of manufacturing the PCB to improve adhesion between a metal film and a polymer film, on which a circuit pattern is formed. The PCB includes: a first metal film; a polymer film formed on one surface of the first metal film; and a second metal film, interposed between the first metal film and the polymer film, having a first surface facing the first metal film and a second surface facing the polymer film, wherein the second surface is rougher than the first surface.
US08409725B2 Structural member of different materials
The invention provides a structural member of different materials having no different-material-bonded part between aluminum alloy material and steel material to be assembled. The structural member of different materials comprised of steel and aluminum alloy includes a first structural member including steel, and a second structural member having a part including steel and a part including aluminum alloy, the part including steel and the part including aluminum alloy being bonded by different-material bonding. The first structural member and the second structural member are bonded together only by bonding of steels between the part including steel of the second structural member and the first structural member.
US08409724B2 Coated article having antibacterial effect and method for making the same
A coated article is described. The coated article includes a substrate, a bonding layer formed on the substrate, a plurality of nickel-chromium-nitrogen layers and a plurality of copper-zinc alloy layers formed on the bonding layer. The bonding layer is a nickel-chromium layer. Each nickel-chromium-nitrogen layer interleaves with one copper-zinc alloy layer. One of the nickel-chromium-nitrogen layers is directly formed on the bonding layer. A method for making the coated article is also described.
US08409722B2 Alloy material having high-temperature corrosion resistance, thermal barrier coating, turbine member, and gas turbine
An alloy material having high-temperature corrosion resistance, which exhibits excellent oxidation resistance and ductility and can be applied to gas turbines used at ultra high temperatures, and a thermal barrier coating, a turbine member and a gas turbine each comprising the alloy material. An alloy material having high-temperature corrosion resistance, comprising, by weight, Co: 15 to 30%, Cr: 10 to 30%, Al: 4 to 15%, Y: 0.1 to 3%, and Re: 0.1 to 1%, with the balance being substantially Ni. Also, an alloy material having high-temperature corrosion resistance, comprising, by weight, Ni: 20 to 40%, Cr: 10 to 30%, Al: 4 to 15%, Y: 0.1 to 3%, and Re: 0.1 to 5%, with the balance being substantially Co.
US08409721B2 Production method of pigment-dispersing resin
This invention provides a method for producing a pigment-dispersing resin which contains divalent group(s) represented by a general formula (I): (in which R1 stands for C1-10 alkylene group, m is an integer of 1-30, and the m recurring units,  may be the same or different), phosphoric acid group(s) and/or sulfonic acid group(s), characterized by copolymerizing a polymerizable unsaturated monomeric mixture in a reaction solvent containing at least 30 mass %, based on the total mass of the reaction solvent, of an organic solvent having no hydroxyl group and having a solubility in 20° C. water of at least 100 mass %.
US08409719B2 Ammonium alkylphosphate containing intermediate transfer members
An intermediate transfer member that includes a mixture of a polyamideimide, an ammonium alkylphosphate, an optional polysiloxane, and an optional conductive filler.
US08409716B2 Glass or glass-ceramic substrate with scratch-resistant coating and method for the production thereof
The invention in general relates to glass or glass-ceramic products. In order to protect the surface of such products against scratching, a silicon oxynitride coating with special composition is provided.
US08409711B2 Heat resistant phosphate cement
An article of manufacture including reinforcement material embedded in a phosphate cement composition is provided. The phosphate cement composition includes about 10 to 40 percent by weight calcium or magnesium oxide, about 10 to 40 percent by weight acid phosphate, and about 10 to 50 percent by weight vermiculite or perlite or mixture thereof.
US08409710B2 Particles with structured surface
Particles with a structural surface which are useful to produce abrasion resistant self-cleaning surfaces contain particulate solid particles having a superstructured overlayer of fine particles. The particles may be prepared by polymerizing a polymerizable siloxane, silane, or mixture thereof in a Pickering emulsion.
US08409698B2 Image transfer product including a thin printing surface layer
An image transfer product such as an offset printing blanket or sleeve is provided which comprises a very thin printing surface layer in combination with a smooth image reinforcement layer comprising a polymeric film, a fabric, or a polymer-coated fabric. The thin printing surface layer has a thickness between about 0.001 to about 0.012 inches (about 0.025 to about 0.3 mm). The image transfer product is more efficiently manufactured due to reduced solvent usage and reduced number of coating passes, and in use, exhibits uniform feed, web control, and registration characteristics.
US08409695B2 Multilayer nitride hard coatings
A wear resistant multilayer nitride hard coating for substrates. The hard coating includes a first layer of titanium aluminum nitride and a second layer comprising a plurality of sublayer groups. Each sublayer group includes a first sublayer of titanium silicon nitride and a second sublayer of titanium aluminum nitride. The composition of the titanium aluminum nitride, both in the first layer and in the sublayer groups, is (TixAl1-x)N, wherein 0.4≦x≦0.6. The composition of the titanium silicon nitride sublayers is (TiySi1-y)N, wherein 0.85≦y≦0.98, and all of the silicon is in solid solution in the titanium silicon nitride such that no silicon phase or silicon nitride phase exists in this sublayer. The combined amount of aluminum and silicon present in the sublayer groups being narrowly controlled such that the sum of x and y is in the range of 1.38 to 1.46.
US08409692B2 Insulation structure for resistor grids
An insulation board for a resistor grid and methods for manufacturing the same are disclosed. The insulation board consists of a plurality of longitudinal voids. One or more longitudinal structural members are disposed in the longitudinal voids. The longitudinal structural members may be shaped to conform to the shape of the longitudinal voids. The method of constructing the insulation board includes providing a profiled block and inserting one or more longitudinal structural members in the longitudinal voids. Alternatively, the insulation board may be constructed by providing one or more longitudinal structural members and molding a profiled block over the longitudinal structural members. One or more rows of transverse pin holes may be provided along the length of the insulation board for engaging pins of resistive elements of the resistor grid.
US08409688B2 Water Draining foot mat
A water draining foot mat having a base with a plurality of upward extending projections where the upper draining surface of the base is sloped to facilitate the draining of water from the mat and the tops of the projections form a support for people to step on. The projections are sufficiently spaced apart to permit the majority of snow impinging the mat to contact the base where it can melt with the assistance of sunlight or salt so that the resulting water flows off the mat. The mat optionally includes water retaining walls with one or more water exits so that the walls in conjunction with the slope of the upper surface of the base cause water impinging on the mat to flow towards the water exits and off the mat.
US08409684B2 Release sheet for stamper-receiving layer, and optical recording medium-producing sheet
A release sheet, on which a stamper receiving layer for producing an optical recording medium is laminated, comprising a release agent layer on a side to which the stamper receiving layer is laminated, wherein the release agent layer is formed using a release agent that contains an organopolysiloxane (other than an MQ resin below) containing an alkenyl group in the molecule, an MQ resin containing an alkenyl group and having, as constituent components, M units represented by formula (1) R12R2—Si—O—  (1) (where, R1 denotes an organic group and R2 denotes an alkenyl group), and Q units represented by formula (2) and a cross-linking agent, and that does not substantially contain particles consisting of inorganic matter, and the alkenyl group content in said MQ resin ranges from 0.5 to 5 wt %. The release sheet can reduce concave defects on a convex-concave pattern transfer surface of the stamper receiving layer.
US08409681B2 Multi-stage process for producing multi-modal linear low density polyethylene
A process is disclosed for producing a multi-modal linear low density polyethylene in at least two staged reactors connected in series, comprising (i) polymerizing in a first slurry phase stage ethylene monomers with a Ziegler-Natta polymerization catalyst system to obtain a first polyethylene fraction component (A); and (ii) polymerizing in a second gas or slurry phase stage ethylene monomers with a Ziegler-Natta polymerization catalyst system to obtain a second polyethylene fraction component (B). The Ziegler-Natta polymerization catalyst system comprises: 1) a solid procatalyst formed by contacting at least: a) a Mg-alcoholate complex of formula (I) b) an aluminum compound of formula (II); and c) a vanadium compound and a titanium compound having a molar ratio (V:Ti) from 10:90 to 90:10; and 2) one or more organometallic cocatalvsts of formula (III). The linear low density polyethylene shows an improved comonomer composition distribution Formulas (I), (II), and (III) are described herein.
US08409680B2 Polyamide-polydiene blends with improved oxygen reactivity
This application discloses the use of polyamide-polydiene blends to improve the oxygen reactivity in the presence of ionic polyester compatibilizers.
US08409679B2 Packaging laminate, method for manufacturing of the packaging laminate and packaging container produced therefrom
A non-foil packaging laminate for liquid food packaging comprises a core layer of paper or paperboard, outermost liquid tight, heat sealable layers of polyolefin and, applied onto the inner side of the layer of paper or paperboard, an oxygen gas barrier layer formed by liquid film coating of a liquid gas barrier composition and subsequent drying, the liquid composition containing a polymer binder dispersed or dissolved in a liquid medium. The laminate further comprises a layer of a polyolefin-based matrix polymer with inorganic filler particles distributed therein and inorganic particles dispersed also in the gas barrier layer from the liquid gas barrier composition. Also disclosed is a method for manufacturing the packaging laminate and a packaging container made from the packaging laminate.
US08409676B2 Compostable packaging materials and methods
A compostable sheet material comprising a compostable substrate layer, a first compostable and sealable layer on a first side of the substrate layer, and a second compostable and sealable layer overlying said first sealable layer, wherein the material of the second sealable layer has a lower heat-sealing initiation temperature than the material of the first sealable layer. Also provided are environmentally friendly packages comprising the inventive sheet material, and methods of making such packages.
US08409668B2 Method of producing metal cutting tools
The present invention relates to a method of producing metal cutting tools with outstanding performance in terms of toughness, wear resistance and resistance to coating delamination. According to the method the inserts are subjected to a stream of water mixed with blasting media under method conditions that result in an Almén value between 0.5 and 1.
US08409666B2 Process to manufacture main body of bike helmet
A process of manufacturing the main body of a bike helmet includes the following steps: (I.) coating with a base layer resin: that is, uniformly coating the entire shock absorbing liner with a base layer resin; (II.) coating with a protective layer resin: uniformly coating the base layer resin with a protective layer resin to form a shell; (III.) transferring pattern: transferring the pattern to print on the shell; and (IV.) clear coating: spraying clear coating on the pattern. By the aforesaid steps of the process, the shock absorbing liner and the shell are able to join tightly, which solidifies the helmet for better durability, to prolong the years of use, to substantially lower the production cost, and to boost the yield rate.
US08409663B2 Method of making a coated glass substrate with heat treatable ultraviolet blocking characteristics
A method for making a heat treatable coated article including a composite oxide coating with ultraviolet (UV) radiation blocking properties is provided. The composite oxide coating may be formed by applying, then optionally curing, a wet coating solution including a mixture of titanium, ceria, and silica to the substrate over an optional infrared (IR) blocking multi-layer coating (e.g., low-E coating). The ceria, titania, and silica may act as UV blocker(s). An organic polymer top coating may be provided over the composite oxide, where the organic polymer may be formed by exposing a photomonomer and/or photopolymer to radiation (e.g., UV radiation). The coated glass substrate may then be subjected to a high temperature heat treatment step. The coating may be heat and/or crack resistant. The coated article may be effective at blocking IR and/or UV radiation in applications such as window applications.
US08409657B2 Process for producing thick-film tape-shaped re-type (123) superconductor
A production process of a thick-film tape-shaped RE-type (123) superconductor having a high critical current value. The production process has the steps of providing a composite substrate having Gd2Zr2O7 and CeO2 stacked in that order onto a Hastelloy substrate, coating a raw material solution prepared by dissolving a trifluoroacetate of Y and Ba and a naphthenate of Cu onto the composite substrate, heat treating the coated composite substrate by calcination, then subjecting the calcined assembly to intermediate heat-treatment at a temperature below the temperature of heat-treatment for superconductor production, and then heat treating the assembly in an argon gas atmosphere under conditions of highest heating temperature 760° C., water vapor partial pressure 13.5%, and oxygen partial pressure 0.09% for superconductor production to produce a tape-shaped RE-type (123) superconductor having a YBCO superconducting film having a thickness of more than about 2 μm.
US08409650B2 Procedure and shaping device for producing three-dimensional candies
The present invention relates to an innovative procedure for producing three-dimensional candies, preferably of the type known in the food industry as gummy snacks, which eliminates the stamping of starch beds and the cleaning process of the chilled product, furthermore having control of detail on all sides of the product, as well as a transparency and crystallinity never before achieved with the procedures known and traditionally used in the food industry. Likewise, the invention incorporates a completely novel shaping device consisting of two plates connected by a male-female system and with a plurality of product-shaping cavities that are in contact with all sides of the product to be formed.
US08409644B2 Microwavable refrigerated scrambled eggs and process
A microwavable, refrigerated scrambled egg composition. The composition includes a first component that is frozen precooked egg portions. At least about 50% by weight of the frozen egg portions are pieces that are at least about ½ inch in one dimension. The second component is a slurry including pasteurized liquid egg and pregelatinized modified food starch. The precooked egg portions are from about 50% to about 85% by weight of the total scrambled egg composition and the slurry is from about 15% to about 50% by weight of the total scrambled egg composition. Processes for making and methods of using the microwavable, refrigerated scrambled egg composition are also provided.
US08409642B2 Ferulate esterase-producing bacterial strain for enhancing plant fiber digestion in an animal
Ferulate esterase producing bacterial strains or functional mutants thereof and methods of using ferulate esterase producing bacterial strains as forage additives are disclosed.
US08409640B2 Methods and systems for producing ethanol using raw starch and fractionation
The present invention relates to methods for producing high levels of alcohol during fermentation of plant material, and to the high alcohol beer produced. The method can include fractionating the plant material. The present invention also relates to methods for producing high protein distiller's dried grain from fermentation of plant material, and to the high protein distiller's dried grain produced. The method can include drying a co-product by ring drying, flash drying, or fluid bed drying. The present invention further relates to reduced stack emissions from drying distillation products from the production of ethanol.
US08409639B2 Methods and systems for producing ethanol using raw starch and fractionation
The present invention relates to methods for producing high levels of alcohol during fermentation of plant material, and to the high alcohol beer produced. The method can include fractionating the plant material. The present invention also relates to methods for producing high protein distiller's dried grain from fermentation of plant material, and to the high protein distiller's dried grain produced. The method can include drying a co-product by ring drying, flash drying, or fluid bed drying. The present invention further relates to reduced stack emissions from drying distillation products from the production of ethanol.
US08409618B2 Odor-reducing quinone compounds
An odor control composition that comprises an odor-reducing quinone compound and optionally high surface area particles is provided. In one embodiment, the odor-reducing quinone compound is an anthraquinone having the following structure: wherein the numbers 1 through 8 refer to optional substitution positions for functional groups. For example, positions 5 through 8 of the anthraquinone may be unsubstituted with functional groups. Examples of such quinone compounds may include those obtained from a dye selected from the group consisting of Acid Blue 25, Acid Green 41, Acid Blue 45, Mordant Violet 5, Acid Blue 129, Acid Green 25, D&C Green No. 5, Acid Green 27, and combinations thereof.
US08409613B2 Gastric retained gabapentin dosage form
A method of treatment for epilepsy and other disease states is described, which comprises the delivery of gabapentin in a gastric retained dosage form.
US08409610B2 Wound care device
A wound care device for local treatment of pain in a wound, said device comprising an active pain relieving composition, the device being constructed in such a manner that the pain killing agent is released to the wound in such a way that substantially no effective systemic plasma concentration of the pain killing agent can be found and wherein a majority of said pain killing agent is in direct contact with the wound.
US08409609B2 Percutaneous absorption-type pharmaceutical preparation
A stable percutaneous absorption-type pharmaceutical preparation for percutaneous administration of drugs except for selegiline and selegiline hydrochloride, which does not suffer a decrease in the cohesive force of the adhesive layer therein even in the presence of sweat components due to perspiration during wear and which is free from cohesive failure and resultant adhesive remaining when stripped off. A percutaneous absorption-type pharmaceutical preparation which comprises: a support; and an adhesive layer containing an adhesive, a metal chloride and a percutaneously-absorptive drug except for selegiline and selegiline hydrochloride, wherein the adhesive layer is subjected to a crosslinking treatment.
US08409608B2 Methods for producing silver-bonded antimicrobial moist wound dressings and moist wound dressings produced by the methods
Methods for producing silver-bonded antimicrobial moist wound dressings include adding a silver-containing compound to a 0.1-30% aqueous solution of an alkaline solvent to dissociate silver ions from the silver-containing compound; dissolving CMC in water or an organic solvent to obtain a CMC solution; mixing the silver ion-containing solution with the CMC solution so that the hydrogen ions (H+) of the hydroxyl groups of the CMC are replaced by the silver ions to prepare a silver-CMC compound; dispersing and absorbing the silver-CMC compound in a medium; and drying the medium.
US08409605B2 Biocompatible material composition adaptable to diverse therapeutic indications
A kit for forming a biocompatible material provides a protein solution and a polymer solution including a derivative of a hydrophilic polymer with a functionality of at least three. Upon mixing. the protein solution and the polymer solution cross-link to form a non-liquid, three-dimensional network that degrades over time back to a liquid form. The polymer includes a degradation control region selected to achieve a desired degradation period and a cross-linking group selected to achieve a desired cross-linking period. The kit provides instructions for forming a mixture of the protein solution and polymer solution and for applying the mixture. The mixture serves as the foundation for multiple material composition species, each adapted to a specific therapeutic indication.
US08409601B2 Rapamycin coated expandable devices
Medical devices may be utilized for local and regional therapeutic agent delivery. These therapeutic agents or compounds may reduce a biological organism's reaction to the introduction of the medical device to the organism. In addition, these therapeutic drugs, agents and/or compounds may be utilized to promote healing, including the prevention of thrombosis. The drugs, agents, and/or compounds may also be utilized to treat specific disorders, including restenosis, vulnerable plaque, and atherosclerosis in type 2 diabetic patients.
US08409598B2 Copper ion-producing composition
It is an object of the present invention to provide a copper ion-producing composition that has a copper ion (mineral)-based germicidal activity against Legionella spp., Escherichia coli, Staphylococcus aureus, and saprophytic bacteria occurring in the water in drinking water storage tanks, hot springs, bathhouses, and pools, and that can supply mineral, and also to provide a granular composition that contains this copper ion-producing composition. The copper ion-producing composition is obtained by mixing 0.3 to 10 weight parts surfactant with 100 weight parts metallic copper powder. The granular composition is obtained by kneading this copper ion-producing composition with poorly water-soluble material that has a softening point of not less than 70° C. The granular composition is also obtained by coating the copper ion-producing composition on the surface of poorly water-soluble material that has a softening point of not less than 70° C.
US08409596B2 Deodorant compositions
This invention relates to deodorant compositions containing polytrimethylene ether glycol homo- and copolymers and/or polytrimethylene glycol ester(s) in a variety of physical forms. In at least one embodiment, the polytrimethylene ether glycol homo- and copolymers and/or polytrimethylene glycol ester(s) can be made from monomers (e.g., 1,3-propanediol) obtained from renewable resources, and can thus be more environmentally friendly than conventional deodorant compositions in terms of manufacture, use and disposal.
US08409595B2 Method for decreasing sebum production
The present invention is directed to the topical application of the malonamide ACAT inhibitors described by Formula I. Other aspects of the invention are directed to topical formulations of these diamides, their use to treat sebaceous gland disorders and their use to alleviate oily skin.
US08409589B2 Mutant forms of streptolysin O
Forms of GAS25 (streptolysin O) which are not toxic but which still maintain the ability to induce protection against S. pyogenes are useful in vaccine compositions to induce protection against S. pyogenes.
US08409587B2 Immunogenic composition
The present invention relates to immunogenic compositions comprising a dried solid or highly viscous liquid formulation of inactivated polio virus (IPV) and a stabilizing agent wherein the IPV retains its antigenicity and/or immunogenicity. Methods of producing a dried formulation of IPV which retains its antigenicity/immunogenicity are described.
US08409586B2 Stable liquid formulation of human growth hormone
Disclosed herein is a stable liquid formulation comprising human growth hormone; L-lysine, L-arginine or polyethylene glycol 300; and poly(oxyethylene) poly(oxypropylene) copolymer, polyethylene glycol-15 polyoxystearate or polyethylene glycol-35 castor oil.
US08409583B2 Engineered versions of CgtB (β-1,3 galactosyltransferase) enzymes, with enhanced enzymatic properties
CgtB proteins with enhanced beta 1,3-galactosaminyltransferase activity, nucleic acids that encode the CgtB proteins and methods for use of the CgtB proteins.
US08409577B2 Single chain multivalent binding proteins with effector function
Multivalent binding peptides, including bi-specific binding peptides, having immunoglobulin effector function are provided, along with encoding nucleic acids, vectors and host cells as well as methods for making such peptides and methods for using such peptides to treat or prevent a variety of diseases, disorders or conditions, as well as to ameliorate at least one symptom associated with such a disease, disorder or condition.
US08409572B2 Monoclonal antibodies with enhanced ADCC function
The invention concerns a method for obtaining and selecting monoclonal antibodies by an ADDC-type test, said antibodies capable of activating type III Fcy receptors and having a particular glycan structure. The inventive anti-D antibodies can be used for preventing Rhesus isoimmunization in Rh negative persons, in particular for haemolytic disease in a new-born baby of for uses such as idiopathic thrombocytopenic purpura (ITP).
US08409568B2 Mutant antibody Fc domains and fusion proteins thereof
The present invention relates to a viral vector encoding for a library of antibodies or antibody fragments that are displayed on the cell membrane when expressed in a cell. The present invention provides cells comprising the viral vector nucleic acids and methods of screening the libraries for antibodies or antibody fragments with desired characteristics.
US08409564B2 Rhodamine derivatives for photodynamic diagnosis and treatment
The present invention relates to the use of the photoactivable derivatives for the photodynamic treatment for the selective destruction and/or inactivation of immunologically reactive cells without affecting the normal cells and without causing systemic toxicity for the patient, wherein appropriate intracellular levels of said derivatives are achieved and irradiation of a suitable wavelength and intensity is applied.
US08409563B2 Purine-derived substance-producing bacterium and a method for producing a purine-derived substance
A purine-derived substance is produced by culturing a bacterium belonging to the genus Bacillus which is able to produce purine-derived substance and has been modified so that enzymatic activity of fructose bisphosphatase is decreased, and collecting the purine-derived substance from the medium or cells.
US08409562B2 Chimeric vaccine antigens against classical swine fever virus
The current invention describes chimeric vaccine antigens against the virus that causes the Classic Swine Fever (CSFV). Such vaccine antigens are based on viral subunits coupled to proteins able to stimulate cellular and humoral immune system. Chimeric antigens can be produced in expression systems that guarantee a correct tertiary structure folding of the chimeric molecules, which constitute the essence of the current invention. The vaccine formulations containing such chimeric antigens induce an early and potent immune response on vaccinated pigs and confer full protection against CSFV. Moreover, the resultant vaccine formulations prevent the viral transmission from sows to their offspring. The chimeric antigens, as well as the resultant vaccine formulations, can be applied in animal health as vaccines for preventive use in swine.
US08409561B2 Methods and compositions for treatment of ion imbalances
The present invention provides methods and compositions for the treatment of ion imbalances. In particular, the invention provides compositions comprising sodium-binding polymers and pharmaceutical compositions thereof. Methods of use of the polymeric and pharmaceutical compositions for therapeutic and/or prophylactic benefits are disclosed herein. Examples of these methods include the treatment of hypertension, chronic heart failure, end stage renal disease, liver cirrhosis, chronic renal insufficiency, fluid overload, or sodium overload.
US08409560B2 Solid dispersion formulations and methods of use thereof
The present invention relates to formulations and methods for increasing the bioavailability of 1-(4-benzhydrylpiperazin-1-yl)-3,3-diphenylpropan-1-one, 1-(3,3-diphenylpropanoyl)piperazine, or a salt thereof. In particular, the formulation can include one or more pharmaceutically acceptable matrix polymers to form a solid dispersion, e.g., a spray dried dispersion or a hot melt extrusion.
US08409559B2 Functionalized drugs and polymers derived therefrom
Compounds selected from: where DRUG-OH, DRUG-COOH and DRUG-NH2 are biologically active compounds; each X is independently selected from —CH2COO— (glycolic acid moiety), —CH(CH3)COO— (lactic acid moiety), —CH2CH2OCH2COO— (dioxanone moiety), —CH2CH2CH2CH2CH2COO— (caprolactone moiety), —(CH2)yCOO—, where y is 2-4 or 6-24 and —(CH2CH2O)zCH2COO—, where z is 2-24; each Y is independently selected from —COCH2O— (glycolic ester moiety), —COCH(CH3)O— (lactic ester moiety), —COCH2OCH2CH2O— (dioxanone ester moiety), —COCH2CH2CH2CH2CH2O— (caprolactone ester moiety), —CO(CH2)mO—, where m is 2-4 or 6-24 and —COCH2O(CH2CH2O)n— where n is between 2-24; R′ is hydrogen, benzyl or an alkyl group, the alkyl group being either straight-chained or branched; and p is 1-6. Multi-functional compounds and drug dimers, oligomers and polymers are also disclosed.
US08409542B2 Method and system for the production of potassium sulfate from potassium chloride
A method and a system for the production of potassium sulfate. The method comprises reacting a chloride of potassium with a magnesium sulfate in an aqueous alcoholic solution, with recycling of magnesium sulfate and production of hydrochloric acid, where the recycling of magnesium is done by a reaction of sulfuric acid on resulting magnesium chloride with corresponding production of magnesium sulfate and hydrochloric acid.
US08409533B1 Boundary layer carbonation of Trona
A boundary layer carbonation process for producing sodium bicarbonate crystals having specific surface area in the range 0.4 m2/g to 2.5 m2/g from Trona, wherein in one embodiment the process comprises the steps of: providing Trona particles having a particle size range of −4+120 mesh; pre-wetting the Trona particles with water to provide a plurality of pre-wetted Trona particles each having a liquid water solution boundary layer deposited thereon; and carbonating the pre-wetted Trona particles across the water boundary layer to provide a product comprising sodium bicarbonate crystals.
US08409532B2 Apparatus for insulated isothermal polymerase chain reaction
An apparatus for holding a test tube in which insulated isothermal polymerase chain reaction is performed includes a heat insulating mount and a heating member. The heat insulating mount has a main body provided with a receiving space for receiving a bottom of the test tube, a lateral channel communicated between the receiving space and an ambient environment, and an upper channel communicated between the receiving space and the ambient environment for insertion of the test tube. The heating member is inserted into the lateral channel for stopping at the bottom of the test tube. The apparatus can minimize the influence caused by the high temperature generated from the heat source on the heat dissipation of the reaction mixture in middle and upper sections of the test tube. The apparatus is suitable for the fluorescent detection of PCR reaction.
US08409528B2 Apparatus and method for handling fluids for analysis
A reaction vessel with a bottom drain opening supporting a selected unpressured head of fluid by the surface tension of the fluid. A device processing zone includes a support for spaced rows of reaction vessels, passages communicating with their drain openings of supported vessels, and a pressure source for selectively draining fluid through the drain openings. Generally horizontal bar magnets are supported for selected vertical movement between the vessel rows. A dispensing head has X discharge openings selectively positionable over X selected reaction vessels. A metering pump mechanism selectively meters X a selected quantity of fluid a bulk supply (where X is at least four), and selectively pumps the metered selected quantities through the drain openings to the selected reaction vessels. Methods of drawing fluid from the vessels using the pressure source, and moving the magnets to form a pellet of analyte are also included.
US08409526B2 Cellulose substrates, compositions and methods for storage and analysis of biological materials
The invention provides a method and article for storing genetic material or analytes from a biological sample by contacting said biological sample with a cellulose substrate comprising structural units of Formula I wherein X and Y are independently N—O-L-A or O, with the proviso that when Y is O, then X is N—O-L-A, and when X is O, then Y is N—O-L-A; L is a direct bond, an aliphatic radical, an aromatic radical, a cycloaliphatic radical, or a combination thereof; and A=COOH, SO3H, or a combination thereof. The invention also relates to a cellulose substrate comprising the structural units of Formula I, and a method of manufacturing the same.
US08409524B2 Aerial vehicle with paint for detection of radiological and chemical warfare agents
A paint that warns of radiological or chemical substances comprising a paint operatively connected to the surface, an indicator material carried by the paint that provides an indication of the radiological or chemical substances, and a thermo-activation material carried by the paint. In one embodiment, a method of warning of radiological or chemical substances comprising the steps of painting a surface with an indicator material, and monitoring the surface for indications of the radiological or chemical substances. In another embodiment, a paint is operatively connected to a vehicle and an indicator material is carried by the paint that provides an indication of the radiological or chemical substances.
US08409512B2 Method and apparatus for use of reacted hydrogen peroxide compounds in industrial process waters
Methods and apparatuses are described for contacting an oxidizing solution such as an aqueous hydrogen peroxide composition of hydrogen peroxide and at least one additive that catalyzes the decomposition of the hydrogen peroxide into hydroxyl radicals with an atmospheric effluent containing odorous and/or noxious components. These components are absorbed by the aqueous hydrogen peroxide composition to produce an atmospheric effluent having reduced amounts of the odorous and/or noxious components. Various methods are described for adding the hydrogen peroxide and the decomposition additive.
US08409511B2 Organic chemical sensor with microporous organisilicate material
Sensing elements for sensing organic chemical analytes are disclosed. The sensing elements include a first electrode and a second electrode, and a substantially microporous, amorphous, hydrophobic, analyte-responsive organosilicate material in proximity to the first and second electrodes.
US08409509B2 Systems and methods for analyzing a particulate
Systems and methods are provided for analyzing particulates. A liquid having a plurality of particulates substantially linearly ordered in a streamline can be externally controlled to provide flow in first and second directions, where, generally, the first direction is opposite to the second direction. A target particulate can be measured from the plurality of particulates at or near a measurement area while the liquid flows in the first flow direction. The flow direction can be reversed and measured at the measurement area while flowing in the second direction. The particulates substantially retain the same linear order during at least one cycle, a cycle being defined by movement in the first direction followed by movement in the second direction.
US08409503B2 Coloration analyzing apparatus and method
Viscosity of a test body solution spotted onto a test piece is detected. The test piece has an insoluble support, through which the test body solution is developed, and a test region formed on the insoluble support, the test region reacting with an analyte in the test body solution and undergoing coloration. A washing liquid is fed to the test region and its neighboring regions at the time between a stage, at which the test body solution has been developed through the test region, and a stage, at which a liquid for amplifying the coloration state of the test region is fed to the test piece, such that the quantity of the washing liquid fed to the test region and its neighboring regions is set to be large as the detected viscosity becomes high.
US08409501B2 Method of deactivating contaminants in a region using a mobile deactivation apparatus
A mobile deactivation apparatus for deactivating contaminants within a defined region that includes a source of a vaporous deactivating agent, a gas handling system, a support member, a drive means, a control system, and a power system is disclosed. The gas handling system dispenses the vaporous deactivating agent to the defined region. The support member is movable in the defined region and supports the source of the vaporous deactivating agent and the gas handling system. The support member can be propelled by the drive means. The control system is programmed to control the operation of the gas handling system and the drive means. A power system is provided to supply power to the mobile deactivation apparatus.
US08409499B2 Method for establishing a sterilizing dose for radiation sensitive products
A method provides for sterilizing with radiation objects which have a low bioburden and which are sensitive to radiation. A dosage of radiation sufficient to ensure sterilization without damaging the object is determined by determining the bioburden upon one or more samples of the objects, determining an estimate of the dose that results in a preselected probability (e.g., 0.1, 0.01, 0.001, 0.0003162 . . . etc. (i.e., SAL=10−1, 10−2, 10−3, 10−3.5 . . . etc.)) of a surviving microorganism on the objects preferably by testing a quantity of samples of the objects at varying dosage levels of radiation, confirming the estimate by testing a quantity of samples of the objects at the dose that was estimated; and calculating a dosage for the sterility assurance level of 10−6 by adding a factor to the dose that was confirmed to result in said preselected probability of a surviving microorganism on the objects and wherein the factor is proportional to the dose that yields said preselected probability of a surviving microorganism on the objects and inversely proportional to a log of the bioburden.
US08409498B2 Method of producing a sputter target material
A sputter target material which is of a sintered material, wherein the sputter target material consists of 0.5 to 50 atomic % in total of at least one metal element (M) selected from the group of Ti, Zr, V, Nb and Cr, and the balance of Mo and unavoidable impurities, and has a microstructure seen at a perpendicular cross section to a sputtering surface, in which microstructure oxide particles exist near a boundary of each island of the metal element (M), and wherein the maximum area of the island, which is defined by connecting the oxide particles with linear lines so as to form a closed zone, is not more than 1.0 mm2.
US08409486B2 Method for making structural parts with reinforcement fiberes embedded in a matrix material using thermoplastic fibers containing polyhydroxyether
The invention describes a new synthetic fiber material of polyhydroxyether, as well as a melt-spinning method for its production. The new material can be used, in particular, for stabilization of the reinforcement fibers of high-performance fiber composite materials before they are embedded in the matrix material. During this usage, the polyhydroxyether fiber material dissolves at a temperature above its glass transition temperature entirely in the matrix material, so that the reinforcement fibers can be arranged largely free of kinking. In addition, it forms cross-links with the matrix material to form a homogeneous matrix and thus does not constitute a disruptive third phase in the composite material. The compatibility of the matrix and reinforcement fiber is also improved. It was possible to improve the bending strength of test slabs by 12% as compared to that of reference slabs with polyester filament.
US08409480B2 Process for preparing organic alkali metal compound and organic transition metal compound
[Problem] To provide a preparation process by which an organic alkali metal compound is obtained in a high yield and a process for preparing an organic transition metal compound using the organic alkali metal compound. [Means to solve the problem] A process for preparing an organic alkali metal compound, which is characterized by adding a compound represented by the following formula (2) in the reaction of an active proton-containing compound represented by the following formula (1) with an alkali metal compound. RHp   (1) In the formula (1), R is a hydrocarbon group or an amino group and may contain a halogen atom, a silicon atom, an oxygen atom or a nitrogen atom, H is an active proton, and p is the number of hydrogen atoms abstracted in the reaction with the alkali metal compound. In the formula (2), Ra to Rc are each an atom or a group selected from a hydrogen atom, a hydrocarbon group, a heteroatom-containing group and a silicon-containing group and may be the same as or different from each other, and the neighboring substituents may be bonded to each other to form a ring.
US08409479B2 Ceramifying composition for fire protection
The invention relates to a ceramifying composition for forming a fire resistant ceramic under fire conditions the composition comprising: (i) at least 10% by weight of mineral silicate; (ii) from 8% to 40% by weight of at least one inorganic phosphate that forms a liquid phase at a temperature of no more than 800° C.; and (iii) at least 15% by weight based on the total weight of the composition of a polymer base composition comprising at least 50% by weight of an organic polymer.
US08409477B2 ZnO vapor deposition material, process for producing the same, and ZnO film
A ZnO vapor deposition material for formation of a transparent conductive film or the like consists mainly of a porous ZnO sintered body containing one or more first additive elements selected from Ce, La, Y, Pr, Nd, Pm, and Sm, and second additive elements selected from Al, Ga, Sc, and B. The content of the first additive elements is higher than the content of the second additive elements. The content of the first additive elements is in a range of 0.1 to 14.9% by mass, and the content of the second additive elements is in a range of 0.1 to 10% by mass. The sintered body has a porosity of 3 to 50%.
US08409475B2 Method of making semiconductor nanocrystal composites
A semiconductor nanocrystal composite comprising a semiconductor nanocrystal composition dispersed in an inorganic matrix material and a method of making same are provided. The method includes providing a semiconductor nanocrystal composition having a semiconductor nanocrystal core, providing a surfactant formed on the outer surface of the composition, and replacing the surfactant with an inorganic matrix material. The semiconductor nanocrystal composite emits light having wavelengths between about 1 and about 10 microns.
US08409472B2 Red emitting luminescent materials
The invention relates to an improved red light emitting material of the formula M1−yA1+xSi4−xN7−x−2yOx+2y:RE whereby M is selected out of the group comprising Ba, Sr, Ca, Mg or mixtures thereof, A is selected out of the group comprising Al, Ga, B or mixtures thereof, RE is selected out of the group comprising rare earth metals, Y, La, Sc or mixtures thereof and x is ≧0 and ≦1 and y is ≧0 and ≦0.2. This material is believed to crystallize in a novel structure type that comprises two individual lattice sites for rare earth metal incorporation, which leads to an improved lighting behaviour.
US08409470B2 Phosphor and light-emitting equipment using phosphor
An object of the present invention is to provide an inorganic phosphor having fluorescence properties emitting an orange or red light which has a longer wavelength as compared with the cases of conventional sialon phosphors activated with a rare earth.The invention relates to a design of white light-emitting diode rich in a red component and having good color-rendering properties by employing a solid solution crystal phase phosphor which uses as a host crystal an inorganic compound having the same crystal structure as that of a CaSiAlN3 crystal phase and to which M (wherein M is one or two or more elements selected from the group consisting of Mn, Ce, Pr, Nd, Sm, Eu, Tb, Dy, Ho, Er, Tm, and Yb) is added as an emission center.
US08409465B1 Deicing salt composition and method for preparing the same
A deicing salt composition, including: between 95 and 98 wt. % of a formate, between 1 and 3.5 wt. % of a silicate, between 0.05 and 0.5 wt. % of sodium metaaluminate, between 0.1 and 1 wt. % of sodium carbonate, and between 0.05 and 0.5 wt. % of a organophosphate containing a phosphoryl group. The formate is selected from the group consisting of sodium formate, potassium formate, or a mixture thereof. The silicate is selected from the group consisting of sodium disilicate, potassium disilicate, sodium metasilicate, potassium metasilicate, or a mixture thereof. The organophosphate containing the phosphoryl groups is selected from the group consisting of hydroxy ethyl disodium diphosphate, 2-phosphate butane-1, 2,4-tricarboxylic acid tetrasodium, α-hydroxy-phosphorus sodium acetate, or a mixture thereof.
US08409464B2 Lubricant for compression refrigerating machine and refrigerating apparatus using the same
The present invention provides a lubricating oil for a compression type refrigerator including a polyvinyl ether-based compound containing an alkylene glycol or polyoxyalkylene glycol unit and a vinyl ether unit in a molecule and having a molecular weight in a range of 300 to 3,000, and an organic carboxylic acid alkali metal salt. The lubricating oil for a compression type refrigerator of the present invention has high miscibility and high viscosity index, and excellent in wear resistance and storage stability under carbon dioxide atmosphere.
US08409460B2 Forming method of amorphous carbon film, amorphous carbon film, multilayer resist film, manufacturing method of semiconductor device, and computer-readable storage medium
An amorphous carbon film forming method is performed by using a parallel plate type plasma CVD apparatus in which an upper electrode and a lower electrode are installed within a processing chamber, and the method includes: disposing a substrate on the lower electrode; supplying carbon monoxide and an inert gas into the processing chamber; decomposing the carbon monoxide by applying a high frequency power to at least the upper electrode and generating plasma; and depositing amorphous carbon on the substrate. It is desirable that the upper electrode is a carbon electrode.
US08409458B2 Process for reactive ion etching a layer of diamond like carbon
Provided is a process for manufacturing a diamond like carbon layer. The process for manufacturing the diamond like carbon layer includes, without limitation, forming a layer of diamond like carbon over a substrate, and reactive ion etching the layer of diamond like carbon.
US08409453B1 Method and system for providing a wrap-around shield using a patterned seed layer
A method and system for fabricating magnetic recording transducer are described. The magnetic recording transducer has a main pole, a nonmagnetic gap covering the main pole, and a field region distal from the main pole. A portion of the nonmagnetic gap resides on the top of the main pole. The method and system include providing a patterned seed layer. A thick portion of the patterned seed layer is thicker than a thin portion of the patterned seed layer. At least part of the thick portion of the patterned seed layer resides on a portion of the field region. A wrap-around shield is on the patterned seed layer. At least part of the thin portion of the patterned seed layer is in proximity to and exposed by the wrap-around shield. The method and system also include field etching the field region distal from the wrap-around shield.
US08409452B2 Through-hole forming method, inkjet head, and silicon substrate
A through-hole forming method includes steps of forming a first impurity region (102a) around a region where a through-hole is to be formed in the first surface of a silicon substrate (101), the first impurity region (102) being higher in impurity concentration than the silicon substrate (101), forming a second impurity region (102b) at a position adjacent to the first impurity region (102a) in the depth direction of the silicon substrate (101), the second impurity region (102b) being higher in impurity concentration than the first impurity region (102a), forming an etch stop layer (103) on the first surface, forming an etch mask layer (104) having an opening on the second surface of the silicon substrate (101) opposite to the first surface, and etching the silicon substrate (101) until at least the etch stop layer (103) is exposed via the opening.
US08409446B2 Automatic draining system to drain fluid from a filter
An automatic draining system is provided. The system includes a floating valve having a density less than a first fluid and greater than a second fluid. The floating valve has a floating position that allows the first fluid to pass through a floating valve opening when the floating valve compartment is filed with the first fluid, and a sealing position that prevents the first and second fluids from passing through the floating valve opening when the floating valve compartment is not filled with the first fluid. Also included is a solenoid valve in fluid communication with the floating valve compartment. The solenoid valve has a solenoid opening that is open when the solenoid valve is energized and is closed when the solenoid valve is de-energized. Further included is a filter media downstream of the solenoid valve that filters the first liquid prior to the first fluid exiting the system.
US08409441B2 Blood treatment systems and methods
Dialysis systems comprising actuators that cooperate to perform dialysis functions and sensors that cooperate to monitor dialysis functions are disclosed. According to one aspect, such a hemodialysis system comprises a user interface model layer, a therapy layer, below the user interface model layer, and a machine layer below the therapy layer. The user interface model layer is configured to manage the state of a graphical user interface and receive inputs from a graphical user interface. The therapy layer is configured to run state machines that generate therapy commands based at least in part on the inputs from the graphical user interface. The machine layer is configured to provide commands for the actuators based on the therapy commands.
US08409439B1 Pressurized digester vessel
A high pressure mixer is designed to generate high mixing turbulence within the bottom zone of a liquid holding vessel while simultaneously entraining and transferring liquid from the upper surface down to the vessel bottom. Mixing action is accomplished through the incorporation of two jet nozzles, nested one inside the other, strategically located and powered by pressurized liquid drawn from the vessel being mixed. Surface liquids are entrained using a weir section that is either a fixed or floating component of the mixer assembly. Liquid used for developing outlet velocity in primary jet is drawn from multiple locations including the vessel center zone, the vessel bottom and mixer annulus. Vessel pressure is maintained sufficiently high for a period to create a high concentration of dissolved gas in the slurry during digester operation. After shutting off the mixer pressure is lowered to release dissolved gas resulting in a three region segregation of slurry components.
US08409437B2 Underdrain system
A filter system for filtering water and/or wastewater which includes an underdrain system having a plurality of laterals that are anchored to the floor of a filter by a hold-down assembly. Preferably, the hold-down assembly does not rely on grout or other substance that is applied in a viscous or semi-viscous state and subsequently hardened as the primary means for connecting the underdrain laterals to the floor of the filter. Preferably, the hold-down assembly includes hold-down clips that can move relative to an anchor assembly and corresponding underdrain blocks so that the hold-down clips can cooperate with any desired portion of the corresponding underdrain blocks.
US08409436B2 Rotary disc filter and module for constructing same
A rotary disc filter device includes a rotary drum and one or more disc-shaped filter members secured about the drum. Each disc-shaped filter member includes a filter and a filter support comprising a series of modules. The modules each include a base that is secured to the rotary drum and a support arm projecting outwardly from the base. The modules are interconnected and disposed around the rotary drum.
US08409435B2 Fluid purification pump control apparatuses and methods
A pump in fluid communication with the outlet of a fluid purification apparatus. A pump may furthermore provide fluid to the inlet of the fluid purification apparatus. An embodiment includes a fluid driven rotating inlet device in fluid communication with the inlet of the fluid purification apparatus and an outlet impeller in fluid communication with the outlet of the fluid purification apparatus.
US08409434B2 Chlorinators
Treatment devices for fluid such as water are described. Principally (although not necessarily exclusively) designed for use as chlorinators, the devices may divert water flowing in conduits into the devices for treatment and subsequent return to the flow stream. A return tube of the device may be designed to create vortex action, using a pressure differential to evacuate treated water from the device back into the conduit.
US08409433B2 Device for use with floor drains
A device configured for being received within a floor drain is provided. The device includes a basket assembly containing a liquid-soluble treatment material therein. A drain cover defines an opening that receives the basket assembly. A basket assembly lid defines at least one aperture for allowing flow of liquid into the basket assembly, the basket assembly includes a flange for cooperatively engaging a recess defined in the drain cover to thereby engage the lid with the drain cover.
US08409432B2 Installation for the treatment of wastewaters and biological disc for such an installation
Installation for the treatment of municipal and/or industrial wastewaters comprising a basin that receives the water to be treated and a series of discs (4) having a packing (G) that are parallel and vertical, mounted on a horizontal shaft (5), partially submerged in the water to be treated, and rotated so that the biomass which grows on the surface of the packing of the discs is alternately brought into contact with the water to be treated and oxygen from the air. The configuration of the packing (G) of the discs (4) is chosen so that the developed surface area of the packing in one zone of the disc increases with the distance from this zone to the axis of rotation (X-X).
US08409430B2 Purging assembly for a filter housing
A purging assembly for a fuel filter housing. The purging assembly comprises a shaft having towards an upper end an upper sealing element, located around the perimeter of the shaft, and having towards a lower end a lower sealing element, located around the perimeter of the shaft. A sensor is integrated with the shaft.
US08409425B2 Biosensor coating composition and methods thereof
The disclosure provides for reagent compositions for biosensors comprising release polymers, methods of making such biosensors and films of reagent compositions comprising release polymers. The reagent compositions comprise a release polymer and an effective analyte detecting amount of an enzyme an enzyme cofactor and a redox compound capable of acting in a biosensor as (i) a redox mediator associated with a working electrode (ii) a redox couple associated with a reference electrode or (iii) the redox mediator associated with the working electrode and the redox couple for the reference electrode.
US08409424B2 Electrochemical test strip, electrochemical test system, and measurement method using the same
An electrochemical test strip, an electrochemical test system, and a measurement method using the same are provided. The electrochemical test strip includes an insulating substrate, an electrode system formed on the insulating substrate, and an insulating layer formed on the electrode system. The electrode system includes a set of measurement electrodes, a set of identifying electrodes, and a resistive path having a predetermined resistance value. The set of identifying electrodes is made of metal material, and the resistive path is made of non-metal material. The set of measurement electrodes includes a reference electrode and a working electrode insulated from each other, and the set of identifying electrodes includes a first identifying electrode and a second identifying electrode connected with each other through the resistive path. The insulating layer covers a part of the electrode system, wherein a part of the electrode system not covered by the insulating layer forms a reaction region with a supply port. When a sample is injected into the supply port of the reaction region, the injected sample reaches the set of measurement electrodes and the set of identifying electrodes in sequence.
US08409417B2 Electrowetting based digital microfluidics
Apparatus and methods are provided for liquid manipulation utilizing electrostatic field force. The apparatus is a single-sided electrode design in which all conductive elements are embedded on the first surface on which droplets are manipulated. An additional second surface can be provided parallel with the first surface for the purpose of containing the droplets to be manipulated. By performing electrowetting based techniques in which different electrical potential values are applied to different electrodes embedded in the first surface in a controlled manner, the apparatus enables a number of droplet manipulation processes, including sampling a continuous liquid flow by forming individually controllable droplets from the flow, moving a droplet, merging and mixing two or more droplets together, splitting a droplet into two or more droplets, iterative binary mixing of droplets to obtain a desired mixing ratio, and enhancing liquid mixing within a droplet.
US08409409B2 System, method and apparatus for measuring electrolysis cell operating conditions and communicating the same
System, method and apparatus for measuring electrolysis cell operating conditions and communicating the same are disclosed. The system includes a selectively positionable member coupled to an analytical apparatus, wherein the selectively positionable is configured to move the analytical apparatus into and out of physical communication with a bath. The system may also include a crust breaker for breaking the surface of a bath and an electronic device for measuring bath level.
US08409406B2 Recycling of tires, rubber and other organic material through vapor distillation
A processor for vacuum distillation of whole tires including; an insulated housing having a door on one end, and rails on a lower surface of the housing to support a cart loaded with whole tires. A plurality of first heat tubes arranged around and spaced from a central radiant heat tube, the central radiant heat tube having an unsupported end adjacent the door such that whole tires loaded on the cart and through the door will pass over the central radiant heat tube such that the central radiant heat tube is located in a central opening of each tire on the cart.
US08409404B2 Multi-ply paper towel with creped plies
A multi-ply absorbent towel made from papermaking fiber comprising at least a first ply and a second ply bonded together, the towel having a basis weight of greater than 30 lbs per 3000 ft2 ream (48.8 gsm) and less than 50 lbs per 3000 ft2 ream (81.4 gsm), wherein the plies are selected and adhered together such that the towel typically exhibits (i) a GM TEA, mm-g/mm2 of greater than [0.00125 (GM Tensile, g/3″)−0.75] and (ii) a GM Tensile Modulus, g/in/%, less than [0.0083 (GM Tensile Strength, g/3″)+15.4] {(i) a GM TEA, mm g/mm2, of greater than [0.00952 (GM Tensile, g/cm)−0.75] and (ii) a GM Tensile Modulus, g/cm/%, less than [0.0249 (GM Tensile Strength, g/cm)+6.06}.
US08409401B2 Separating method for dark ceramics sintered body
There are provided an aqueous solution for separation of a dark ceramics sintered body, which can easily collect in a recyclable condition a glass from a glass with a dark ceramics sintered body, and a separating method therefor, and an aqueous solution for separation with which a dark ceramics sintered body, a conductive ceramics sintered body and a glass are separately collected from a glass with a dark ceramics sintered body formed with the dark ceramics sintered body and the conductive ceramics sintered body, and a separating method therefor. A treatment liquid (hydrofluoric acid, a mixture of ammonium fluoride and an acid, etc.) having an etching ability for at least one of a glass and a dark ceramic sintered body is prepared as an aqueous solution 20 for separation of the dark ceramics sintered body, then type A treatment liquid is charged in a container 11, and a glass with a dark ceramics sintered body 30 is placed in the container 11.
US08409396B2 Connection between composites with non-compatible properties and method for preparation
A connection between composites with non-compatible properties and a method of preparing of such connections are provided. The composites comprise first and second type fibers, respectively, as well as resin. The connection comprises a transition zone between the composites having a layered structure. The transition zone may optionally comprise a transition member and the transition member may optionally be integrated with one or more of the composites. Examples of non-compatible properties where the present connection will be appreciated are great differences in stiffness, e.g. Young's modulus, or in coefficient of thermal expansion.
US08409394B2 Fluid pressure lamination system
A lamination apparatus has opposed plenums that project pressurized fluids toward a fluid pressure lamination zone. Material sheets to be laminated are advanced through the lamination zone and laminated together due to the pressure exerted by the pressurized fluid.
US08409393B2 Method of welding a component part to a plastic fuel tank
In welding a component part (20) to a tank main body (10) of a plastic fuel tank of a laminated structure, an annular upright wall (15) is formed in a tank main body wall so as to define an opening therein. An end surface of a weldable layer of the component part is welded to an outer surface of a weldable layer of the tank main body surrounding the annular upright wall to form a first welded part (31) and to an end surface of the weldable layer of the tank main body exposed at the top surface of the annular upright wall to form a second welded part (32) while the HC barrier layers (12, 24) are kept substantially aligned with each other at the interface between the two parts. Thereby, the required HC permeation blocking property and an adequate welding strength can be achieved at the same time.
US08409391B2 Method for constructing composite building boards using dissolvable films
Disclosed are building board manufacturing techniques that minimize the build-up of slurry on associated forming equipment and also produce panels with enhanced physical properties. The methods involve applying a dissolvable film laminate to one or more fiber mats at the outset of the forming process. In the un-dissolved state, the film acts as a containment envelope for the gypsum slurry and any free floating glass fibers. During subsequent curing, the film is dissolved by vaporized water. In its dissolved state, the film is liquefied and coats the fibers of the underlying mat. This results in a building board with improved physical properties.
US08409387B2 Process for producing components
A process for producing hollow fiber-reinforced components includes impregnating fibers with an impregnating material, preorienting the impregnated fibers, passing the pre-oriented fibers through a heated extrusion die to cure the impregnating material and to form a hollow profile and detaching segments from the hollow profile. The process further includes placing a separating film between the impregnated fibers at one position of the hollow profile prior to curing. The separating film prevents the fibers from bonding as the impregnating material is cured. A segment produced in this way is swung open at the position of the separating film. A subassembly is positioned inside the swung-open segment and then adjacent portions of the segment are permanently bonded together.
US08409384B2 Predictable bonded rework of composite structures
A patch for reworking an inconsistent area of a composite structure comprises a composite laminate patch and a bond joint between the patch and the structure. The bond joint including at least first and second regions respectively having differing properties for releasing strain energy around the inconsistent area at different rates.
US08409381B2 Method and system for bonding wind turbine blades
A method and associated system are provided for bonding component parts of a wind turbine blade along a bond line. A barrier is placed within an internal cavity of the blade at a defined bond line width along the length of the bond line. A plurality of spaced apart external access ports are defined along the bond line into the internal cavity. A bond paste is sequentially injected into the plurality of access ports to fill the bond line.
US08409380B2 Reinforced fiber cement article and methods of making and installing the same
In one embodiment, a reinforced fiber cement article comprising a fiber cement piece and a reinforcing fixture bonded to a portion of the fiber cement piece for improving the performance, strength and durability of the fiber cement piece. The reinforcing fiber cement article could be used as or in conjunction with a siding plank assembly, which further comprises an interlocking feature that allows the siding plank to be stacked with other siding planks in a manner such that a uniform and deep shadow line is created. The interlocking feature sets the gauge of the exposed plank face and allows for leveling of the plank during installation. The reinforcing fixture could also serve as a thick butt piece or a plastic spline that produces a deep shadow line. A cementitious adhesive is used to bond the reinforcing fixture to the fiber cement piece.
US08409377B2 Combustible structural composites and methods of forming combustible structural composites
Combustible structural composites and methods of forming same are disclosed. In an embodiment, a combustible structural composite includes combustible material comprising a fuel metal and a metal oxide. The fuel metal is present in the combustible material at a weight ratio from 1:9 to 1:1 of the fuel metal to the metal oxide. The fuel metal and the metal oxide are capable of exothermically reacting upon application of energy at or above a threshold value to support self-sustaining combustion of the combustible material within the combustible structural composite. Structural-reinforcing fibers are present in the composite at a weight ratio from 1:20 to 10:1 of the structural-reinforcing fibers to the combustible material. Other embodiments and aspects are disclosed.
US08409375B2 Method of producing a copper alloy wire rod and copper alloy wire rod
A method of producing a copper alloy wire rod, containing: a casting step for obtaining an ingot by pouring molten copper of a precipitation strengthening copper alloy into a belt-&-wheel-type or twin-belt-type movable mold; and a rolling step for rolling the ingot obtained by the casting step, which steps are continuously performed, wherein an intermediate material of the copper alloy wire rod in the mid course of the rolling step or immediately after the rolling step is quenched.
US08409372B1 Thermomechanical methodology for stabilizing shape memory alloy (SMA) response
Methods and apparatuses for stabilizing the strain-temperature response for a shape memory alloy are provided. To perform stabilization of a second sample of the shape memory alloy, a first sample of the shape memory alloy is selected for isobaric treatment and the second sample is selected for isothermal treatment. When applying the isobaric treatment to the first sample, a constant stress is applied to the first sample. Temperature is also cycled from a minimum temperature to a maximum temperature until a strain on the first sample stabilizes. Once the strain on the first sample stabilizes, the isothermal treatment is performed on the second sample. During isothermal treatment, different levels of stress on the second sample are applied until a strain on the second sample matches the stabilized strain on the first sample.
US08409368B2 Manufacturing method of grain-oriented magnetic steel sheet
A nitriding treatment (Step S6) in which an N content of a decarburization-annealed steel strip is increased is performed between start of a decarburization annealing (Step S4) and occurrence of secondary recrystallization in a finish annealing (Step S5). In hot rolling (Step S1), a silicon steel material is held in a temperature range between 1000° C. and 800° C. for 300 seconds or longer, and then finish rolling is performed.
US08409367B2 Method of making a nanostructured austenitic steel sheet
A method for producing a nanostructured austenitic sheet by consecutive martensite and austenite phase (γ/α′) transformations, in which the coarse grained austenite sheet transforms to nanocrystalline martensite by strain-induced transformation, and then generates reverse transformation to nanostructured austenite by warm rolling due to dynamic recrystallization.
US08409365B2 Gutter cleaning device
A gutter cleaning device comprising a nozzle body preferably detachably coupled to a wand in fluid communication with a pressurized water source, the nozzle body having a fluid passage means providing fluid communication to a first nozzle obtusely offset from a coplanar, simultaneously operating, second nozzle wherein the first nozzle and the second nozzle are offset about 158 degrees.
US08409364B2 Process for removing a composite coating present on the surface of a gas cartridge
The invention relates to a method for removing at least part of at least one layer of a composite coating that is formed of fibers and at least one resin that is present on the surface of the body of a gas cartridge. In said method, at least one liquid nitrogen stream is dispensed at a temperature less than −100 DEG C at a pressure of at least 00 bars upon contact with said coating so as to remove at least part of said coating layer present on the body of the gas cartridge.
US08409351B2 Production of bulk silicon carbide with hot-filament chemical vapor deposition
A method to grow a boule of silicon carbide is described. The method may include flowing a silicon-containing precursor and a carbon-containing precursor proximate to a heated filament array and forming the silicon carbide boule on a substrate from reactions of the heated silicon-containing and carbon-containing precursors. Also, an apparatus for growing a silicon carbide boule is described. The apparatus may include a deposition chamber to deposit silicon carbide on a substrate, and a precursor transport system for introducing silicon-containing and carbon-containing precursors into the deposition chamber. The apparatus may also include at least one filament or filament segment capable of being heated to a temperature that can activate the precursors, and a substrate pedestal to hold a deposition substrate upon which the silicon carbide boule is grown. The pedestal may be operable to change the distance between the substrate and the filament as the silicon carbide boule is grown.
US08409346B2 Waste storage vessels and compositions therefor
Waste storage vessels formed from a composition including calcium silicate, magnesium or calcium oxides and an acid phosphate are provided. The composition may also include fly ash or kaolin with or without the calcium silicate.
US08409342B2 Magnetic pigments and process of enhancing magnetic properties
A pearlescent pigment and a process for enhancing the magnetic properties of a pearlescent pigment. The pearlescent pigment has a layer with regions of γ-Fe2O3 and regions of α-Fe2O3. The magnetic properties of a pearlescent pigment may be enhanced by the steps of: providing a platelet pigment with a layer of Fe2O3, with a magnetic susceptibility less than 0.1×10−5 m3/kg; reducing some or all of the Fe2O3 to Fe3O4; and oxidizing some or all of the Fe3O4 to γ-Fe2O3. The color difference (ΔE*) between the provided pigment and the resultant pigment is not more than about 5.
US08409341B2 Solvent-based inks comprising coated magnetic nanoparticles
Solvent-based ink compositions which can be used for ink jet printing in a variety of applications. In particular, the present embodiments are directed to magnetic inks having desirable ink properties. The ink of the present embodiments comprise magnetic nanoparticles that are coated with various materials to prevent the exposure of the nanoparticles to oxygen, and provides robust prints.
US08409333B2 Production of ethylene oxide
Process for the recovery of ethylene oxide (EO) from fat absorbent (FA) which comprises providing a feed of elevated temperature FA to an EO Stripper, providing a stripping gas feed and contacting the stripping gas feed at elevated temperature with the elevated temperature FA feed, obtaining stripped lean absorbent (LA) and an EO-containing gas, and providing one or more external process stream feeds to the EO Stripper at a location above the elevated temperature FA feed and at a lower temperature with respect to the elevated temperature FA feed thereby concentrating EO in the EO-containing gas. The process can also include one or more impurity removal stages in the form of one or more side draws from the EO Stripper or an EO Stripper Concentrator. The invention also includes an apparatus for performing the process.
US08409328B2 Substrate transfer device and substrate transfer method
A substrate transfer device includes an atmosphere introduction unit and an atmosphere exhaust unit provided at a top and a bottom portion of a main body of the device, respectively; and a substrate transfer mechanism provided between the atmosphere introduction unit and the atmosphere exhaust unit. The substrate transfer device further includes a downward flow forming unit provided, adjacent to the atmosphere introduction unit, to allow an atmosphere to be introduced through the atmosphere introduction unit and to downwardly flow through the substrate transfer mechanism and be exhausted through the atmosphere exhaust unit; and a gas ionizing unit for ionizing the atmosphere and a particle collecting unit for collecting particles included in the atmosphere, the gas ionizing unit and the particle collecting unit being sequentially provided in the direction in which the atmosphere downwardly flows, between the downward flow forming unit and the substrate transfer mechanism.
US08409326B2 High flux and selectivity SAPO-34 membranes for CO2/CH4separations
SAPO-34 membranes and methods for their preparation and use are described. The SAPO-34 membranes are prepared by contacting at least one surface of a porous membrane support with a synthesis gel. The Si/Al ratio of the synthesis gel can be from 0.3 to 0.15. SAPO-34 crystals are optionally applied to the surface of the support prior to synthesis. A layer of SAPO-34 crystals is formed on at least one surface of the support. SAPO-34 crystals may also form in the pores of the support. SAPO-34 membranes of the invention can have improved selectivity for certain gas mixtures, including mixtures of carbon dioxide and methane.
US08409316B2 Seal arrangement for filter element; filter element assembly; and, methods
A filter cartridge arrangement is provided which includes a media pack comprising Z-filter media, a preform and a housing seal member. Improvements in the preform and seal member are described which include: a single beveled surface of the seal member to facilitate installation; and, an inside region of the seal member having a tip adjacent in inwardly directed lip of the preform, to control flash during molding. A variety of media pack configurations and features are described.
US08409312B2 Filter unit for filtering gaseous fluids
The invention relates to a filter unit for filtering gaseous fluids, comprising a filter insert part with a filter medium and a sealing element, said element being clamped between sealing struts on parts of the filter housing. The clamping force produced by the sealing struts that lie opposite one another runs at least approximately perpendicularly to the mounting direction of the housing parts.
US08409311B2 Filtering device
The invention relates to a filtering device, comprising a tubular filter element and a carrier part, with the filter element fastened thereon. The carrier part can be inserted between an unfiltered fluid pipe and a filtered fluid pipe. The pipes can be assembled into a continuous flow pipe, wherein the filter element protrudes into the pipe interior.
US08409310B2 Dust extraction device
A dust extraction device for a machine hand tool is provided. The dust extraction device includes an outer tube arranged about an axis, the outer tube being closed on the first axial end thereof by a wall. An opening is provided for a pump connection, the opening being arranged in a position neighboring the wall in a shell wall of the outer tube. An attachment feature is provided having a sheath-like shape and arranged on the side of the wall which lies opposite the outer tube in an axial extension of the outer tube. An inner tube is arranged inside the outer tube about the axis, and penetrates the wall. A length of the inner tube inside the outer tube is at least half of the length of the outer tube.
US08409309B2 Hollow body comprising an integrated oil separator unit
A hollow body, in particular a camshaft, is provided. The hollow body includes an integrated oil separator unit, a swirl generator being located in a cavity of the hollow body and the hollow body having at least one supply opening in the camshaft case for introducing gas that is charged with oil into the cavity and at least one discharge opening for carrying away separated oil and gas that has been cleaned of oil. The hollow body has an oil separator ring inside the cavity, downstream of the swirl generator when viewed in the flow direction.
US08409308B2 Process for manufacturing polishing pad
A method for manufacturing a polishing pad that has a high level of optical detection accuracy and is prevented from causing slurry leak from between the polishing region and the light-transmitting region includes preparing a cell-dispersed urethane composition by a mechanical foaming method; placing a light-transmitting region at a predetermined position on a face material or a belt conveyor, continuously discharging the cell-dispersed urethane composition onto a part of the face material or the belt conveyor where the light-transmitting region is not placed; placing another face material or belt conveyor on the discharged cell-dispersed urethane composition; curing the cell-dispersed urethane composition to form a polishing region including a polyurethane foam, so that a polishing sheet is prepared; applying a coating composition containing an aliphatic and/or alicyclic polyisocyanate to one side of the polishing sheet and curing the coating composition to form a water-impermeable film; and cutting the polishing sheet.
US08409304B2 Cetane-improving component for diesel fuels and diesel fuels containing it
Cetane improver for diesel fuels, characterized in that it comprises at least one ester of stearic acid, selected from the esters of linear or branched monoalcohols containing 1 to 6 carbon atoms: a) added in the pure state to a mixture of at least one vegetable or animal oil ester in crude or partially hydrogenated form b) or else included in a mixture of esters of one or more vegetable and/or animal oils, in crude or partially hydrogenated form, the mixtures of saturated or unsaturated esters being such that the ratio by mass of the amount of stearic ester(s) to the total sum of the amounts of unsaturated esters present in the vegetable or animal oil esters varies from 1% to 12%, and the unsaturated fatty acid esters being esters of mono or polyunsaturated acids containing at least 18 carbon atoms with monoalcohols.
US08409299B2 Limb stump receiving sleeve comprising an integrated locking device for a sealing element
The invention relates to a limb stump receiving sleeve (1) comprising an integrated locking device for locking a limb by sealing a distal region of the interior of the stump receiving sleeve in relation to the limb and the surrounding atmosphere, a functional element (3) of the device being integrated into the inner face of the receiving sleeve and a sealing element (5) that extends inwards being reversibly attachable to the functional element in a positive fit, preventing air from flowing in behind said element.
US08409298B2 Attachment system for prosthesis comprising socket liner with strap
An attachment system secures a residual limb to an artificial limb in a comfortable and substantially non-rotational manner. The attachment system offers proximal anchoring of the residual limb in a hard socket, by connecting a side surface of a residual limb liner to the side surface of the socket. Preferably, this connection is accomplished using an extension member extending from the liner through a portion of the socket interior, through the socket wall, and attaching to a latch mechanism. The preferred attachment system requires no clearance in the bottom of the socket well because neither the extension member nor the latch mechanism is located between the distal end of the residual limb/liner and the bottom of the well. The attachment system is preferably disposed entirely along the side of the limb and the side of the socket.
US08409296B2 Brow lift implant and method
A substantially flat implant for repositioning a patient's eyebrow that may allow for a gradient lift across the eyebrow. The implant may be geometrically non-symmetrical, and/or may have non-symmetric physical properties. The implant may include a foot portion that extends along a first longitudinal axis and has a length, and a vertical strip portion that extends outwardly from the foot portion along a second longitudinal axis. The strip portion has a length and a width that is smaller than the length of the foot. The second longitudinal axis is offset from the center of the length of the foot portion.
US08409294B2 Cup component of an orthopaedic joint prosthesis
A cup component of an orthopaedic joint prosthesis comprises a hollow shell wherein the head part of a mating component of the joint can be received. The shell has a flange at its open face extending around at least part of its periphery with at least two major threaded bores extending through the flange. The flange also provides at least one minor threaded bore, wherein the diameter of the minor bore is less than that of the major bores and the pitch of the thread in the minor bore is less than that of the thread in the major bores. The cup component includes at least two fixation screws whose shanks have approximately equal diameters, each of which is threaded on its external surface (i) to engage the thread a respective one of the bores in the shell flange, and (ii) to threadingly engage the bone, and a temporary screw having a self-drilling tip for forming a bore in a bone, and a diameter and a thread which are suitable for threadingly engaging the thread in the minor bore.
US08409292B2 Spinal fusion implant
The present invention is directed to a variety of interbody spinal fusion implants for insertion across the surgically corrected height of a disc space between two adjacent vertebral bodies of a human spine. The spinal fusion implants of the present invention have opposed upper and lower portions with openings extending through the implant to provide for bone growth from vertebral body to vertebral body. The upper and lower portions of the spinal fusion implants of the present invention have bone engaging projections to engage the implants to the adjacent vertebral bodies.
US08409285B2 Prosthesis for partial replacement of a vertebral body
A prosthesis for partial replacement of a vertebral body includes upper and lower contact plates that contact upper and lower vertebral bodies and a bridging element to be inserted into a bone cavity that bridges an intermediate vertebral body to be replaced that lies between the upper and lower vertebral bodies. The bridging element has anchoring projections which can be moved between a retracted implantation position and a protruding anchoring position.
US08409284B2 Methods of repairing herniated segments in the disc
Systems for minimally invasive disc augmentation include an anulus augmentation component and a nucleus augmentation component. Both are suited for minimally invasive deployment. The nucleus augmentation component restores disc height and/or replaces missing nucleus pulposus. The anulus augmentation component shields weakened regions of the anulus fibrosis and/or resists escape of natural nucleus pulposus and/or the augmentation component. Methods and deployment devices are also disclosed. Method of supporting and augmenting a nucleus pulposus by inserting a flexible biocompatible material into the disc space using an anchoring means are also provided.
US08409282B2 Systems and methods for posterior dynamic stabilization of the spine
Devices, systems and methods for dynamically stabilizing the spine are provided. The devices include an expandable spacer having an undeployed configuration and a deployed configuration, wherein the spacer has axial and radial dimensions for positioning between the spinous processes of adjacent vertebrae. The systems include one or more spacers and a mechanical actuation means for delivering and deploying the spacer. The methods involve the implantation of one or more spacers within the interspinous space.
US08409281B2 Adjustable absorber designs for implantable device
A system for manipulating energy transferred by members defining a joint. The system includes a first attachment structure configured to be attached to a first member of the joint and a second attachment structure configured to be attached to a second member of the joint. There is also an adjustable energy absorbing device attached to the first attachment structure and second attachment structure, wherein adjusting the energy absorbing device changes the load manipulating characteristics of the energy absorbing device.
US08409277B2 Scleral prosthesis for treating presbyopia and other eye disorders and related devices and methods
One example scleral prosthesis includes a first free end and a second free end, each wider than a middle portion of the scleral prosthesis. Multiple first portions form the first end of the scleral prosthesis. The first portions are separated along at least half of a length of the scleral prosthesis. Multiple second portions may form the second end of the scleral prosthesis, and the second portions may be separated along less than a quarter of the length of the scleral prosthesis. An implantation device can be used to facilitate implantation of a scleral prosthesis. The implantation device includes a first end portion configured to be inserted into a scleral tunnel of an eye. The implantation device also includes a second end portion configured to receive the scleral prosthesis. A rod with a tapered and rounded end can be partially inserted into the first end portion of the implantation device.
US08409276B2 Heart assist device
A heart assist device comprising a rotary pump housing having a cylindrical bore, a pumping chamber and a motor stator including an electrically conductive coil located within the housing and surrounding a portion of the cylindrical bore. A rotor has a cylindrical shaft, at least one impeller appended to one end of the shaft, and a plurality of magnets located within the shaft. The rotor shaft is positioned within the housing bore with the magnets opposite the motor stator, and the impeller is positioned within the pumping chamber. The housing bore is closely fitted to the outer surface of the shaft forming a hydrodynamic journal bearing, with the pumping chamber and journal bearing connected by a leak path of blood flow between the pumping chamber and the journal bearing. A backiron of the motor stator attracts the rotor magnets to resist longitudinal displacement of the rotor within the housing during operation. The relative orientation of positions of the inflow, outflow, and leakage flow paths may be varied within the pump, such as to accommodate different intended methods for implantation and/or use.
US08409274B2 Techniques for attaching flexible leaflets of prosthetic heart valves to supporting structures
A prosthetic heart valve has an annular valve-perimeter-shape-defining structure having a radially outer surface. A clip structure is provided on the outer surface. This clip structure is used to attach flexible valve leaflets to the shape-defining structure. One or more retainer members may be used to help hold the leaflets in the clip structure.
US08409273B2 Multi-catheter steerable guiding system and methods of use
Devices, systems, methods and kits are provided for endoscopically accessing a body cavity and providing a directed pathway toward a target tissue within the cavity. The directed pathway is provided by a multi-catheter guiding system which is positioned in a desired configuration, generally directed toward the target tissue. Interventional devices may then be passed through the guiding system to the target tissue. Depending on the location of the target tissue and the desired angle of approach, the guiding system may be required to maintain one or more curves in one or more planes to properly direct the interventional devices. The multi-catheter guiding system of the present invention comprises an outer guiding catheter and a coaxially positioned inner guiding catheter, each of which independently form curvatures so that together the curves properly direct the interventional device.
US08409267B2 Vascular stenting for aneurysms
Described herein are flexible implantable occluding devices that can, for example, navigate the tortuous vessels of the neurovasculature. The occluding devices can also conform to the shape of the tortuous vessels of the vasculature. In some embodiments, the occluding devices can direct blood flow within a vessel away from an aneurysm or limit blood flow to the aneurysm. Some embodiments describe methods and apparatus for adjusting, along a length of the device, the porosity of the occluding device. In some embodiments, the occluding devices allows adequate blood flow to be provided to adjacent structures such that those structures, whether they are branch vessels or oxygen-demanding tissues, are not deprived of the necessary blood flow.
US08409261B2 Engaging predetermined radial preloads in securing an orthopedic fastener
An orthopedic fastener rated to be received into a corresponding bone specimen. The corresponding bone specimen has a predetermined bone hole preparation including a tapered female threaded section. Threads on the tapered female threaded section have a pre-selected thread profile. The fastener itself comprises a male tapered threaded portion. Threads on the male tapered threaded portion are rated to mate, according to the pre-selected thread profile, with corresponding threads on the tapered female threaded section. The pre-selected thread profile has a predetermined thread geometry. The thread geometry is predetermined so that, when the male tapered threaded portion on the fastener is fully engaged in the tapered female threaded section on the bone hole preparation, a predetermined further tightening of the fastener imparts a corresponding predetermined radial preload on bone tissue surrounding the tapered female threaded section.
US08409259B1 Cervical plate system and method
A cervical plate system (1) and method for use in the fixation of a spine comprising a fixation plate (2) having an aperture (3) extending from a top surface (5) to a bottom surface (19) and dimensioned for admitting at least a portion of a bone fixation element (4) shank (15) therethrough, a slot (7) extending into the bottom surface (19), and a lock (11) affixed adjacent the fixation plate bottom surface (19) for rotation relative to the fixation plate (2).
US08409254B2 Prostheses, tools and methods for replacement of natural facet joints with artificial facet joint surfaces
Cephalad and caudal vertebral facet joint prostheses and methods of use are provided. The cephalad prostheses are adapted and configured to be attached to a lamina portion of a vertebra without blocking a pedicle portion of the cephalad vertebra.
US08409252B2 Knotless suture anchor
An anchoring device for deployment in a pre-formed hole to secure soft tissue to bone. Embodiments of the anchoring device include an outer member and an inner member that moves relative to the outer member from a suture-unlocked position to a suture-locked position. The inner member further includes an elongated projection with a proximal end that defines an outer dimension. In one embodiment, the elongated projection is configured to interface with a portion of the outer member so as to change the outer dimension in a manner that causes the elongated projection to displace a portion of the bone.
US08409245B2 Surgical instrument
A surgical instrument that includes an instrument shaft having proximal and distal ends, a tool disposed from the distal end of the instrument shaft, a control handle disposed from the proximal end of the instrument shaft, a distal motion member for coupling the distal end of the instrument shaft to the tool, a proximal motion member for coupling the proximal end of the instrument shaft to the handle, actuation means extending between the distal and proximal motion members for coupling motion of the proximal motion member to the distal motion member for controlling the positioning of the tool and a locking mechanism for fixing the position of the tool at a selected position and having locked and unlocked states.
US08409242B2 Embolic filters with controlled pore size
A device for filtering emboli from blood flowing through a lumen defined by the walls of a vessel in a patient's body. The device has a filter element being expandable from a collapsed configuration to an expanded configuration. The filter element includes a material having pores, and the material having pores includes cylindrical wires having at least three different diameters arranged in a pattern of graduated diameters.
US08409241B2 Blood clot filter
A compact blood clot filter having an alignment section that overlaps with a filter section in an axial direction is provided. The filter section has filter struts that extend radially and longitudinally from a hub. The alignment section has alignment struts in which the downstream end of each alignment strut forms a downstream junction with the downstream end of an adjacent alignment strut while the upstream end of each alignment strut forms an upstream junction with the upstream end of an adjacent alignment strut.
US08409239B2 Medical device anchor and delivery system
A method and an apparatus for anchoring a medical implant device within a blood vessel or other body passageway are described herein. An anchor delivery system houses one or more expandable anchors connected to the medical implant device. The anchors remain housed in a non-expanded configuration until the medical implant device has been placed in a desired position within the body, and then the anchors are propelled through a body wall where each anchor expands outwardly from an anchor shaft. In one configuration, each anchor is formed as a compressible closed loop which extends outwardly from an anchor shaft and loops back to cross over and extend beyond the anchor shaft. To propel the anchors, a drive shaft is connected to a triggering unit which, when activated, causes the drive shaft to drive the anchor shafts in a direction such that the anchors are propelled through the body wall.
US08409232B2 Surgical instrument assembly
A surgical instrument assembly configurable for performing a dermatotomy in a percutaneous procedure and further configurable for performing cuts in open surgery includes a pointed scalpel blade and a hollow sheath body disposed to encapsulate the pointed scalpel blade inside a longitudinal instrument cavity to protect a user. The hollow sheath body is supported for longitudinal translation with respect to the scalpel blade. The hollow sheath may be positioned and locked at a first position to completely encapsulate the scalpel blade inside the instrument cavity for safe handling. The hollow sheath body is movable to second and third positions with different lengths of the surgical instrument extending out through a front face of the hollow sheath body. A compression spring biases the hollow sheath body toward the first safety position.
US08409223B2 Endoscopic surgical clip applier with clip retention
An apparatus for application of surgical clips to body tissue is provided and includes a handle assembly; a shaft assembly including a housing extending distally from the handle assembly and defining a longitudinal axis; a plurality of surgical clips disposed within the shaft assembly; a jaw mounted adjacent a distal end portion of the shaft assembly, the jaw being movable between an open spaced-apart condition and a closed approximated condition; and a pusher bar reciprocally disposed within the housing of the shaft assembly and being detachably connectable to the housing of the shaft assembly, the pusher bar being configured to load a distal-most surgical clip into the jaws during distal movement and remain connected to the housing of the shaft assembly and in a distally advanced position during an approximation of the jaws.
US08409222B2 Endoscopic surgical clip applier
An apparatus for application of surgical clips to body tissue has a handle portion and a body extending distally from the handle portion and defining a longitudinal axis. The apparatus also has a plurality of surgical clips disposed within the body, and a jaw assembly mounted adjacent a distal end portion of the body. The jaw assembly includes first and second jaw portions movable between a spaced-apart and an approximated position. The apparatus also has a wedge plate longitudinally movable between the first and the second jaw portions, and a clip pusher configured to individually distally advance a surgical clip to the jaw assembly while the jaw portions are in the spaced apart position. The apparatus still further has an actuator at least partially disposed within the body and longitudinally movable in response to actuation of the handle portion and a jaw closure member positioned adjacent the first and second jaw portions to move the jaw portions to the approximated position.
US08409221B2 Implantable access port device having a safety cap
A system for attaching an access port to bodily tissue includes an access port assembly and including an access port having a generally central axis. The access port assembly further includes an attachment mechanism structured to enable the access port to be attached, for example, to an abdominal muscle of a patient. The system includes a safety cap which lies substantially flush against the anchor base to provide no space for movement of the anchors. The safety cap prevents the anchors from deploying prematurely.
US08409219B2 Method and system for placement of electrical lead inside heart
A method of placing an electrical lead of an implantable cardiac device inside a heart of a patient. The method includes securing a tool to an atrial appendage of the heart to hold onto the atrial appendage, piercing the atrial appendage, and creating an aperture in the atrial appendage while holding the atrial appendage with the tool. The method also includes moving a distal end of the electrical lead into the heart through the aperture in the atrial appendage and into a ventricle of the heart. Furthermore, the method includes coupling the distal end of the electrical lead to cardiac tissue in the ventricle and delivering an electrical signal to the cardiac tissue in the ventricle of the heart to maintain a predetermined heartbeat of the heart.
US08409217B2 Tissue retrieval device with bladders
A tissue retrieval device includes an introducer tube and a tissue retrieval bag. The introducer tube is sized to be inserted into a patient through a trocar. The tissue retrieval bag may be selectively exposed at the distal end of the introducer tube within the patient. The tissue retrieval bag may receive a tissue specimen and be withdrawn from the patient. The tissue retrieval bag may include an inflatable portion. When inflated, the inflatable portion may extend the tissue retrieval bag from a contracted configuration to an expanded configuration. The inflatable portion may substantially seal a tissue specimen within the tissue retrieval bag. The tissue retrieval bag may me formed of an environmentally sensitive material such that the size of the tissue retrieval bag expands when the tissue retrieval bag is exposed within the patient.
US08409216B2 Tissue retrieval device with buckling arms
A specimen retrieval instrument comprises a handle assembly, an actuating rod, an introducer tube, and a retrieval bag. In some versions the instrument includes a fixed arm and a buckling arm that attach to the retrieval bag and that are in communication with the actuating rod. Upon translation of the rod through the tube, the bag may be distally deployed from a proximal position within the introducer tube, and the buckling arm may open the bag for receiving a specimen. In some versions the instrument includes a support arm and a spring loop, with the spring loop attaching to the retrieval bag and the support arm attaching to the spring loop. The support arm and spring loop are in communication with the actuating rod such that movement of the rod deploys the bag from an initial position within the tube and opens the retrieval bag for receiving a specimen.
US08409215B2 Systems, methods and devices for removing obstructions from a blood vessel
Devices and methods for removing an obstruction from a blood vessel are described. The devices are deployed in a collapsed condition and are then expanded within the body. The devices are then manipulated to engage and remove the obstruction.
US08409213B2 Insertion instrument for artificial discs
An insertion instrument for inserting an implant in an intervertebral space is provided. The instrument includes an elongate shaft having proximate and distal ends with a longitudinal axis therebetween. On the distal end of the elongate shaft is a gripping device capable of shifting from a holding configuration for securing a portion of the implant relative the distal end and a releasing configuration to permit removal of the implant portion from the elongate shaft. The instrument also includes an actuating mechanism coupled between the gripping device and the handle that is operable to configure the gripping device in the holding configuration upon an initial actuation thereof and the releasing configuration upon a subsequent actuation thereof. An actuator may be resiliently coupled to the gripping device or other moveable components in order to limit the force applied by the actuator to a preset amount.
US08409210B2 Total knee arthroplasty method
A total knee arthroplasty (TKA) set that includes a universal cutting jig for shaping the distal femur is disclosed, along with corresponding TKA methods. The universal cutting jig may be used to create a shaped femur that can receive multiple and different TKA implant designs. A universal high flexion knee system adapted for left-right use is also disclosed.
US08409206B2 Tissue modification devices and methods
Described herein are devices, systems and methods for cutting tissue in a patient. In some embodiments, a tissue modification region of a device includes a pair of flexible elongate cutting members extending along the length of the tissue modification region. Each elongate cutting member may be configured to cut a discrete trough into tissue to a depth that is greater than the thickness of the cutting member. In some embodiments, the device includes a spacer. The spacer may be sized and configured to operate in one of two modes. A first mode, in which the spacer is coupled to the cutting members such that it holds a portion of each of the two cutting members a distance from one another, and a second mode, in which at least a portion of the spacer is moved away from a cutting member to allow the cutting members to cut further into tissue.
US08409204B2 Surgical method for repairing a fractured shoulder joint
This method comprises the use of a repair equipment including a humeral rod (2) intended to be placed in the medullary cavity of the humerus, comprising at least one hole (6) for receiving a thread (11) for repositioning the tuberosities (101, 102) and for maintaining the latter with respect to the rest of the bone. Said hole (6) is formed in the metaphyseal portion of the humeral rod (2), in the anteroposterior direction thereof; and the repair equipment further comprises a so-called “guying” subassembly (3), to maintain the tuberosities (101, 102) when they re-installed, two second so-called “traction” subassemblies (4), for pulling of the tuberosities (101, 102) one toward the other, and two so-called third “pressing” subassemblies (5), for tackling the tuberosities (101, 102); each of these sub-assemblies comprises a needle and thread (11) connected to this needle, this thread (11) forming a loop.
US08409200B2 Surgical grasping device
A surgical device comprising a clevis defining a longitudinal axis and a jaw comprising a first member and a second member. A slider is slidably engaged to the clevis, the slider comprising a pin. The pin is receiveably engaged in the first slot and the jaw is selectively moveable between a first position and a second position through longitudinal movement of the slider. In various embodiments, the first and second members are movable between an angular open position, a parallel open position, and a parallel closed position.
US08409199B2 Thermal cautery surgical forceps
A portable, thermal cauterizing forceps device for use in surgery. The device incorporates a pair of ceramic heater elements mounted within the tips of the tines of a forceps. The forceps is used to grasp tissue or blood vessels and apply heat to effect cauterization. The forceps instrument can incorporate a battery and control electronics. The thermal-forceps is of a self-contained wireless, handheld disposable design. The forceps handpiece can be connected to an external power source. The forceps can incorporate a set of rapidly heating ceramic heater elements that may be composed of silicon nitride. An LED provides the operator feedback as to the operating level of the heaters and/or battery reserve. The forceps can include a rechargeable power supply, variable control of the heater temperature, as well as a, digital display of the tip temperature.
US08409196B2 Electro-cautery catheter
An integrated catheter assembly for enabling diverse endoscopic in situ therapies. The assembly includes a catheter with an irrigation fluid lumen, a distal electrode tip portion that acts as a hemostat, and a cutting wire for making incisions in or ablating tissue. A cutting wire hub provides a sealing entrance for a cutting wire. An operator enables the physician to displace the cutting wire between extended and retracted positions. The cutting wire and electrode are electrically isolated. In addition, the catheter assembly may include a planar tip which, when extended outside the distal end of the assembly, assumes a substantially flat unbiased configuration for use. The apparatus of the present invention allows the physician to make incisions in or ablate tissue using electrosurgery, irrigate tissue, and cauterize or coagulate tissue without having to remove the apparatus from the working channel of the endoscope.
US08409195B2 Ablation probe having a plurality of arrays of electrodes
An ablation device includes a cannula having a lumen, a first array of electrodes deployable from within the lumen, and a second array of electrodes deployable from within the lumen, wherein the first array of electrodes has a configuration that is different from a configuration of the second array of electrodes.
US08409194B1 RF intervertebral disc surgical system
A spinal surgical system comprising a plurality of surgical components for cooperating with a electrosurgical handpiece comprising an elongated tubular member housing an electrode, with the tubular member configured to fit within and be extended down a standard sized cannula in a MIS procedure. The system components comprise one or more cannulas, straight or beveled; one or more guide wires, with and without pointed ends for piercing tissue; a tapered dilator; a trephine; and one or more depth control stops for mounting on the cannula for monitoring its depth. The system is especially useful for performing a discectomy.
US08409191B2 Preshaped ablation catheter for ablating pulmonary vein ostia within the heart
Catheters and methods are provided for performing medical procedures, such as tissue ablation, adjacent the ostia of anatomical vessels, such as pulmonary veins. The catheter comprises an elongated flexible integrated catheter body having proximal and distal shaft portions and at least one operative element carried by the distal shaft portion. The distal shaft portion has a proximal section configured to be internally actuated (e.g., using a steering mechanism or pre-shaping the proximal section) to form a simple curve with an apex that can be inserted into the vessel ostium, an intermediate section pre-shaped to form a curve that bends opposite the simple curve, and a distal section configured to be placed into a non-radial relationship (tangential or oblique) with the vessel ostium when the apex of the simple curve is inserted into the vessel ostium. By this arrangement, the operative element is configured to be placed firmly in contact with tissue at a predefined radial location relative to the vessel ostium when the apex of the simple curve is inserted into the vessel ostium.
US08409190B2 Electrosurgical device to generate a plasma stream
An electrosurgical device to generate a plasma stream and method to perform endoscopic or laparoscopic surgery within a patient's body. The electrosurgical device includes an electrode operatively coupled to an electrosurgical generator to receive electrical energy therefrom, the electrode being concentrically disposed within an inner noble gas conduit to form a plasma channel. An outer electronegative gas conduit is disposed in surrounding coaxial relation relative to the inner noble gas conduit to cooperatively form an electronegative gas channel therebetween such that the electrode heats the noble gas to at least partially ionize the noble gas to generate the plasma stream to be directed to the surgical site to perform the surgical procedure while the electronegative gas sustains the plasma stream at the surgical site and dilutes the noble gas adjacent the surgical site. An outer aspiration conduit may be provided to remove fluid and solid debris from the surgical site.
US08409189B2 System and method for reshaping an eye feature
A system for applying therapy to an eye includes an applicator having a conducting element configured to direct energy from an energy source to an application end of the conducting element, where the application end has an eye contact portion. A positioning system receives the applicator and positions the eye contact portion in stable engagement with the surface of an eye. In some embodiments, the conducting element is disposed within a housing for the applicator and an adjustment system is employed to move the conducting element relative to the housing. The adjustment system enables controlled movement of the conducting element and the eye contact portion against the corneal surface. In particular embodiments, sufficient contact between the applicator and the cornea is determined by causing applanation of the cornea. In other embodiments, a physical measurement device, such as a strain gauge, is employed to determine when sufficient contact has been established.
US08409184B2 Cryo-medical injection device and method of use
The resorbable cryoprobe device and process is a novel approach for treating localized disease allowing for the precise combined application of freezing temperatures and cytotoxic or cryosensitizing agents within a self-contained matrix/package for optimized tissue destruction. The cryopellet is comprised of a list of components including a source of cryogen to produce the sub-zero temperatures, a porous matrix to contain the cytotoxic agent, cytotoxic agent, and a delivery packet. Data presented herein demonstrates the efficacy of this approach in destroying cancerous tissue. For example, the application of freezing temperatures to −10° C. results in approximately 15% cell death, while exposure to cytotoxic agents such as TRAIL produces minimal cell death. The utilization of the cryopellet approach results in a synergistic effect yielding complete cell death at the same temperature. The innovation behind the resorbable probe application includes the strategic combination of agents to activate intrinsic or extrinsic cell death responses (including apoptosis and necrosis), unique packaging of the cryogen and cytotoxic agent, and a unique delivery system. The resorbable cryoprobe technology will assist directly in the treatment of cancer, as well as will likely lead to broader application for disease treatment.
US08409183B2 Endovenous laser treatment generating reduced blood coagulation
Inducing thrombolysis of thermally induced thrombus occurring during endovenous ablation of varicose veins by introducing an optical fiber laser deliver device with optical fiber portion and with an energy emitting tip at its distal end into the varicosed vein to be treated, emitting pulsed, laser energy with sufficient energy to close and destroy varicose veins to the emitting tip of the optical fiber laser delivery device, thereby inducing laser thrombolysis of coagulated blood which accumulates at the energy emitting tip of the fiber when the fiber is caused to emit energy in the presence of uncoagulated blood and minimizing adverse effects associated with thermally induced thrombus within a varicose vein.
US08409180B2 Patterned laser treatment
Patterned laser treatment of the retina is provided. A visible alignment pattern having at least two separated spots is projected onto the retina. By triggering a laser subsystem, doses of laser energy are automatically provided to at least two treatment locations coincident with the alignment spots. All of the doses of laser energy may be delivered in less than about 1 second, which is a typical eye fixation time. A scanner can be used to sequentially move an alignment beam from spot to spot on the retina and to move a treatment laser beam from location to location on the retina.
US08409179B2 System for performing intrastromal refractive surgery
A system for performing intrastromal ophthalmic laser surgery requires Laser Induced Optical Breakdown (LIOB) of stromal tissue without compromising Bowman's capsule (membrane). In detail, the system is computer-controlled to create symmetrical cuts in the stroma relative to a defined axis of the eye. Importantly, these cuts are all distanced from the axis. The actual location and number of cuts in the surgery will depend on the degree of visual aberration being corrected. Further, the system may create different types of cuts in the stroma. For example, the symmetrical cuts (by type) may include cylindrical, radial or annular layer cuts. The different type cuts may be intersecting or non-intersecting depending on the visual aberration being treated.
US08409177B1 Intrastromal refractive surgery by inducing shape change of the cornea
A shape change is induced in a cornea for treating a keratoconus condition, and/or correcting a refractive error and/or a high order aberration. A beam of laser pulses is focused to a stromal layer of a patient's eye, and an intrastromal pocket is ablated. An injection port is cut between the intrastromal pocket and a cornea surface of the eye. A polymerizable fluid is flowed into the intrastromal pocket through the injection port. The fluid is cured to form a polymeric insert, and thereby inducing a cornea shape change.
US08409175B2 Surgical instrument guide device
An instrument guide device comprises an elongated guide shaft having proximal and distal ends and including an instrument lumen for receiving therethrough a manually operated instrument having an instrument shaft. A distal bendable member is disposed at the distal end of the guide shaft and a proximal bendable member is disposed at the proximal end of the guide shaft. Actuation means extends between the distal and proximal bendable members and provides a bending of the distal bendable member controlled from the proximal bendable member. The proximal bendable member is controlled from the manually operated instrument to cause a corresponding bending of said distal bendable member. A locking mechanism has locked and unlocked positions and includes a ball and socket arrangement disposed about the proximal bendable member and a cinch member for locking the ball and socket arrangement.
US08409174B2 Medical manipulator system
A medical manipulator system includes a medical manipulator, an operating unit for inputting operation commands, motors for driving a working unit, a controller for driving the motors based on operation commands supplied from the operating unit, and an LED that displays an operational state. The controller carries out controls for distinguishing between an operational mode in which the operation command is validated and the motors are driven, and a stopped mode in which the motors are halted regardless of whether the operation command is present or not. An illumination state of the LED is switched dependent on whether the system is in the operational mode or the stopped mode. The LED is disposed in the center of an upper surface of a bridge, which interconnects a grip handle and an actuator block.
US08409171B2 Fluid drainage catheter having an external flow path
A fluid drainage catheter comprises a catheter tube having a proximal and a distal end and a cylindrical wall with a lumen extending generally from the proximal to the distal end to permit the passage of fluid therethrough. The catheter tube is formed such that the proximal end has a closed tip for insertion of the catheter tube into a body cavity and the distal end has an opening for the drainage of from the body cavity through the lumen of the catheter tube. The cylindrical wall has an outer surface with at least one defined external flow path extending generally in a longitudinal direction from a point in proximity to the closed tip to a point distally thereof. With this arrangement, at least one drainage eye is associated with the defined external flow path and extends completely through the catheter tube from the outer surface to the lumen to permit fluid in the flow path to pass through the drainage eye into the lumen.
US08409170B2 System and method for managing reduced pressure at a tissue site
The illustrative embodiments described herein are directed to an apparatus and method for managing reduced pressure at a tissue site. The apparatus includes a reduced pressure source that generates reduced pressure. The reduced pressure is delivered to the tissue site via a delivery tube. The apparatus includes a single pressure sensor. The single pressure sensor detects an actual reduced pressure at the tissue site. The apparatus also includes a controller. The controller determines a responsiveness of the actual reduced pressure measured by the single pressure sensor to an increase in reduced pressure generated by the reduced pressure source. The apparatus includes an indicator. The indicator emits a signal when the controller determines that the actual reduced pressure measured by the single pressure sensor is nonresponsive to the increase in reduced pressure generated by the reduced pressure source.
US08409169B1 Catheter and method of making the same
A method of manufacturing a catheter includes positioning a resilient tube in a vacuum tube. An outer periphery of the resilient tube is sealed to the vacuum tube so a vacuum region can be formed therebetween. A dimension of a channel of the resilient tube is adjustable in response to adjusting the pressure of the atmosphere of the vacuum region. The dimension of the channel is adjusted so that a reinforcement member can be positioned therein.
US08409168B2 Pulmonary occlusal stent delivery catheter, loading system and methods of use
Methods, systems and devices are provided for performing lung volume reduction in patients suffering from chronic obstructive pulmonary disease or other conditions where isolation of a lung segment or reduction of lung volume is desired. The methods are minimally invasive with instruments being introduced through the mouth (endotracheally) and rely on isolating the target lung tissue segment from other regions of the lung and occluding various lung passageways with the use of occlusal stents. The occlusal stents are delivered with the use of an occlusal stent delivery system which is loaded with the occlusal stent with the use of an occlusal stent loading system.
US08409163B2 Reusable diapers having first and second liquid-absorbent flaps
A reusable diaper includes a forward waist portion, a rearward waist portion, and a crotch portion between the forward waist portion and the rearward waist portion. The diaper also includes first and second liquid-absorbent flaps. Each flap may have a fixed end portion coupled to the diaper and a free end portion opposite the fixed end portion, which is not coupled to the reusable diaper. The first and second liquid-absorbent flaps may be positionable in an overlapping manner along the crotch portion with one of the first and second liquid-absorbent flaps overlapped by the other one of said first and second liquid-absorbent flaps and slidable relative to each other when overlapped. In some embodiments, the first and second liquid-absorbent flaps may each include an at least partially hollow interior and an opening for allowing airflow into the hollow interior to facilitate drying.
US08409155B2 Controlling of multiple pumps
An apparatus for controlling vacuum pressure is provided. The apparatus includes a multiple axis controller, such as a dual axis footpedal, and a processing apparatus, such as an instrument host running software, configured to receive multiple axis data from the multiple axis controller. The apparatus also includes a first pump configured to provide nonzero fluid pressure at a first nonzero fluid pressure level based on a first axis state of the multiple axis controller and a second pump configured to provide nonzero fluid pressure at a second nonzero fluid pressure level based on a second axis state of the multiple axis controller. The processing apparatus causes switching between the first pump and the second pump based on the first axis state and the second axis state of the multiple axis controller.
US08409154B2 Method of delivering a skin benefit
A method of providing a skin benefit to the skin including the use of a skin care active and a portable moist heat delivery system comprising: a water vapor generating portion comprising a water vapor source and a heat source; and a water vapor-air regulating portion located at a skin-facing side of the water vapor generating portion, the water vapor-air regulating portion comprising a water vapor-air mixing layer, a water vapor-air distribution layer, a latent heat delivery surface, and optionally a skin contact layer, where the water vapor generating portion and the water vapor-air regulating portion are in fluid communication is disclosed.
US08409152B2 Faceted nasal seal
A nozzle for a nasal rinse device includes a collar that forms a conduit. The collar is configured to attach the nozzle to the nasal rinse device. An outlet aperture defined by the collar is on a top portion of the nozzle. The nozzle also includes a skirt extending outwards and downwards from the collar, and an outer surface of the skirt is faceted.
US08409150B2 Ampoule with a seal in two compression states
Ampoules for dispensing flowable substances and metering systems incorporating the same are described herein. An ampoule for a flowable substance includes an ampoule body comprising an interior space for receiving the flowable substance and an inner circumferential surface having a guide region and a sealing region. A piston may be disposed in the interior space of the ampoule body such that the piston is slidably displaceable along a direction of displacement. The piston includes at least one sealing element for forming a seal with the inner circumferential surface in a contact zone on the outer circumference of the sealing element. The sealing element has a first compression state when the contact zone is in the guide region and a second compression state when the contact zone is in the sealing region. A second blocking device prevents movement of the contact zone from the sealing region to the guide region.
US08409149B2 Auto-injector with active agent container latching
In an elongated casing, an active agent container connected to an injection needle can be shifted axially by a spring force. Auto-injectors which are sold or distributed filled and with the springs tensed have to be provided with a needle protecting cap to ensure the sterility of the injection needle. When such a needle protecting cap is removed as preparation for using the auto-injector, a tensile force can be exerted on the active agent container. Therefore, the active agent container comprising the injection needle must be prevented from being undesirably pulled forwards. At least one latching tongue prevents the active agent container from being prematurely shifted with respect to the casing, by abutting a flange arranged on a sliding sleeve which accommodates the active agent container. When the auto-injector is placed onto a person's skin, a needle protecting tube is shifted into the casing, forcing the latching tongue away from the flange and, thus, freeing the travel path for needle movement.
US08409140B2 Injection apparatus
An injection apparatus for making an injection at a predetermined depth in skin comprises: a first skin positioning member, a second skin positioning member, wherein the first and second skin positioning members lie or are moveable to lie in an injection arrangement; an injection needle; and an injection needle movement guide to guide the injection needle for movement from a parking position above the skin, through the lower surface of the second skin positioning member to slide beneath the first skin positioning member to an injection position. A further injection apparatus comprises: an injection needle; a plunger within the injection needle; and a retractor to retract the injection needle such that material to be injected is expelled from the injection needle by the plunger.
US08409132B2 Treatment indications informed by a priori implant information
Systems and methods are described for implementing or deploying therapeutic administration systems for obtaining a flow-change-indicative measurement and signaling a decision whether to administer one or more clot-reducing agents at least partly based on the flow-change-indicative measurement.
US08409131B2 Analyte monitoring device and methods of use
An analyte monitor includes a sensor, a sensor control unit, and a display unit. The sensor has, for example, a substrate, a recessed channel formed in the substrate, and conductive material disposed in the recessed channel to form a working electrode. The sensor control unit typically has a housing adapted for placement on skin and is adapted to receive a portion of an electrochemical sensor. The sensor control unit also includes two or more conductive contacts disposed on the housing and configured for coupling to two or more contact pads on the sensor. A transmitter is disposed in the housing and coupled to the plurality of conductive contacts for transmitting data obtained using the sensor. The display unit has a receiver for receiving data transmitted by the transmitter of the sensor control unit and a display coupled to the receiver for displaying an indication of a level of an analyte. The analyte monitor may also be part of a drug delivery system to alter the level of the analyte based on the data obtained using the sensor.
US08409130B2 System for providing servo-controlled resuscitation
The present invention provides a system and method for controlling resuscitation in a patient. In at least one embodiment, the invention includes a fluid rate measurer, a controller electrically coupled to the fluid rate measurer, and a pump. The controller is adapted to receive signals from a physiological monitor and controls the pump.
US08409128B2 Device for supporting the heart and circulatory system
The present invention relates to a device for circulating a body fluid in a body of a living organism, especially to support the heart and/or circulation of the living organism, comprising a catheter device which has at least one inlet portion to take up the body fluid at at least one first location within the body of the living organism, at least one outlet portion some distance from the at least one inlet portion to discharge the body fluid at at least one second location some distance from the at least one first location within the body of the living organism and a pump device for directed transport of the body fluid between the at least one inlet portion and the at least one outlet portion of the catheter device; and a valve arrangement coupled to the catheter device for controlled uptake of the body fluid as a function of operation of the pump device at the at least one inlet portion of the catheter device and controlled discharge of the body fluid at the at least one outlet portion of the catheter device.
US08409124B2 Blood pump system user interface alarm management
User interfaces for medical perfusion systems that provide oxygenation, filtering, and recirculation of blood in connection with various medical procedures are provided. In particular, user interfaces for use with blood pumps that assist in managing alarms commonly encountered during cardiopulmonary bypass surgeries are provided.
US08409121B1 Dynamic manual elbow and knee flexion-extension assist device
A therapy tool designed to assist therapist to manually control and facilitate patient elbow and knee flexion-extension during walking, sit to stand, control in standing, and other functions is provided. The therapy tool includes a first cushion adapted to receive at least a portion of a person's shin or forearm, a second cushion adapted to cushion a person's knee, a elongate handle attached the first and second cushions in a manner such that the second cushion is disposed above the first cushion, and a horizontal extension adapted to provide a support surface for the patient.
US08409120B2 Massaging device for the male genital organ
The invention relates to a massaging device with an elongated massaging recess for receiving a male genital organ, in which for massaging a male genital organ received in the elongated massaging recess a drive mechanism is provided extending along the longitudinal axis (LA) of the massaging recess. It is especially advantageous that the drive mechanism has a plurality of bearing surfaces, which are arranged at a radial distance from the longitudinal axis (LA) and which at least sectionwise surround the elongated massaging recess. A further advantage is that the drive mechanism is designed for an oscillating deformation of the elongated massaging recess at least radially inward along the longitudinal axis (LA) of the elongated massaging recess.
US08409116B2 Method and device to manage freezing of gait in patients suffering from a movement disorder
The subject invention involves a device and method for treating patients with a movement disorder experiencing a sudden change in regularity of gait or akinesia, and comprising a device that detects the sudden change in regularity of gait or temporary akinesia and automatically issues a cue signal that restarts the movement.
US08409113B2 Body fluid sampling unit
A body fluid sampling unit includes a puncture device including a needle having a sharp needle point and a drive mechanism for operating the needle to puncture a living body surface with the needle point, a tip including an introducing section for introducing a body fluid flowing out from a puncture portion of the living body surface punctured with the needle point, a test paper for detecting a predetermined component in the body fluid, and a tip body mounted to a component measuring device for measuring the quantity and/or property of the predetermined component detected by the test paper; and a case including a mechanism containing section for containing the puncture mechanism so that the needle point of the puncture mechanism can be moved by the drive means, and a sampling implement containing section for containing the body fluid sampling implement so that the tip can be taken out.
US08409111B2 Removable localizing wire
A localizing wire comprises an anchor portion that can change shape from a collapsed shape to an expanded shape and thereby anchor within a tissue mass. The localizing wire has an exterior portion that can lie flat against the tissue mass. The localizing wire can be repositioned or withdrawn without the need for the reinsertion of an introducer.
US08409105B2 Device for non-invasive measurement of blood pressure and ankle-brachial index
A device for non-invasive measurement of blood pressure includes a blood pressure cuff, a plethysmographic electrode for acquiring an impedance plethysmogram distal to the cuff and a processing device to inflate and deflate the cuff, generate the impedance plethysmogram and to determine the systolic and diastolic blood pressures. It is determined when the cuff is completely occluding the extremity, e.g., by detecting pulses at a second, partially occluded cuff or by a photoplethysmogram attached to the big toe. The device can be used to measure systolic or diastolic blood pressure or both. It can also be used to take ankle-brachial measurements. An autocorrelation technique can be used to correct noise.
US08409103B2 Ultrasound methods of positioning guided vascular access devices in the venous system
The invention relates to the guidance, positioning and placement confirmation of intravascular devices, such as catheters, stylets, guidewires and other flexible elongate bodies that are typically inserted percutaneously into the venous or arterial vasculature. An aspect of the invention includes, for example, an endovenous access and guidance system. The system comprises: an elongate flexible member adapted and configured to access the venous vasculature of a patient; a sensor disposed at a distal end of the elongate flexible member and configured to provide in vivo non-image based ultrasound information of the venous vasculature of the patient; a processor configured to receive and process in vivo non-image based ultrasound information of the venous vasculature of the patient provided by the sensor and to provide position information regarding the position of the distal end of the elongate flexible member within the venous vasculature of the patient; and an output device adapted to output the position information from the processor.
US08409101B2 Ultrasonic probe and ultrasonic diagnostic apparatus
According to one embodiment, an ultrasonic probe includes a probe unit and cooling unit. The probe unit is obtained by arranging, in a housing, a transducer unit which transmits and receives ultrasonic waves with an object to be examined, an electronic circuit unit which is connected to the transducer unit and performs electrical signal processing, and a heat transfer member which is made of a material having a heat transfer coefficient higher than that of the electronic circuit unit, and transfers heat of the electronic circuit unit to a housing surface. The cooling unit is detachably mounted on the housing of the probe unit, and cools the heat transfer member by passing a coolant in a channel formed inside the cooling unit.