Document Document Title
US07683845B2 Antenna system compensating a change in radiation characteristics
An antenna system having a transmissive surface and an antenna arranged to transmit or receive radiation through the transmissive surface via a radiation lobe of the antenna. The system further includes a displacing arrangement to displace the radiation lobe of the antenna relative to the transmissive surface as necessary so as to reduce a change in one or more characteristics of the radiation on passing through the transmissive surface. A corresponding method is additionally described.
US07683844B2 Mm-wave scanning antenna
In general, in one aspect, the disclosure describes a semiconductor antenna having a plurality of antenna elements and a switching network formed in the same semiconductor die. The switching network is to control activation of the antenna elements.
US07683843B2 Multiband antennas and devices
An apparatus includes an antenna (e.g., a monopole), a first load, and a second load. The antenna, which extends substantially along an axis, has a first end and a second end. The first load is coupled to the antenna at the first end, while the second load is coupled to the antenna between the first end and the second end. Both the first and second loads are symmetrical with reference to the axis. The apparatus is arranged to operate in at least two frequency bands, such as the AMPS band from about 824 MHz to 894 MHz and the PCS band from about 1850 MHz to 1990 MHz.
US07683840B2 Integrated broadband antenna device with wide band function
An integrated broadband antenna device with wide band function is disclosed. The antenna device comprises a ground plate, a feeding wire, a first metal radiator, a second metal radiator, a ground metal radiator and a parasitic metal radiator. The first metal radiator is connected with the positive ends of signals of the feeding wire for transmitting electric signals and producing a high frequency mode. The first metal radiator is coupled to and energizes the second metal radiator and the parasitic metal radiator, and then the two metal radiator producing a low frequency mode and a second high frequency mode along with the ground metal radiator obtains a wider bandwidth. The broadband antenna device integrating various kinds of antennas is able to have a enough bandwidth to meet the requirements of AMPS (824˜894 MHz), GSM (880˜960 MHz), GPS (1575 MHz), DCS (1710˜1880 MHz), PCS (1850˜1990 MHz), UMTS (1920˜2170 MHz) and Wi-Fi (2400˜2500 MHz).
US07683839B2 Multiband antenna arrangement
The invention relates to a radio antenna and, more specifically, to an internal multiband antenna for use e.g. in a portable telecommunication device, such as a mobile phone. In particularly the invention relates to an antenna module for a mobile terminal including a non-resonant antenna element, two resonant antenna elements each covering at least any one of a first, second, third or fourth frequency band, said two resonant elements are substantially in the same plane and define a planar surface wherein the two resonant elements are each positioned at a corner of the planar surface and the non-resonant element is positioned along an edge of the planar surface.
US07683835B2 System and method for locating wireless devices
A system and method for locating a wireless device includes estimating respective distances between a wireless device and each of a plurality of access points, based upon respective signal strengths of a plurality of signals received by the wireless device from the plurality of access points. The location of the wireless device is estimated based upon the estimated respective distances and position information of the access points.
US07683827B2 System and method for reducing the effect of a radar interference signal
A system and method are provided to reduce the effect of an interfering signal in a radar return signal for a frequency modulated continuous wave (FMCW) radar. Once the interfering signal is detected, an extent of the interfering signal is determined and the data that was corrupted by the interfering signal is not included in the processing of the radar return signal. This allows the radar to detect a target in the presence of the interfering signal. The system and method can benefit any FMCW radar that is within the range of an interfering radar source (e.g. another FMCW radar, a police radar gun, a pulse radar, etc.) operating in the same frequency band as the FMCW radar. An alternative arrangement provides a system and method for determining the frequency of the interfering signal and then avoiding transmitting power in that portion of the frequency spectrum where the interfering signal is present.
US07683826B2 Method of electromagnetic coupling between a radar in the L band and radionavigation equipment item and autoprotection and radionavigation device
A device and a method for eliminating interference between a radar working on the L frequency band and an aeronautical radio navigation equipment item, such as DME (Distance Measurement Equipment). When the radio navigation equipment operates, the radar emits periodically in all or part of the frequency band and then halts its emissions, each emission has a given duration and being separated from the previous emission by an interval of silence.
US07683822B2 Method and system for remotely detecting metal items
The invention relates to the methods and systems for remotely detecting metal items, for example, weapons, concealed in cloths or belongings and may be used in security complexes. The proposed system contains a phased antenna array 1 radiating a continuous frequency-modulated ultra high or super high frequency signal and scanning a controlled space, a receiver 2 of reflected signals, a processor 3, a display 4, and a video camera 5. The processor 3 detects a potentially threatening metal item during any scanning period other than the initial period if one of the two comparison conditions holds for some radiation direction: Ai>A0+Δ or Ai0 is the tolerance of the deviation of the code A0 taking into account permissible changes in the reflected signal. Advantages of the invention: extension of the range of permissible distances to detected metal items, increased noise immunity, simplified operator activities, and increased scanning rate and reliability.
US07683819B2 Analog-to-digital converting circuit
Disclosed is a pipeline ADC in which an operational amplifier is shared between circuit blocks that construct local A/D converters of nth and (n+1)th stages, a sampling capacitor of the nth stage is divided into a plurality of sampling capacitors, and some of the plurality of sampling capacitors thus divided in the nth stage are adopted as sampling capacitors of the (n+1)th stage.
US07683818B2 Method and apparatus for AD conversion, semiconductor device for detecting distribution of physical quantity, and electronic apparatus
A column analog-to-digital converter having a voltage comparator and a counter is arranged for each a vertical signal line. The voltage comparator compares a pixel signal inputted via the vertical signal line at each row control signal line with a reference voltage, thereby generating a pulse signal having a length in time axis corresponding to the magnitude of a reset component and a signal component. The counter counts a clock to measure the width of the pulse signal until the end of the comparison operation of the comparator, and stores a count at the end of the comparison. A communication and timing controller controls the voltage comparator and the counter so that, in a first process, the voltage comparator performs a comparison operation on a reset component with the counter performing a down-counting operation, and so that, in a second process, the voltage controller performs the comparison operation on a signal component with the counter performing an up-counting operation.
US07683814B2 Constant current source, ramp voltage generation circuit, and A/D converter
A ramp voltage generation circuit suitable for an A/D converter preventing a variation in a digital value obtained by an A/D conversion operation. The circuit comprises a stabilization voltage source Vref, an operation amplifier AMP1 having a non-inversion input terminal receiving a voltage VREF from the Vref and an inversion input terminal connected to a switched capacitor equivalent resistance Req, and a transistor MNSF for conducting a current Ick to the Req based on an output voltage of the AMP1. Both ends of a conductive load Cint charged and discharged based on a current Iint2 generated by a current mirror of the Ick are connected to an output terminal and an inversion input terminal of an operation amplifier AMPint, a voltage of a stabilization voltage source Vc is applied to a non-inversion input terminal, and an output voltage of the AMPint is outputted to the outside as a ramp voltage.
US07683810B2 Code design with decreased transition density and reduced running digital sum
In accordance with one or more embodiments data may be encoded into a code word that meets run length constraints and has a reduced running digital sum by encoding (N−y)−1 data bits and y flag bits into m first n-bit patterns that form a first N-bit code word, producing a second N-bit code word by encoding the (N−y)−1 data bits and the y flag bits into m second n-bit patterns in which corresponding first and second n-bit patterns combine to meet a first predetermined running digital sum threshold, and selecting the code word that satisfies selection criteria. The selection criteria may, for example, be the word with the fewest transitions, the word with the smallest running digital sum, and so forth.
US07683809B2 Advanced lossless bit coding
A bit coding method modifies the bit-steam information in such a way as to provide a very high compression during lossless symbol coding and may be used as a preprocessor for Huffman and arithmetic encodings or on its own. A bit rearrangement scheme (BRS) improves the run length within a data symbol set and achieves a more efficient data-to-symbol mapping. The BRS is implemented on the data symbol set in a column-by-column fashion. The BRS can be combined with any available lossless coding scheme, providing for a more efficient lossless bit coding scheme (ALBCS).
US07683796B2 Open wire detection system and method
An open-wire detection system and method includes a current transmitter that can be connected to one or more wires, wherein the current transmitter provides a minimum current and/or a current that is greater than the minimum current. An anti-aliasing filter is connected to an analog-to-digital converter, such that the anti-aliasing filter receives the minimum current provided by the current transmitter and provides an output signal to the analog-to-digital converter. A noise filter is generally connected to an open-wire threshold detector, wherein the noise filter and the open-wire threshold detector permit detection of input levels below the minimum current provided by the current transmitter. An output from the open-wire threshold detector can be sampled multiple times at intervals that correlate with a frequency of a plurality of digital signals to produce sampled data, such that if the sampled data is below the minimum current, one or more of the wires (e.g., a return field wire and/or a field wire connected to a power supply) is reported as constituting an open-wire.
US07683789B2 Compact omni-directional RF system
A radio frequency circuit for summing signals from multiple lobes of an antenna includes circuitry for converting RF signals from lobes of an antenna to baseband signals, and circuitry for summing the baseband signals from the lobes of an antenna.
US07683783B2 Business portal for electronically tagging product packaging
A system is provided in which electronic tags are pre-encoded and mounted on a continuous web for subsequent application to packaging at a packaging supplier plant. A B2B portal provides the information needed to coordinate the pre-encoding of the electronic tags with the packaging manufacture according to a goods packager's requirements.
US07683782B2 RFID entity locating system
The present invention provides an RFID system for locating an entity within a structure, the system comprising a portable RF transmitter/receiver transported by the entity within the structure, a base unit, and a plurality of passive RFID tags, wherein the RF transmitter/receiver records the location of an RF tag and broadcasts the location of the RF tag to the base unit.
US07683777B2 Method and system for audio distribution in installations where the use of existing wiring is preferred
When an audio distribution system is installed, it is normally required to install wiring between the audio source or sources, and all of the speakers that are installed throughout a building or structure. The installation of this wiring is normally time consuming and difficult, and can be a large cost component of the system installation. Therefore, there is a need for an audio distribution system that makes use of, and takes a benefit from, already installed electrical power wiring, or allows a lesser number of wires to be run where new installations are necessary, through the means of upgrading the system to provide uninterrupted power to the powered speakers while maintaining the ability to control lighting fixtures powered through the same wiring infrastructure.
US07683776B2 Server system for remote monitoring
A server system for remote monitoring includes a wireless communication interface, a processor, and a storage device. The wireless communication interface receives at least one data packet over wireless communications from a remote monitoring system. The processor processes the data packet including sensor information from a sensor coupled to the remote monitoring system. The storage device stores the sensor information.
US07683774B2 System, method, and apparatus for capturing telematics data with an active RFID tag
Data collection and evaluation systems that, in various embodiments, include an active RFID tag for collecting, time-stamping, and storing vehicle sensor data. Examples of the type of data collected include door data, ignition data, oil pressure data, temperature data, speed data, global positioning data, and diagnostic and trouble code data. The system further includes an external data acquisition device, such as a mainframe computer system or a hand-held data acquisition device like an iPAQ. The external data acquisition device includes an RFID interrogator for communicating with the RFID tag, which enables the RFID tag to transmit the time-stamped data wirelessly to the external data acquisition device. The ability of the system to automatically collect and transfer data allows for the automation of fleet management processes, vehicle maintenance and repair processes, and certain security features.
US07683768B2 Mirror assembly
An interior rearview mirror assembly includes a human machine interface having at least one user actuatable input accessible at a portion of the mirror casing. The user actuatable input provides user input to at least one element of circuitry that is disposed in the mirror casing, the interior rearview mirror assembly and/or an accessory module. The circuitry is associated with at least one of (i) a moisture sensor, (ii) a blower motor, (iii) a cabin air monitoring device that monitors the air within the cabin of the vehicle, (iv) a cabin air monitoring device that monitors the level of carbon monoxide in the air within the cabin of the vehicle, (v) an air intake, (vi) a remote ignition system, (vii) a humidity sensing device, and (viii) a display device disposed behind a transflective mirror reflector of the interior rearview mirror assembly and visible to the driver when displaying information.
US07683765B2 Tactile signaling
The invention relates to a system for a motor vehicle having a monitoring unit which monitors a fault-free functionality of at least one operator control unit of the motor vehicle and generates warning signals in the case of limit-value functions of the at least one operator control unit. The monitoring unit generates tactile signals as warning signals so that degradation of performance of the at least one operator control unit can be communicated haptically to a driver of the motor vehicle.
US07683762B2 Method and system for acquiring maintenance information by an RFID tag
A control apparatus 10 has a housing containing an IC memory 25 of an RFID tag 20 as a memory element. The RFID tag 20 has an antenna 21 so as to close the housing. The antenna 21 may be attached to an outside of the housing so as to transmit and receive a radio wave to and from the outside of the housing and is connected to the inside of the housing in a closed state via a lead wire insulated from the housing. The housing may be made of a metal with a removable metallic cover formed at a part thereof to allow transmission and reception of the radio wave. The control apparatus 10 may have an RFID tag counterpart section 14 with an antenna 16 so that the RFID tag 20 is electrically insulated from a control circuit of the control apparatus 10.
US07683761B2 Method for autonomous establishment and utilization of an active-RF tag network
Methods to autonomously establish and utilize a network of active RF tags can include the steps of providing a plurality of active RF tags within a volume of interest, providing at least one data collection node, registering the active RF tags, populating a routing index stored in each tag's data storage device, and communicating data between the active RF tags and the data collection node according to the routing indices. The method can be implemented on a computer-readable medium.
US07683760B2 Mobile portal for RFID applications
A mobile portal for RFID applications includes an RFID reader for reading identifications of proximately located RFID tagged items in the environment. A communicator is coupled to the RFID reader to receive the read identifications and then communicate the received read identifications over the wireless interface, through one of the gateways, to the central data processing system. Each mobile portal may be actuated for RFID read operations in response to information sensed concerning operation of the mobile asset/vehicle. Additionally, the central data processing system may issue instructions concerning mobile asset/vehicle operation, with those instructions communicated over the wireless interface to the mobile portal. Responsive to implementation of those instructions, the mobile portal actuates the RFID reader and compares the read identifications to identifications of certain RFID tagged items to be manipulated which were identified in the received instruction.
US07683758B2 Electronic access control device
An electronic lock utilizes two microprocessors remote from each other for enhanced security. The first microprocessor is disposed close to an input device such as a keypad, and the second microprocessor is disposed close to the lock mechanism and well protected from external access. The first microprocessor transmits a communication code to the second microprocessor when it receives via the input device an access code that matches a preset access code. The second microprocessor opens the lock if the transmitted communication code matches a preset communication code. The dual-microprocessor arrangement is advantageously used in a voice controlled access control system and in a motorcycle ignition control system. The present invention further provides an electronic access control system which has a master electronic key having a preset number of access, and an electronic alarm system for a bicycle that has a remote control mounted in the helmet of the rider.
US07683756B2 Wireless access system and method
The disclosed embodiments include a wireless access system and method that enable the transmission of wireless access signals having a desired power level. In some embodiments, the wireless access system determines the amount of supply current drawn by certain devices and causes adjustment of the wireless access signal power level based on an assessment of the supply current.
US07683746B2 Electro-mechanical switch
The present invention provides an electromechanical switch enabled to achieve a high-speed switching response at a low driving voltage. An electromechanical switch body 10, which is an MEMS switch, has a first movable electrode 14 and a second movable electrode 16, both ends of each of which are respectively fixed to and laid on a first anchor 12 and a second anchor 13 formed on a silicon substrate 2, and also has a fixed electrode 18 that faces these movable electrodes. A first electromechanical switch 22 enabled to be driven at a low voltage is constituted by the first movable electrode 14, which has a relatively weak spring force, and the fixed electrode 18. A second electromechanical switch 24 enabled to be latched at a low voltage is constituted by the second movable electrode 16, which has a relatively strong spring force, and the fixed electrode 18. Consequently, the first movable electrode 14 is displaced at high speed at a low driving voltage, so that the first electromechanical switch is turned on at high speed. A restoring force causes the second movable electrode 16 to perform natural vibrations at high speed, so that the second electromechanical switch is turned off at high speed. The restored second movable electrode 16 is latched at a low driving voltage, so that the second electromechanical switch is turned on.
US07683744B2 Radio frequency waveguide comprising an electric conductor made of a plastic foil layer laminated with a electric conductive material layer
A Radio-Frequency (RF) waveguide comprising at least a folded sheet (3) is described, wherein the sheet comprises a first layer made of a plastic, and at least a second layer made of a electric conductive material. Furthermore a method for manufacturing such a RF waveguide plus a device to perform said method is described.
US07683743B2 Filtering circuit and structure thereof
A filtering circuit and a structure thereof are provided. The filtering circuit includes an input terminal, an output terminal, a resonant circuit, a first coupling portion, and a second coupling portion. The resonant circuit is coupled between the input terminal and the output terminal and includes M resonators which are arranged in sequence. A signal received by the input terminal can be transmitted to the output terminal by the resonant circuit through inter-coupling between adjacent resonators. The first coupling portion and the second coupling portion are respectively coupled to non-adjacent resonators. A part of the signal received by the input terminal is transmitted to the second coupling portion via the first coupling portion through cross-couple. Thereby, sideband interference can be further suppressed.
US07683739B2 Signal filter assembly with impedance-adjusting characteristic
Disclosed is a signal filter assembly, which includes a circuit board electrically connected between a cable and a high frequency electric connector, and a plurality of filters installed in the circuit board and respectively electrically connected to the signal wires of the transmission wires of the cable, each filter being formed of a parallel circuit of a resistor and a capacitor.
US07683735B2 Balanced acoustic wave filter
In an acoustic wave filter device, first and second surface acoustic wave filter sections implemented by longitudinally coupled resonators are arranged on a piezoelectric substrate. The first and second surface acoustic wave filter sections include first to third interdigital transducers and fourth to sixth interdigital transducers, respectively, arranged in a direction of propagation of surface waves. The first, third, fourth, and sixth interdigital transducers are connected to an unbalanced terminal. The second and fifth interdigital transducers are connected to first and second balanced terminals, respectively. Each of the second and fifth interdigital transducers has first and second interdigital transducer segments divided in the direction of propagation of acoustic waves. The first and second interdigital transducer segments are connected in series with each other.
US07683734B2 RF re-entrant combiner
Various embodiments are described herein for a combiner. The combiner includes first and second transmission lines, a dielectric material disposed about the first and second transmission lines, an intermediate conductor arrangement disposed about the dielectric material, and an outer conductor arrangement disposed about the intermediate conductor. The dielectric material has a dielectric constant higher than that of air, and the intermediate conductor arrangement has reactive portions.
US07683729B2 Injection locked LC VCO clock deskewing
In general, in one aspect, the disclosure describes an apparatus that includes an inductive capacitive voltage controlled oscillator (LC VCO) to generate an output clock. A voltage to current converter is used to receive a forwarded clock and to inject the forwarded clock to the LC VCO. The output clock is a deskewed version of the forwarded clock.
US07683728B2 Oscillation circuit
An oscillation circuit according to the present invention comprises a solid-state oscillator, an amplifier for feedback-controlling the solid-state oscillator, and ESD protecting circuits respectively connected to the input and output sides of the amplifier, wherein the ESD protecting circuit on the input side of the amplifier comprises an ESD protecting element whose constituent is a diode having a P-type diffusion layer and an N-type diffusion layer, and the ESD protecting circuit on the output side of the amplifier comprises an ESD protecting element whose constituent is an MOS transistor.
US07683723B2 Phase locked loop circuits, offset PLL transmitters, radio frequency integrated circuits and mobile phone systems
A PLL circuit equipped with a loop gain detecting circuit that can suppress the change of the loop gain. When detecting the loop gain, the frequency of the input signal to the second input (IN-2) of the phase detector is first changed, and the response corresponding to the change is detected by the output of the voltage locked oscillator. The detection is performed by connecting the output of the voltage locked oscillator with the counter and connecting the output of the counter with the integrator. The phase locked loop characteristics are optimized by performing feedback for the detection result on the value of the charge pump current.
US07683722B2 Method and system for signal generation via a PLL with DDFS feedback path
Aspects of a method and system for signal generation via a PLL with a DDFS feedback path are provided. In this regard, a phase difference between a reference signal and a feedback signal may be utilized to control a VCO, wherein the feedback signal is generated by a DDFS. Voltage, current and/or power levels of the generated feedback signal may be limited to a determined range of values. Moreover, the feedback signal may be based on an output of the VCO and a digital control word input to the DDFS. The digital control word may be programmatically controlled by, for example, a processor. Additionally, the control word may be determined based on a desired frequency of the generated feedback signal and a desired output frequency of the VCO. Accordingly, the DDFS may be clocked by the output of the VCO, or by a divided down version of the VCO output.
US07683720B1 Folded-cascode amplifier with adjustable continuous time equalizer
A system and method are provided for a folded cascode amplifier circuit that includes a first order high-pass filter coupled to a first bias voltage, a first input signal and a second input signal, the first input signal and the second input signal defining a differential input signal and the first order high-pass filter arranged to establish a first bias output and a second bias output. To amplify the full-spectrum content of the input signal, the amplifier circuit includes a full-spectrum content amplifier coupled between the first input signal, the second input signal and a current source. To amplify the high-frequency content of the input signal to achieve equalization, the amplifier circuit includes a high-frequency content amplifier coupled to the first bias output and the second bias output, the high-frequency content amplifier arranged to amplify the high-frequency content of the differential input signal to achieve equalization.
US07683717B2 Fully differential amplifier with continuous-time offset reduction
Fully differential amplifier circuits are described herein that set the common mode voltage as well as reduce the output offset voltage (offset cancellation). A circuit according to one embodiment includes a first section for generating first and second output signals on first and second outputs from first and second input signals, a first negative feedback loop coupled to the first section, and a second negative feedback loop coupled to the first section. A second section controls the first negative feedback loop for adjusting the first output signal towards a common mode voltage level, and for reducing an offset voltage of the first output signal in different loop bandwidths. A third section controls the second negative feedback loop for adjusting the second output signal towards the common mode voltage level, and for reducing an offset voltage of the second output signal in different loop bandwidths.
US07683716B2 Constant output common mode voltage of a pre-amplifier circuit
A method, apparatus and system of constant output common mode voltage of a pre-amplifier circuit are disclosed. In one embodiment, a system includes a first circuit, a comparator circuit coupled with an output of the first circuit, a pre-amplifier circuit of the comparator circuit, a tracking circuit coupled with a common output location of the pre-amplifier circuit to provide (e.g., source/sink) an additional current to the common output location of the pre-amplifier circuit using an alternate current path in the tracking circuit when an input common mode of the pre-amplifier circuit is beyond a saturation range, and a second circuit of the comparator circuit coupled with the pre-amplifier circuit. A scaled version of a pair of input transistors of a pre-amplifier circuit of the tracking circuit may be created using a scaling factor (‘N’).
US07683715B2 Feedback biasing technique for a stage of an amplifier that uses a feedback control loop having low gain
According to an aspect of the present invention, a stage of an amplifier contains a positive feedback loop in addition to a negative feedback loop to maintain the bias currents at a desired level in the active components providing the output of the amplifier. The positive feedback loop senses the finite gain (i.e., less than the ideal infinite gain) of the negative feedback loop and compensates for the finite gain. Due to the use of the positive feedback, the duration and extent of deviation of the bias currents from the desired level is reduced, thereby minimizing the distortions in the output of the amplifier. In an embodiment, the stage corresponds to a class AB stage.
US07683712B2 Wireless frequency power amplifier, semiconductor device, and wireless frequency power amplification method
A differential amplifier circuit is connected to the input node and the output node of the final amplification stage through detection circuits. The signal level difference output from the differential amplifier circuit does not change even if the input power varies. Because a change in the power gain at the output node does not travel back to the input node when the load impedance of the wireless frequency power amplifier varies, it is possible to detect only the change in the load impedance. Damage to the final stage can be prevented by controlling the operating current of the final stage and the gain of the drive stage according to the detected load variation. Nonlinear distortion in the wireless frequency power amplifier output can also be reduced by detecting and canceling the change in the gain of the drive stage by changing the gain of the adjustment stage.
US07683709B1 Low frequency power amplifier employing high frequency magnetic components
A low frequency amplifier uses a switched bridge circuit, providing a first frequency output. A transformer circuit receiving the first frequency output from the switched bridge circuit. Power from the transformer is output from a plurality of secondaries and the power from the secondaries is supplied to the corresponding output switching circuits and provided as switched outputs from the transformer circuit. The switched outputs from the transformer circuit are responsive to a transformer output from the transformer at the first frequency, and switch the transformer outputs in a timed sequence to provide a combined second frequency output. The second frequency output has a lower frequency than the transformer outputs.
US07683708B2 Digital amplifier with analogue error correction circuit
Digital amplifier for amplifying a digital input signal, comprising a digital modulator for converting the digital input signal into an amplitude-discrete and temporally analogue signal, comprising an analogue error correction circuit which modulates the pulse widths of the amplitude-discrete and temporally analogue signal in dependence on an analogue error signal, comprising a power switching stage, the input of which receives the signal modulated by the error correction circuit and which delivers the modulated signal amplified at an output and comprising an analogue feedback circuit which, in dependence on the output signal of the digital modulator and on the output signal of the power switching stage, generates the analogue error signal for adjusting the analogue error correction circuit.
US07683704B2 Multiple order low pass filter for an xDSL splitter in a telecommunication system
A single-ended low pass filter and its double-ended or balanced version comprising a first coil (Lp1) coupled between a first input (Vin1) and a first output (Vout1) terminal of the filter, a second coil (Lp2) coupled between a second input (Vin2) and a second output (Vout2) terminal of this filter, and a capacitor (C) coupled between the first and second output terminals. The single-ended or balanced low pass filter further includes filter enhancement means (FEM) comprising a current sense circuit (CS) having first inputs coupled across a first impedance (Rsense1) coupled between the first input terminal and the first coil, second inputs coupled across a second impedance (Rsense2) coupled between the second input terminal and the second coil, and an amplifier (A) having an input coupled to an output of the current sense circuit and having an output connected to a ground terminal (Vgrd) via a third (Ls1) and a fourth (Ls2) coils, preferably mounted in parallel and also forming part of the filter enhancement means. The coils are magnetically coupled by pairs and are located on a same core of a transformer (T1). This filter is used in xDSL splitters. The amplifier may comprise the cascade coupling of a frequency dependent amplifier and a transconductance amplifier. The coil is then enhanced in value and is made frequency dependent, giving a multiple order low pass filter.
US07683702B1 Profile circuit control function
A compensated control circuit includes a combination module that generates a control variable based on n signals and a process module that generates an output signal based on an input signal and the control variable wherein n is a positive integer.
US07683699B2 Charge pump
An improved charge pump design useful in low power applications derives an alternative voltage from a supply voltage. The design can be constructed using PMOS manufactured according to standard processes such that triple well manufacturing processes are not required. The design can incorporate control gate circuitry to increase efficiency and decrease degradation due to the threshold voltage of the transistors used.
US07683698B2 Apparatus and method for increasing charge pump efficiency
A charge pump circuit is provided which includes at least two charge pump stages connected in series with a front charge pump stage having a first transistor for receiving an input voltage and a last charge pump stage having a second transistor for providing an output voltage. The first transistor is configured to operate at a first threshold voltage and the second transistor is configured to operate at a second threshold voltage different than the first threshold voltage.
US07683697B2 Circuitry and method for buffering a power mode control signal
A circuit has an input for receiving a power mode control signal to indicate a low power mode. A plurality of non-inverting buffers forms a fanout signal distribution network and provides buffering of the power mode control signal for gated power domain functional circuitry. Each non-inverting buffer has an even number of serially-connected inverting gates, at least a portion providing respective outputs having a valid logic state in the low power mode. Two voltages are used, one of which is disconnected during the low power mode. The non-inverting buffers have a first inverting gate connected to a continuous voltage terminal and a second inverting gate, collectively sized larger than the first inverting gate and connected to a voltage terminal which is selectively disconnected during the low power mode from the continuous voltage terminal.
US07683696B1 Open-drain output buffer for single-voltage-supply CMOS
An open-drain output buffer is operative to sustain relatively high voltages applied to an output pad. The open-drain buffer includes a number of floating wells, output switching devices and corresponding well-bias selectors to ensure that no gate oxide sustains voltages greater than a predefined value. PMOS and NMOS well-bias selectors operate to select and provide an available highest or lowest voltage, respectively, to bias corresponding well-regions and ensure no device switching terminals are electrically over stressed. As output related terminals experience switching related voltage excursions, the well-bias selectors select alternate terminals to continue selection of the respective highest or lowest voltages available and provide correct well-biasing conditions. Voltage dividers are incorporated to generate well-biasing control voltages. By electrical coupling across maximal voltages, the voltage dividers generate reference voltages that induce proper selection of well-bias voltages to the floating wells.
US07683693B2 Hot swap controller with zero loaded charge pump
The present invention includes a pass transistor that limits current drawn from a circuit without using a series resistor and while drawing minimal current from an external supply. A current mirror of the output current is formed and compared to a reference current. When the output current increases, the mirror current increases proportionally, and when a threshold is crossed, the pass transistor is turned off. The pass transistor is biased from a charge pump that provides a voltage, a current from which a current mirror is drawn that controls the pass transistor.
US07683690B2 Multiphase clock generation circuit
Provided is a multiphase clock generation circuit (1) including: a phase-locked loop circuit (10) for generating multiphase clock signals based on a reference clock signal; a frequency profile holding circuit (20) for holding a frequency profile of each of the multiphase clock signals, starting output of the frequency profile in response to a start signal, and for updating the frequency profile with a predetermined cycle based on the reference clock signal; and a clock selection circuit (30) for selecting a clock signal with an arbitrary phase from among the multiphase clock signals based on the frequency profile, and for feeding back the selected clock signal to the phase-locked loop circuit (10).
US07683689B1 Delay circuit with delay cells in different orientations
A delay circuit that includes a first delay cell oriented in a first orientation and a second delay cell oriented in a second orientation is described. In one embodiment, the first orientation is perpendicular to the second orientation. More specifically, in one embodiment, the first orientation is vertical and the second orientation is horizontal.
US07683680B2 Combined phase comparator and charge pump circuit
A phase comparison of timing signals is made by combinational circuitry which receives the timing signals and a window signal, the window signal identifying edges of the timing signals to be compared. The comparison may result in a charge pumped output which can be fed back to control the phase of one of the timing signals. The phase comparator and charge pump circuit can be included in a multiplier circuitry in which the phase of an input signal is directly compared to the phase of an edge of the multiplied signal.
US07683678B2 Inverter circuit
An inverter circuit includes an IGBT (3) and an IGBT (4) connected in series between a power supply potential (Vcc) and a GND potential, and an HVIC (1) and an LVIC (2) for respectively controlling actuation of the IGBTs (3) and (4). The inverter circuit also includes a capacitor (5), a diode (6), and a resistor (7). The capacitor (5) is connected between a terminal (VS) and the GND potential. The diode (6) has a series connection to the capacitor (5) between the terminal (VS) and the GND potential, with such a polarity that a forward current flows from the GND potential to the terminal (VS). The resistor (7) is connected in parallel to the capacitor (5).
US07683677B2 Sample-and-hold amplification circuits
A sample-and-hold amplification circuit comprises an amplifier, a first sample-and-hold unit, and a second sample-and-hold unit. The amplifier has an input terminal and an output terminal. The first sample-and-hold unit is coupled to the input terminal and the output terminal. The second sample-and-hold unit is coupled to the input terminal and the output terminal. When the first sample-and-hold unit is arranged to perform a sampling operation, the second sample-and-hold unit performs a holding operation, and when the first sample-and-hold unit is arranged to perform the holding operation, the second sample-and-hold unit performs the sampling operation.
US07683675B2 Radiation hardened phase frequency detector for implementing enhanced radiation immunity performance
A method and radiation hardened phase frequency detector (PFD) are provided for implementing enhanced radiation immunity performance. The radiation hardened phase frequency detector (PFD) includes a plurality of functional blocks. Each functional block includes duplicated components providing duplicated inputs, internal nodes and outputs. The duplicated components are arranged so that when there is a SEU hit to one node and the duplicated node supports the functionalities of the PFD.
US07683674B2 T-switch buffer, in particular for FPGA architectures
An embodiment of the invention relates to a T-switch for connecting first, second and third lines and comprising an input section in turn including first, second and third input pass transistors, each connecting a respective line with a first internal node of the T-switch, an output section in turn including first, second and third output pass transistors, each connecting a respective line with a second internal node of the T-switch, and a single buffer stage connected to a first and a second voltage reference and inserted between the first and second internal node.
US07683668B1 Level shifter
A level shifter (10) includes a first transistor (12) having a gate configured to receive a first input signal, and a second transistor (14) having a gate configured to receive a second input signal. A first feedback circuit is connected to drains of the first transistor (12) and the second transistor (14). A second feedback circuit is connected to the first feedback circuit.
US07683667B2 Level shifter having single voltage source
Embodiments relate to a level shifter which uses a single voltage source, has an excellent operation characteristic even when a difference between a low voltage and a high voltage is large, and can be easily designed. Embodiments relate to a level shifter for shifting a voltage level between an input terminal connected to a circuit block which operates by a low voltage source and an output terminal connected to a circuit block which operates by a high voltage source. In embodiments, the level shifter may include a pull-up PMOS and a pull-down NMOS, both of which are connected between the high voltage source and ground in the form of an inverter and have an output node connected to the output terminal. The level shifter may include a control node which is connected to inputs of the pull-up and pull-down NMOS in the form of the inverter. The level shifter may have an input gate for connecting the control node to the high voltage source or ground according to a voltage level of the input terminal. The level shifter may also include a first feedback chain which is connected between the control node and the input gate and disconnects the input gate and the high voltage source when the voltage level of the input terminal is high and the input gate connects the control node to ground.
US07683661B2 Method to reduce the pin count on an integrated circuit and associated apparatus
A method and associated apparatus for enabling a plurality of functions of an integrated circuit to be controlled on a single pin of the circuit. The method includes the steps of providing each of the functions with a designated periodically recurring sampling instance during which time the status of a signal on the single pin will be considered to relate to the function designated to that sampling instance, and controlling each of the functions according to the status of the signal on the single pin during each of the plurality of functions' corresponding designated sampling instance.
US07683660B1 Programmable logic device with a microcontroller-based control system
A computer program product in a computer-readable medium for use in a microcontroller-based control system in a programmable logic integrated circuit device is disclosed. The computer program product comprises first instructions for initializing the device, second instructions for reading programming data from a data source external to the programmable logic integrated circuit device, third instructions for transferring the programming data into control elements internal to the programmable logic integrated circuit device. Provision is made for fourth instructions for saving at least a part of the internal logic state of the user logic programmed into the programmable logic integrated circuit device into a non-volatile memory block and for fifth instructions for restoring at least a part of the internal logic state of the user logic programmed into the programmable logic integrated circuit device from a non-volatile memory block. The programmable logic integrated circuit device, comprises a microcontroller block and a programmable logic block with programming circuitry, and has a sub-bus which couples the microcontroller block to the programming circuitry.
US07683657B2 Calibration circuit of on-die termination device
A calibration circuit of an on-die termination device includes a code generating unit configured to receive a voltage of a calibration node and a reference voltage, to generate calibration codes. The calibration unit also includes a calibration resistor unit having parallel resistors which are turned on/off in response to each of the calibration codes and connected to the calibration node, a turn-on strength of at least one of the parallel resistors being controlled by a control signal.
US07683644B2 Extrusion failure monitor structures
A structure and method for monitoring extrusion failures. The structure includes: a test wire having first and second ends; first and second vias contacting first and second ends of the test wire; a first monitor structure electrically isolated from the test wire and surrounding a periphery of the test wire; and a second monitor structure over the test wire, the second monitor structure electrically isolated from the test wire, the second monitor structure extending over at least the first end of the test wire.
US07683643B2 Multifunctional conducting polymer structures
The present invention includes the use of conducting polymers as sensors in distributed sensing systems, as sensors and operating elements in multifunctional devices, and for conducting-polymer based multifunctional sensing fabrics suitable for monitoring humidity, breath, heart rate, blood (location of wounds), blood pressure, skin temperature, weight and movement, in a wearable, electronic embedded sensor system, as examples. A fabric comprising conducting polyaniline fibers that can be used to distribute energy for resistive heating as well as for sensing the fabric temperature is described as an example of a multifunctional sensing fabric.
US07683639B2 Capacitive fingerprint sensor and the panel thereof
A capacitive fingerprint sensor comprises a fingerprint capacitor, a reference capacitor, a first transistor and a second transistor. The fingerprint capacitor CF has a capacitance that is either a valley capacitance CFV or a ridge capacitance CFR. The reference capacitor CS has a capacitance CS, and CFV
US07683635B1 Adjustable sensor strip for linear digital readouts
An adjustable sensor strip assembly for use with a digital readout includes a plurality of sensor strip segments and a plurality of readout tracks for supporting the sensor strip segments. The readout tracks are fastened together with a wedge assembly. An alignment gauge is used to align the adjoining sensor strip segments so that precise measurements are achieved.
US07683629B2 Circuit tester device
A circuit tester in the form of a pliers includes first and second jaws with first and second probes respectively for engaging a wire to confirm circuit continuity and polarity. The probes are electrically connected in series with a bipolar or two color, light emitting diode and one of the probes may be pivoted out of the series circuit.
US07683628B1 Cable tester
A physical layer device includes a cable test module that transmits a test pulse on a cable, measures a reflection amplitude, calculates a cable length, and determines a cable status based on the measured amplitude and the calculated cable length. A frequency synthesizer selectively outputs a plurality of signals at a plurality of frequencies on one end of the cable. An insertion loss calculator receives the signals from an opposite end of the cable and estimates insertion loss based on the received signals.
US07683627B2 Semiconductor device having a function of detection breakages on a periphery thereof
A resistance wiring and a judgement circuit for judging a potential in a middle of a path of the resistance wiring are provided on a periphery of a semiconductor chip. One end of the resistance wiring is connected to a power supply and the other end thereof is grounded. Connection points of the resistance wiring to the power supply and the ground are disposed at a corner on the periphery of the semiconductor chip, while a connection point of the resistance wiring to the judgement circuit is disposed at a corner diagonal to the corner on the periphery. When breakages such as chipping and peeling of an interlayer insulating film is caused on the periphery, resistance of the resistance wiring changes.
US07683623B2 RF volume coil with selectable field of view
A radio frequency coil for magnetic resonance imaging or spectroscopy includes a plurality of generally parallel conductive members (70) surrounding a region of interest (14). One or more end members (72, 74) are disposed generally transverse to the plurality of parallel conductive members. A generally cylindrical radio frequency shield (32) surrounds the plurality of generally parallel conductive members. Switchable circuitry (80, 80′) selectably has: (i) a first switched configuration (90, 90′) in which the conductive members are operatively connected with the one or more end members; and (ii) a second switched configuration (92, 92′) in which the conductive members are operatively connected with the radio frequency shield. The radio frequency coil operates in a birdcage resonance mode in the first switched configuration and operates in a TEM resonance mode in the second switched configuration.
US07683618B2 Slice-selective tunable-flip adiabatic low peak power excitation
A manifestation of the invention provides a method for slice selective excitation for magnetic resonance imaging (MRI). A B0 field is applied. A STABLE pulse comprising of a BIR-4 envelope sampled by a plurality of subpulses with a duration is applied, where amplitude and frequency modulation functions of the BIR-4 envelope are slowly varying with respect to the duration of the subpulses. A portion of k-space is read out to obtain k-space data. The STABLE pulse and readout are repeated until sufficient k-space has been acquired. A Fourier Transform of the k-space data is taken.
US07683615B2 Method and apparatus to improve NMR spectral resolution in an inhomogeneous magnetic field
A method and apparatus for improving spectral resolution of an NMR measurement in the presence of an inhomogeneous magnetic field. According to one embodiment, a method producing a high resolution nuclear magnetic resonance (NMR) spectrum for a sample in an inhomogeneous magnetic field may comprise generating a first magnetic pulse and a second magnetic pulse, the first and second magnetic pulses being separated in time by a first time period, during the first time period, generating a gradient pulse, repeating the steps of generating the first and second magnetic pulses and generating the gradient pulse N times for different values of a field strength of the gradient pulse, wherein N is an integer greater than one, after each second magnetic pulse, acquiring a signal from the sample, and producing a reconstructed high resolution NMR spectrum from the acquired signals.
US07683614B2 Magnetic resonance spectroscopy with sparse spectral sampling and interleaved dynamic shimming
The present invention relates to a magnetic resonance spectroscopic imaging (MRSI) method, specifically to a magnetic resonance spectroscopic imaging method with up to three spatial dimensions and one spectral dimension. Interleaving dynamically switched magnetic field gradients into the spectroscopic encoding scheme enables multi-region shimming in a single shot to compensate the spatially varying spectral line broadening resulting from local magnetic field gradients. The method also employs sparse spectral sampling with controlled spectral aliasing and nonlinear sampling density to maximize encoding speed, data sampling efficiency and sensitivity.
US07683610B2 Method for inspecting magnetic characteristics of a plurality of thin magnetic heads by means of local application of magnetic field
A method for inspecting magnetic characteristics of a thin film magnetic head that is arranged in a row bar includes: a step of preparing a row bar having sliders including a thin film magnetic head formed therein and lapping guides having magnetoresistance effect; a step of preparing a magnetic field applying row bar having first and second magnetic field applying elements; a first positioning step in which said magnetic field applying row bar is arranged opposite to said row bar; a second positioning step in which a relative movement between said magnetic field applying row bar and said row bar is made so that at least one of said lapping guides exhibits a largest output voltage; and a measurement step in which a relationship between the intensity of the magnetic field and an output voltage of a magnetic field sensor is obtained.
US07683609B2 Method of producing a rotation detection sensor
A vehicle wheel speed sensor 10 includes a cover member 21 having a mounting hole 26, a detection unit 31, a connector terminal 38, and a connector 61. The connector 61 is arranged on a surface of the cover member 21 at a side opposite to a rotor 17. The detection unit 31 is arranged on a surface of the cover member 21 at a side of the rotor 17. The detection unit 31 includes a Hall device 32, which detects change in a magnetic flux caused by rotation of the rotor 17. A connector terminal 38 is electrically connected to the Hall device 32 and is inserted in the mounting hole 26 of the cover member 21 and in the connector 61. A resin molding portion 71 integrates the detection unit 31 and the connector 61 through the mounting hole 26 to integrate the cover member 21, the connector 61, and the detection unit 31.
US07683607B2 Connection testing apparatus and method and chip using the same
A connection testing apparatus, a connection testing method, and a chip using the same are provided. The method can be used for testing connections between chips, so as to solve the problems that a conventional multi-chip connection test needs a plenty of test patterns, resulting in a long test time and a high test cost, and the condition of a connection failure is hard to be analyzed after a test failure. In the present invention, a voltage variation caused when an ESD element in a chip is conducted and a comparison circuits are used to determine whether a connection is correct. Furthermore, the test apparatus is built in the chip, so that the connection test may be accomplished quickly and efficiently. Once a connection failure occurs, the failed connection pin can also be found, so as to be favorable for engineering analysis and thereby effectively saving the test cost.
US07683606B2 Flexible display testing and inspection
The present invention provides methods and systems for testing and inspection of a display panel. The methods involve the application of voltages to rollers or testing conductive films. By applying a potential difference to the appropriate rollers or testing conductive films, different optical states of a display panel can be displayed for inspection.
US07683604B1 Amplifier topology and method for connecting to printed circuit board traces used as shunt resistors
An integrated circuit current shunt amplifier (2A) includes an amplifier (9) having a (+) input connected to a first terminal (5A) of a shunt resistor (RSHUNT). An output transistor (24) has a gate coupled to an output of the amplifier, a source coupled to a (−) input of the amplifier, and a drain coupled to a first terminal of an output resistor (ROUT). A gain resistor (RGAIN) is coupled between the (−) input of the amplifier and a second terminal of the shunt resistor. The gain resistor has a temperature coefficient which is essentially the same as that of the shunt resistor. A voltage regulator (26) can be coupled between the second terminal of the shunt resistor and a low-side supply voltage terminal (27) of the amplifier. A charge pump (30) can provide a below-ground voltage on a second terminal of the output resistor. A difference amplifier (31) coupled to the drain and referenced to the below-ground voltage produces an output voltage (Vout) referenced to ground.
US07683602B2 Miniature RF calibrator utilizing multiple power levels
A small light-weight battery operated calibrator device provides a precise sine wave output for use in calibration of test equipment, such as a RF Power Meter or a Spectrum Analyzer. The calibration device includes two power levels, one −40 dBm and one 0 dBm. The purpose of the two power levels is to obtain a slope and offset for correction of the RF power measuring device being calibrated. Operation indication LED lights are provided to indicate which of the two powers are in use, and if battery power is below acceptable levels. Miniature low power components including a crystal oscillator and a divide by 2 integrated circuit that generates a precise square wave and a low pass filter for converting the square wave into a precise sine wave allows the calibrator to be battery operated and stored as a calibration component.
US07683598B2 Power supply circuit, power supply control circuit, and power supply control method
A DC-DC converter and first and second bypass switch circuits are provided in parallel between an input pin and first and second output pins and operate in accordance with a combination of the voltage value of the input pin and the voltage value required for the first output pin. A start control circuit causes the DC-DC converter to operate unconditionally in a step-down mode during the period from when the DC-DC converter is started until the output voltage of the DC-DC converter becomes equal to the voltage of the input pin. An output slope control circuit synchronizes rising slopes of the output voltages of the first and second bypass switch circuits with a rising slope of the output voltage of the DC-DC converter.
US07683592B2 Low dropout voltage regulator with switching output current boost circuit
A low dropout voltage regulator with switching output current boost circuit. In one aspect of the invention, a voltage regulator circuit includes a low dropout voltage regulator providing an output voltage at an output based on an input voltage at an input, and a boost circuit connected to the low dropout voltage regulator. The boost circuit includes a comparator and a boost transistor device for allowing additional current to be provided to the output of the low dropout voltage regulator when the output voltage of the current regulator falls below a predetermined threshold.
US07683588B2 Device for controlling power generated in vehicle
A device for controlling vehicle power generation that controls duty cycle of an excitation winding in a vehicle power generator and controls an output voltage from the vehicle power generator to a predetermined value includes a power generation controlling circuit that, when the output voltage from the vehicle power generator is less than the predetermined value, gradually increases the value of a gradual excitation duty and gradually increases the excitation current, the gradual excitation duty being a limit value for increasing and decreasing an excitation drive duty intermittently controlling the electrification of the excitation winding, and in an opposite situation, gradually decreases a value of the excitation drive duty and gradually decreases the excitation current. The power generation controlling circuit changes the rate at which the value of the gradual excitation duty is decreased.
US07683586B2 Method and system of fault powered supply voltage regulation
A method and system for supply voltage regulation in a motor circuit protector (MCP) that includes a current transformer coupled to a rectifier and a stored energy circuit. A solenoid is actuated by that circuit when a sufficient voltage is present. A controller having a configurable input is coupled to the stored energy circuit. Upon startup of the motor circuit protector, the controller causes the stored energy circuit to be charged to a startup voltage level via secondary current from the current transformer. The controller periodically interrupts the charging to measure the secondary current to detect fault levels. During startup, the configurable input is set to a comparator input for rapid current measurements. During run mode, the configurable input is set to an A/D input for accurate measurements. The controller measures the voltage of the stored energy circuit while charging it to a power level sufficient to actuate the solenoid.
US07683585B1 Trailer battery charge systems and methods
A trailer battery charge system for an electrical connection device between a vehicle and a trailer. The trailer battery charge system generally includes a first switching device that allows current to flow to an electrical terminal associated with a trailer battery of the trailer. A voltage sensor generates a voltage signal based on a voltage at the electrical terminal associated with the trailer battery. A control module controls the first switching device to charge the trailer battery based on the voltage signal.
US07683584B2 Power source switching apparatus and method thereof
A power source switching apparatus is provided. The example power source switching apparatus may include a voltage adjuster outputting a first power source voltage having a voltage level, corresponding to the output voltage of a battery, during an external power source mode where the battery is being charged, the first power source voltage based at least in part on the external power source and the output voltage of the battery, a controller outputting a first control signal and a second control signal, the first control signal enabled if the battery is operating in the external power source mode and the second control signal is enabled if the battery is not operating in the external power source mode, a first switch outputting the first power source voltage if the first control signal is enabled and a second switch outputting the output voltage of the battery if the second control signal is enabled.
US07683583B2 Cooling system of battery pack
In a cooling system for cooling a battery pack mounted in a vehicle, the battery pack is mounted at an inner lower end of the vehicle constructed in a corrugated structure including alternating valleys and peaks. Battery modules of the battery pack are arranged such that unit cells of each battery module are mounted vertically on the corrugated lower end of the vehicle. The cooling system includes a coolant inlet port and a coolant outlet port formed at a lower-end member of a housing surrounding an outer surface of the battery pack. The coolant inlet port and the coolant outlet port are formed using a structure of the vehicle.
US07683581B2 Measuring apparatus and method for measuring remaining coulombs of electrical energy storage device and electronic device
A measuring apparatus includes a storing module, a measuring module, and a processing module. The storing module is used for storing maximum coulombs of the rechargeable electrical energy storage device, and a relation of various standard voltage and percentages of the remaining coulombs to the maximum coulombs. The measuring module is used for measuring an initial voltage of the rechargeable electrical energy storage device, and retrieving initial charged coulombs from the storing module. The processing module is used for calculating charged coulombs and discharged coulombs, and calculating the remaining coulombs of the rechargeable electrical energy storage device based on the charged coulombs or the discharged coulombs and the initial charged coulombs and updating the storing module with the remaining coulombs. A measuring method and an electronic device including a measuring apparatus for measuring the remaining coulombs are also disclosed.
US07683579B2 Method and apparatus of controlling for charge/discharge power of battery
Disclosed is an apparatus and method for controlling the discharge or charge power of a battery, capable of preventing over-charge and over-discharge of battery cells according to states of the battery cells, and solving a problem that the lifetime of a conventional battery pack is rapidly reduced due to the over-charge or over-discharge of some cells of the battery pack. The method includes the steps of estimating the maximum power of the battery, measuring voltage of a battery cell or pack, checking whether or not the voltage of the battery cell or pack deviates from a preset limited range so as to correspond to the maximum power, and when the voltage of the battery cell or pack deviates from a preset limited range, controlling the discharge or charge power of the battery.
US07683577B2 Battery state monitoring circuitry with low power consumption during a stand-by-state of a battery pack
A battery pack including at least one battery cell, a switch, and battery state monitoring circuitry. The battery state monitoring circuitry may be configured to control an ON resistance of the switch to a first ON resistance when the switch is ON and the battery pack is in a stand-by-state and to control the ON resistance to a second ON resistance when the switch is ON and said battery pack is not in said stand-by-state, the first ON resistance greater than the second ON resistance. A cordless electrical device and method consistent with embodiments are also provided.
US07683575B2 Method and apparatus for identifying and disconnecting short-circuited battery cells within a battery pack
A method and apparatus for deactivating a bad battery cell from a battery pack for an energy storage system of an electric vehicle is disclosed. The apparatus and methodology includes a clamshell member arranged at an end of the cells and a printed circuit board arranged adjacent to the clamshell member. A collector plate is arranged adjacent to the printed circuit board and a switch is arranged on the printed circuit board. A wire bond is arranged between the switch and one of the cells and a second wire bond is arranged between the switch and the collector plate. The plurality of switches will allow for the identification of the one individual cell having a weak short circuit within the battery pack. Upon identification of the cell with the weak short circuit that cell will have its switch placed in an open position thus electrically isolating the faulty or bad cell from the battery pack.
US07683574B2 Rapid charge lithium ion battery charger
A rapid charging circuit for a lithium ion battery. The battery charger in accordance with the present invention compensates for the voltage drops across the various resistance elements in the battery circuit by setting the charging voltage to a level to compensate for the initial resistance of the series resistances in the circuit and an additional resistance selected to take into account the anticipated increase in resistance of the various circuit elements over time. The battery charger in accordance with the present invention periodically monitors the open-circuit voltage of the battery cell and reduces the charging voltage to when the battery cell voltage reaches the optimal value. Thus, during a constant current charging mode, the battery cell is driven at a relatively optimal charging current to reduce the charging time. As such, the system is able to optimize the charging current supplied to a battery cell during a constant current mode of operation while compensating for circuit elements whose resistance may vary over time due to temperature or other factors, such as corrosion, while at the same time avoiding exceeding the maximum recommended voltage for the battery cell.
US07683573B2 System and method for powering docked portable information handling system
A portable information handling system powered through a docking station charges an internal battery based on available power sensed at the docking station and communicated to a charger of the information handling system. A dock sensor on the information handling system selectively interfaces a local power sensor if the information handling system is not docked or a docking station sensor if the information handling system is docked. Available power sensed at the docking station is communicated to a battery charger of the information handling system by a differential wire pair interfaced through a docking connector.
US07683572B2 Battery charging cradle and mobile electronic device
A battery charging cradle and mobile electronic device include a battery charging cradle incorporating a primary coil which induces an AC magnetic flux to a specific portion of a planar, top plate of the cradle, and a rechargeable battery incorporated inside a bottom plate and charged by electric power which is induced to a secondary coil being electromagnetically coupled to the primary coil. The battery charging cradle has a positioning portion, so that the mobile electronic device is placed in a predetermined position by means of the positioning portion, the primary coil is electromagnetically coupled to the secondary coil, and thus the rechargeable battery incorporated in the mobile electronic device is charged.
US07683570B2 Systems, methods, and apparatus for battery charging
An apparatus including a rechargeable battery pack installed in an electric vehicle, the rechargeable battery pack coupled to a power supply, the power supply operable to provide a charge voltage to perform charging operations on the battery pack, a heating element to heat a fluid to be circulated through the rechargeable battery pack, a comparator circuit to compare a battery voltage of the rechargeable battery pack to a line source voltage, the comparator circuit operable to compare the battery voltage to the line source voltage and to provide an output signal when the battery voltage is less than a line voltage offset value, and a control circuit coupled to receive the output signal of the comparator, and to couple the line source voltage to the power supply, an to bypass the heating element if the comparator is not providing the output signal.
US07683569B2 Parallel hybrid vehicle optimal storage system
The present invention is a method and apparatus by which power is controlled in a hybrid electric vehicle such that high levels of performance and efficiency are realized. The present invention includes a method and apparatus developed to optimize the use of energy in a hybrid vehicle application from the hybrid energy storage device. The method and apparatus of the present invention is particularly useful with energy storage devices there the energy state, such as the state of charge, is readily determined by an easily measured attribute. Ultracapacitors and hydraulic storage cylinders are examples of the types of energy storage devices to which the present invention may be applied.
US07683568B2 Motor drive using flux adjustment to control power factor
The switching rectifier and switching inverter on a motor drive unit are modulated to indirectly change the magnitude of current and voltage stored in DC link by controlling the magnetic field of the motor to correct for both power factor lead and power factor lag over a wide range of motor speeds and conditions while maintaining a predetermined motor operating point.
US07683558B2 Electric car control apparatus
An electric car control apparatus with which it is possible to make the size of equipment small.The control apparatus includes current detectors for respectively detecting currents flowing through collector shoes mounted on a leading car; a no-current indicator for outputting a no-current signal when the currents detected by these current detectors are zero; a no-current train line for transmitting the no-current signal to the following car; and disconnection timer mounted on each of the cars for, when inputting a speed signal and a no-current signal, in correspondence with the speed of the cars outputting a disconnection signal for causing a connector to disconnect after a predetermined time such that it is possible to determine that there is a dead section or gap.
US07683557B2 Interlocking device for controlling clockwise and counterclockwise rotation of a motor
An interlocking device for controlling clockwise and counterclockwise rotation of a motor is connected with a clockwise rotation module and a counterclockwise rotation module at both ends thereof to form a loop by cooperating with a power-supply terminal and an earth terminal. The clockwise rotation module includes a clockwise rotation trigger switch and a clockwise rotation relay. The counterclockwise rotation module includes a counterclockwise rotation trigger switch and a counterclockwise rotation relay. By such arrangements, the loop collision caused by mis-touch can be avoided by a cross connection of the clockwise and counterclockwise rotation relays with the clockwise and counterclockwise rotation trigger switches, thus preventing the man-made careless mistake and maintaining the smoothness of the operation.
US07683554B2 High-voltage lamp-ignition piezoelectric oscillator
The present invention discloses a high-voltage lamp-ignition piezoelectric oscillator, wherein a piezoelectric capacitor is connected to an LC resonance circuit in parallel to transform power. The LC resonance circuit is used to generate resonance. Voltage is applied to the piezoelectric capacitor to deform the piezoelectric material due to the inverse-piezoelectric effect and then generate electricity after deformation due to the piezoelectric effect. The combination of piezoelectric and inverse-piezoelectric effects generates additional charge and boosts the voltage. Thereby, the present invention decreases power consumption and reduces cost.
US07683551B2 Processing method and processing device of conditioning electron gun
Discharge factors existing on a surface of an electrode or an insulator forming an electron gun are removed efficiently and effectively, thus simply and easily enhancing the withstand voltage property of the electron gun. A conditioning processing device of an electron gun is provided with a voltage supply section, a voltage adjusting section for adjusting the output voltage of the voltage supply section, and a current detection section for detecting a leakage current flowing between the electrodes of the electron gun. Further, there are attached a vacuum exhaust section for adjusting the inside of the electron gun in a reduced pressure condition and a pressure detection section. Further, it is arranged that a personal computer (PC), for example, performs data processing based on the leakage current detected by the current detection section or comparison with a reference value thereof to control the voltage, which is applied between the electrodes from the voltage supply section via a connection section, via the voltage adjustment section.
US07683549B2 Metal halide lamp with fill comprising lead halide
A metal halide fill for forming an ionizable fill comprises at least one inert gas, mercury and metal halides, comprising the constituents Na halide, Tl halide, Ca halide and halides of the rare earths. It also comprises Pb halide. This fill may be present in particular in the discharge vessel of a metal halide lamp which has an outer bulb.
US07683547B2 Method for lighting flat fluorescent lamp
A method for lighting a flat fluorescent lamp for a large-sized backlight unit is disclosed, to prevent a discharge interference (scattering in fluorescent discharge) when lighting a plurality of groups of cylindrical electrodes being adjacent, in which an A.C. voltage is applied to one or two groups of cylindrical electrodes through introduction wires for lighting lamp in state of being not applied to adjacent one or two groups of cylindrical electrodes, so the plurality of groups of cylindrical electrodes are sequentially switched on and off in a time-division method at a speed not to generate the flicker of lamp.
US07683546B2 Sulfur lamp having electrodes
A sulfur lamp including a power supply that supplies electrical power includes a transparent bulb having a space inside that contains sulfur. Additionally, a plurality of electrodes may be provided on an outside surface of the transparent bulb. Further, one end of each electrode may be connected to the power supply so that the sulfur is excited by an electric discharge to emit light. Therefore, the changing of sulfur contained in the space of the bulb into a plasma phase using the electrodes (not microwaves) avoids a need to use a magnetron, which has a low energy transfer rate, thereby increasing a system efficacy and saving a cost of replacing the magnetron with a new one.
US07683544B2 Plasma display panel having buffer areas along the periphery of display area
A plasma display panel has heights of barrier ribs prevented from abnormally increasing at positions where a phosphor dispensing process starts and ends, improving discharge performance and uniformity of a panel. A front substrate and a rear substrate face each other. Address electrodes and display electrodes extend separately from each other in a first direction and a second direction, respectively, in a space between the front substrate and the rear substrate, the first direction crossing the second direction. Barrier ribs partition a display area including a plurality of discharge cells in the space between the front substrate and the rear substrate. A non-display area is formed along a periphery of the display area. A phosphor layer is formed in each discharge cell. The non-display area includes a buffer area formed of at least a single region outside the display area.
US07683543B2 Plasma display panel with improved barrier ribs
The present invention relates to a plasma display panel. According to the present invention, a width of an inner barrier rib formed in an inner region within a display region of a rear substrate is smaller than a width of an outer barrier rib formed in an outer region of the rear substrate. Therefore, the barrier ribs can be prevented from being damaged due to pressure applied when a front substrate and the rear substrate are adhered. Furthermore, a height of the inner barrier rib and a height of the outer barrier rib are substantially the same. It is thus possible to minimize noise incurred by a step between the barrier ribs.
US07683542B2 Direct cooling of an amalgam deposit in a low-pressure mercury amalgam lamp
An arrangement of a low-pressure mercury amalgam lamp includes an amalgam deposit and a cladding tube surrounding a lamp, wherein the lamp has a mechanical contact to the cladding tube in a region of the amalgam deposit. A ring-shaped band contacting and surrounding the lamp in the region of the amalgam deposit represents the mechanical contact to the cladding tube.
US07683541B2 Display apparatus provided with movable substrate covers securing edges of a front cover and its corresponding reinforcing plate
A display apparatus including: a rectangular thin plate form display device with a surface on one side in the thickness direction thereof as a display surface configured to display an image and with a surface on the other side as a back surface; rigid substrates each connected to an edge of the display device through a flexible substrate, operative to drive the display device, and each being elongate along the edge of the display device; a front cover covering the display surface of the display device; a rectangular thin plate form reinforcing plate attached to the back surface of the display device; and a rear cover covering the back surface of the display device and a back surface of the reinforcing plate.
US07683540B2 Light-emitting apparatus, method of manufacturing light-emitting apparatus, image forming apparatus, and electronic apparatus
There is provided a light-emitting apparatus formed by attaching a device substrate where light-emitting device is formed and a sealing substrate which seals the device substrate through a sealing material and sealing the light-emitting device between the device substrate and the sealing substrate through the sealing material, wherein the sealing material includes a first sealing material and a second sealing material, wherein the first sealing material is formed to surround the light-emitting device between the device substrate and the sealing substrate and to have a sealing hole portion formed by not disposing the first sealing material, so that the first sealing material is discontinuous, wherein the sealing hole portion of the first sealing material is closed with the second sealing material, so that the second sealing material and the first sealing material constitute a ring-shaped sealing member, and wherein the first sealing material is provided with guide portions which are formed to be continuous with at least one side where the sealing hole portion is formed and to extend to an inner surface of the device substrate without being covered with the sealing substrate so as to be exposed.
US07683539B2 Light emitting device package and method for manufacturing the same
The present invention relates to a light emitting device package and a method for manufacturing the same. The present invention has advantages in that a light emitting device is electrically connected to other devices without use of wire bonding, thereby saving a space for wire bonding and reducing the size of a package.
US07683537B2 Organic EL device and display
An organic EL device that increases light emission efficiency by promoting the uniformity of light-emitting layer thickness while reducing the interval between pixel regions, and a display provided with the organic EL device. An organic EL device (10) has two or more first banks (230) extending in a line state, a plurality of second banks (240) that define pixel regions (300) by dividing a region between the adjacent first banks (230) and have a height lower than that of the first bank (230), a hole transport layer (250) provided independently for each pixel region (300), and an insulating inorganic film (220) provided on edges of the pixel region (300) along side faces of the two first banks (230) facing each other and defining the pixel regions (300). With the configuration, even if the interval between the pixel regions is small, a layer in contact with an upper face of the insulating inorganic film (220) is formed flat by the insulating inorganic film (220) and a layer thickness of the layer is made uniform.
US07683535B2 Light-emitting device and method of manufacturing the same
To provide a bright and highly reliable light-emitting device. An anode (102), an EL layer (103), a cathode (104), and an auxiliary electrode (105) are formed sequentially in lamination on a reflecting electrode (101). Further, the anode (102), the cathode (104), and the auxiliary electrode (105) are either transparent or semi-transparent with respect to visible radiation. In such a structure, lights generated in the EL layer (103) are almost all irradiated to the side of the cathode (104), whereby an effect light emitting area of a pixel is drastically enhanced.
US07683529B2 Panel of slim cathode ray tube with electron beam deflection angle of 110 degrees of more
Disclosed herein is a panel of a slim cathode ray tube constructed such that the deflection angle of an electron beam is 110 degrees or more. The slim cathode ray tube includes a tube part constituted by joining the panel and a funnel with each other. The panel includes a face part, a side wall disposed around the face part such that the side wall is bent toward the funnel, and a seal edge formed at the side wall, the panel being joined with the funnel at the seal edge. On the assumption that the thickness of the center of the face part is Tc, the thickness of the long side of the seal edge is Tx, the thickness of the short side of the seal edge is Ty, and the thickness of the diagonal part of the seal edge is Td, the panel is constructed such that the following inequalities are satisfied: 0.8≦Tc/Ty≦Tc/Tx≦1.0≦Tc/Td and Td
US07683525B2 Method for cavitation threshold characterization and control
A method for characterizing cavitation that occurs in a fluid exposed to acoustic energy. The method comprises the steps of exposing a volume of process fluid to acoustic energy at a specified power level; measuring the photon output from the fluid over a period of time; and when the photon output deviates from a desired level, initiating a remedial step to bring the photon output back to approximately the desired level.
US07683515B2 Rotating field machine with bell-shaped rotor
An electrical drive comprising stationary outer and inner stators; a rotatably mounted rotor with at least one pot-shaped element having a cylindrical wall and a base wall, wherein the cylindrical wall is thin-walled and made of magnetic material, wherein the base wall extends perpendicular and a cylindrical coaxial to a rotor shaft axis and the base wall is connected to the rotor shaft for transfer of torque; at least one electrical excitation coil; and a plurality of permanent magnet elements secured to the rotor for producing an excitation flux, wherein the magnet elements rest only against a radially inner side of the cylindrical wall and in the circumferential direction are disposed next to one another, wherein the magnet elements, together with the stators, form magnetic circuits that pass radially entirely through the cylindrical wall, and wherein the radial thickness of the magnet elements is greater than the thickness of the cylindrical wall.
US07683514B2 Hybrid magnetic bearing
In a hybrid magnetic bearing, the electromagnet has a core wound with a control coil and has a main pole and a commutating pole with a commutating pole permanent magnet provided approximately parallel to each other at predetermined intervals in a protruding condition radially or axially to the rotor. In the magnetic bearing provided radially, two electromagnets are placed oppositely to each other across the rotor in an approximately horizontal position, and the rotor is arranged so as to have a predetermined gap with the main pole and the commutating pole, and the permanent magnet is provided between the adjacent electromagnets. In the magnetic bearing provided axially, two electromagnets are placed in parallel in an approximately horizontal position, and the rotor is arranged so as to have a predetermined gap with the main pole and the commutating pole, and the permanent magnet is provided between the adjacent electromagnets.
US07683511B2 Power converter and related method
A power converter that is arranged in series with a motor to form a unitary structure through which an output shaft extends is provided with a plurality of coolers and a power semiconductor module mounted on a cooling surface of at least one of the plurality of coolers to supply electric power to a motor. Each of the plurality of coolers is disposed along an extending direction radially extending from an output shaft to be perpendicular to the output shaft. The cooling surface is provided along such an extending direction.
US07683509B2 Electromagnetic device with open, non-linear heat transfer system
Electromagnetic components are provided with a heat exchange mechanism. For example, a fluid-cooled electromagnetic field-functioning device, such as a motor, generator, transformer, solenoid or relay, comprises one or more electrical conductors. A monolithic body of phase change material substantially encapsulates the conductors or an inductor. At least one liquid-tight coolant channel is also substantially encapsulated within the body of phase change material. The coolant channel may be part of a heat pipe or cold plate. The coolant channel may be made by molding a conduit into the body, using a “lost wax” molding process, or injecting gas into the molten phase change material while it is in the mold. The coolant channel may also be formed at the juncture between the body and a cover over the body.
US07683504B2 Multiple location electronic timer system
A multiple location electronic timer system comprises an electronic timer and one or more accessory timers. The electronic timer is adapted to be coupled between an AC power source and an electrical load. The electronic timer is operable to enable the delivery of power to the load and to subsequently discontinue the delivery of power to the load automatically after a preset timeout period has elapsed. In response to controls signals received from the accessory timer, the electronic timer is operable to adjust the preset timeout period, enable the delivery of power to the load, discontinue the delivery of power to the load, and to enter a bypass mode in which the load is turned on for an indefinite amount of time. The accessory timer may be coupled to the electronic timer via a single conductor, a wired digital communication link, a radio frequency communication link, an infrared communication link, or a power line carrier communication link.
US07683498B2 Method for operation of a wind energy installation
A method for operation of a wind energy installation having a rotor (18) which can be driven by wind and has at least one rotor blade (22), a generator for conversion of the mechanical energy of the rotor (18) to electrical energy, a tower (14) on which the rotor (18) is arranged, and to guy the wind energy installation (10) a guy apparatus that is changed as required automatically from an unstressed state which stabilizes the wind energy installation (10), and a wind energy installation having these features.
US07683488B2 Semiconductor device
A semiconductor device is provided having an insulating layer structure with a low dielectric constant and excellent barrier properties against copper. This semiconductor device has a copper wiring layer and includes at least one layered structure having a copper wiring line, an amorphous carbon film with a density of 2.4 g/cm3 or more, a porous silicon oxide insulating material layer, an amorphous carbon film with a density of 2.4 g/cm3 or more and a copper wiring line in that order.
US07683487B2 Structure applied to a photolithographic process
A structure applied to a photolithographic process is provided. The structure includes at least a film layer, an optical isolation layer, an anti-reflection coating and a photoresist layer sequentially formed over a substrate. In the photolithographic process, the optical isolation layer stops light from penetrating down to the film layer. Since the optical isolation layer is set up underneath the photoresist layer, light emitted from a light source during photo-exposure is prevented from reflecting from the substrate surface after passing through the film layer. Thus, the critical dimensions of the photolithographic process are unaffected by any change in the thickness of the film layer.
US07683485B2 Semiconductor device
When a BGA package device is mounted to another substrate and tested for packaging strength, solder balls (8) frequently come detached in places where the edges of a semiconductor chip (1) align with the centers of the solder balls (8) on a BGA substrate (9) in the perpendicular direction of the substrate. In a semiconductor device of the present invention, the center of a semiconductor chip and the center of a BGA substrate to which the chip is mounted do not coincide with each other, and edges of the semiconductor chip do not align with the ball center positions on the BGA substrate in a direction perpendicular to the chip.
US07683484B2 Bump structure, method of forming bump structure, and semiconductor apparatus using the same
A bump structure includes a squashed ball provided on an electrode pad, and a wire provided on the squashed ball. The wire is a wire loop that is loop-shaped and is formed so as to protrude from an end part of the squashed ball. This provides high bonding reliability between a bonding pad and the bump structure.
US07683480B2 Methods and apparatus for a reduced inductance wirebond array
A wirebond array (100) comprising a plurality of signal wires 110 and a plurality of ground wires (120) interdigitated with and substantially parallel to the set of signal wires (110). In one embodiment, each of the plurality of signal wires (110) and ground wires (120) is attached to a first semiconductor device (102) (e.g., a microwave power device). In another, each of the plurality of signal wires (110) is further attached to a package lead (104). In one embodiment, each of the plurality of ground wires (120) is further attached to a ground connection region (106) substantially coplanar with the package lead (104). Alternatively, each of the plurality of signal wires (110) is further attached to a second semiconductor device, wherein each of the plurality of ground wires (120) is further attached to the second semiconductor device.
US07683479B2 Semiconductor package involving a rotary lock that connects a package substrate and a separate component
A semiconductor chip 36 is mounted on a package substrate 30 with its circuit side facing to a board 38. Heat is dissipated from an upper side of the semiconductor chip 36 opposite to the circuit side. A sealing resin 32 seals around the periphery of the semiconductor chip 36 so that the upper side of the semiconductor chip 36 is exposed to atmosphere. A fixing member 34 is buried in the sealing resin 32 so that a hook 40 formed on the tip of the fixing member 34 extends above the upper side of the semiconductor chip 36. A spreader 10 dissipates heat emitted from the semiconductor chip 36. A guiding slot 12 is formed on the side facing to the package substrate 30 of the spreader 10. The hooks 40 of the fixing members 34 are inserted into the guiding slots 12 respectively, and then the spreader 10 is rotated by predetermined angle against the package substrate 30. Then, the hooks 40 travel along the slots 12. Through such process, the spreader 10 is pulled to come into contact with the upper side of the semiconductor chip 36.
US07683473B2 Semiconductor device, fabrication method therefor, and film fabrication method
The present invention provides a semiconductor device, a fabrication method therefor, and a film fabrication method, the semiconductor device including a first substrate (e.g., a semiconductor chip), an anisotropic conductive film that is provided on the first substrate and has a wiring pattern having at least a portion providing conduction through the anisotropic conductive film, and a second substrate (semiconductor chip) provided on the anisotropic conductive film and coupled to the first substrate via the portion providing conduction through the anisotropic conductive film. According to the present invention, it is possible to provide a semiconductor device, a fabrication method therefor, and a film fabrication method, by which production costs can be reduced in electrically coupling different positions in upper and lower substrates.
US07683472B2 Power semiconductor modules and method for producing them
A power semiconductor module in a pressure contact embodiment and a method for producing such modules, for disposition on a cooling component. Load terminals of the modules are formed as metal molded bodies having at least one contact element, one flat portion, and contact feet emanating therefrom. Each flat portion is disposed parallel to, and spaced from, the surface of the substrate. The contact feet extend from the flat portion to the substrate. An elastic intermediate layer is disposed between adjacent load terminals, in the region of the respective flat portions, and the intermediate layer and load terminals form a stack.
US07683471B2 Display driver integrated circuit device, film, and module
A rectangular display driver integrated circuit device adapted for use with a flat panel display (FPD) device is disclosed and comprises, a plurality of input pads arranged in a central portion of the display driver integrated circuit device, and a plurality of output pads arranged along edges of all four sides of the display driver integrated circuit device. An associated film, film package, and flat panel display (FPD) module adapted to receive the display driver integrated circuit device are also disclosed.
US07683468B2 Enabling uniformity of stacking process through bumpers
A stacked semiconductor chip assembly is disclosed, as are different embodiments relating to same. The stacked chip assembly preferably includes a plurality of units which include a substrate with microelectronic components mounted on each. The individual units desirably are thin and directly abut one another so as to provide a low-height assembly and uniform spacing. Warping of the stacked package is desirably limited by placing bumpers between adjacent units to provide a balanced support, while applying a downward pressure on the units during reflow to control height tolerances.
US07683464B2 Semiconductor package having dimpled plate interconnections
A semiconductor package is disclosed. The package includes a leadframe having drain, source and gate leads, a semiconductor die coupled to the leadframe, the semiconductor die having a plurality of metalized source areas and a metalized gate area, a patterned source connection having a plurality of dimples formed thereon coupling the source lead to the semiconductor die metalized source areas, a patterned gate connection having a dimple formed thereon coupling the gate lead to the semiconductor die metalized gate area, a semiconductor die drain area coupled to the drain lead, and an encapsulant covering at least a portion of the semiconductor die and drain, source and gate leads.
US07683462B2 Chip package structure
A method of fabricating a chip package structure includes the steps of providing a lead frame having a die pad, plural leads and at least one structure enhancement element. A chip is then disposed on the die pad and plural bonding wires are formed to electrically connect the chip to the leads. Then, an upper encapsulant and a first lower encapsulant are formed on an upper surface and a lower surface of the lead frame, respectively. The first lower encapsulant has plural concaves to expose the structure enhancement element. Finally, the structure enhancement element is etched with use of the first lower encapsulant as an etching mask until the die pad and one of the leads connected by the structure enhancement element, or two of the adjacent leads connected thereby are electrically insulated.
US07683460B2 Module with a shielding and/or heat dissipating element
A module (100) comprises a component (10) and a shielding element (11), which is mounted on a main surface (12) of the component (10) and has a welding contact (13).
US07683459B2 Bonding method for through-silicon-via based 3D wafer stacking
There is described a hybrid bonding method for through-silicon-via based wafer stacking. Patterned adhesive layers are provided to join together adjacent wafers in the stack, while solder bonding is used to electrically connect the vias. The adhesive layers are patterned to enable outgassing and to provide stress relief.
US07683458B2 Through-wafer interconnects for photoimager and memory wafers
A through-wafer interconnect for imager, memory and other integrated circuit applications is disclosed, thereby eliminating the need for wire bonding, making devices incorporating such interconnects stackable and enabling wafer level packaging for imager devices. Further, a smaller and more reliable die package is achieved and circuit parasitics (e.g., L and R) are reduced due to the reduced signal path lengths.
US07683456B2 Semiconductor devices, capacitor antifuses, dynamic random access memories, and cell plate bias connection methods
In one aspect, a semiconductor device includes an array of memory cells. Individual memory cells of the array include a capacitor having first and second electrodes, a dielectric layer disposed between the first and second electrodes. Select individual capacitors are energized so as to blow the dielectric layer to establish a connection between the first and second electrodes such that, after blowing the dielectric layer, the second electrode is coupled to a cell plate generator establishing a bias connection therebetween. Cell plate bias connection methods are also described.
US07683455B2 Semiconductor device and method of manufacturing thereof
An active region on a semiconductor substrate is electrically isolated by trench isolation. A structure of the trench isolation is constituted of: a trench; a silicon oxide film formed on the inner wall of trench; an oxidation preventive film formed between silicon oxide film and semiconductor substrate; and a filling oxide film filling trench. Gate oxide film is formed by oxidation having a high capability by which radicals of at least one kind of hydrogen radicals and oxygen radicals are generated. Thereby, gate oxide film is formed so as to have a almost uniform thickness such that a thickness of a region directly above oxidation preventive film and a thickness of a region directly below gate electrode are almost the same is each other. According to the above procedure, there are obtained a semiconductor device having good transistor characteristics and a fabrication process therefor.
US07683454B2 MOS power component with a reduced surface area
A MOS power component in which the active regions extend perpendicularly to the surface of a semiconductor chip substantially across the entire thickness thereof. A MOS power transistor according to the present invention alternately includes a source region of a first conductivity type, an intermediary region, and a drain region of the first conductivity type, each of these regions extending across the entire thickness of the substrate, the source and drain regions being contacted by conductive fingers or plates substantially crossing the substrate, insulated and spaced apart conductive fingers crossing from top to bottom the intermediary region, the horizontal distance between the insulated fingers being such that the intermediary region can be inverted when an appropriate voltage is applied to these insulated fingers.
US07683452B2 Threshold voltage modulation image sensor
An image sensor has a plurality of pixels each with a photoelectric conversion element and a detection transistor the threshold voltage of which fluctuates in accordance with electrical charge generated in the photoelectric conversion element. The image sensor includes a second conductivity type shield region and a first conductivity type photoelectric conversion region; a first conductivity type well region linked to the photoelectric conversion region; a ring-like gate electrode; a second conductivity type source region at the inside of the ring-like gate electrode; a second conductivity type drain region. The image sensor further includes a potential pocket region that is formed in the well region below the ring-like gate electrode and accumulates the electrical charge, wherein the width of the gate electrode is formed narrower in the part adjacent to the photoelectric conversion region than in other parts.
US07683449B2 Radiation-detecting optoelectronic component
An optoelectronic component that includes a semiconductor device and an optical component is disclosed. The semiconductor device includes at least one radiation-sensitive zone configured to detect electromagnetic radiation. The optical element for focusing is configured to focus the electromagnetic radiation in the at least one radiation-sensitive zone. The optical element includes a diffractive element having structures on the order of magnitude of the wavelength of the electromagnetic radiation.
US07683444B2 Metamaterial structure has resonant and strip line elements comprising a photoconductive semiconductor material formed on substrate to induce negative permeability and negative permittivity in operating frequency range
Materials and structures whose index of refraction can be tuned over a broad range of negative and positive values by applying above band-gap photons to a structure with a strip line element, a split ring resonator element, and a substrate, at least one of which is a photoconductive semiconductor material. Methods for switching between positive and negative values of n include applying above band-gap photons to different numbers of elements. In another embodiment, a structure includes a photoconductive semiconductor wafer, the wafer operable to receive above band-gap photons at an excitation frequency in an excitation pattern on a surface of the wafer, the excitation patterns generating an effective negative index of refraction. Methods for switching between positive and negative values of n include projecting different numbers of elements on the wafer. The resonant frequency of the structure is tuned by changing the size of the split ring resonator excitation patterns.
US07683442B1 Raised source/drain with super steep retrograde channel
Systems and methods for raised source/drain with super steep retrograde channel. In accordance with a first embodiment of the present invention, in one embodiment, a semiconductor device comprises a substrate comprising a surface and a gate oxide disposed above the surface comprising a gate oxide thickness. The semiconductor device further comprises a super steep retrograde channel region formed at a depth below the surface. The depth is about ten to thirty times the gate oxide thickness. Embodiments in accordance with the present invention may provide a more desirable body biasing voltage to threshold voltage characteristic than is available under the conventional art.
US07683438B2 Self-aligned double layered silicon-metal nanocrystal memory element, method for fabricating the same, and memory having the memory element
A nanocrystal memory element and a method for fabricating the same are proposed. The fabricating method involves selectively oxidizing polysilicon not disposed beneath and not covered with a plurality of metal nanocrystals, and leaving intact the polysilicon disposed beneath and thereby covered with the plurality of metal nanocrystals, with a view to forming double layered silicon-metal nanocrystals by self-alignment.
US07683433B2 Apparatus and method for improving drive-strength and leakage of deep submicron MOS transistors
An apparatus and method of manufacture for metal-oxide semiconductor (MOS) transistors is disclosed. Devices in accordance with the invention are operable at voltages below 2V. The devices are area efficient, have improved drive strength, and have reduced leakage current. A dynamic threshold voltage control scheme comprised of a forward biased diode in parallel with a capacitor is used, implemented without changing the existing MOS technology process. This scheme controls the threshold voltage of each transistor. In the OFF state, the magnitude of the threshold voltage of the transistor increases, keeping the transistor leakage to a minimum. In the ON state, the magnitude of the threshold voltage decreases, resulting in increased drive strength. The invention is particularly useful in MOS technology for both bulk and silicon on insulator (SOI) CMOS. The use of reverse biasing of the well, in conjunction with the above construct to further decrease leakage in a MOS transistor, is also shown.
US07683432B2 Semiconductor device having high-k gate dielectric layer and method for manufacturing the same
A semiconductor device having a high-K gate dielectric layer includes a p-type well that is formed in an upper layer of a silicon substrate. Arsenic ions are implanted into an extreme surface layer of the p-type well and a heat treatment is performed to form a p-type low-concentration layer. A HfAlOx film and a polycrystalline silicon layer are laminated on the substrate. A gate electrode is formed by patterning the polycrystalline silicon layer. After a n-type extension region is formed by implanting arsenic ions by using the gate electrode as a mask, sidewall spacers are formed on sides of the gate electrode. Arsenic ions are implanted by using the sidewall spacers and the gate electrode as masks, whereby n-type source/drain regions are formed.
US07683430B2 Electrically floating body memory cell and array, and method of operating or controlling same
An integrated circuit having a memory cell and/or memory cell array including a plurality of memory cells (as well as techniques for reading, controlling and/or operating, the memory cell, and/or memory cell array). Each memory cell includes at least one transistor having an electrically floating body transistor and an active access element. The electrically floating body region of the transistor forms a storage area or node of the memory cell wherein an electrical charge which is representative of a data state is stored in the electrically floating body region. The active access element is coupled to the electrically floating body transistor to facilitate programming of the memory cell and to provide a relatively large amount of majority carriers to the storage area or node of the memory cell during a write operation. The memory cell and/or memory cell array of the present inventions may be incorporated in an integrated circuit device, for example, a logic device (such as, for example, a microcontroller or microprocessor) or may comprise a portion of a memory device (such as, for example, a discrete memory).
US07683429B2 Microstructure and manufacturing method of the same
A microstructure which forms a micromachine is formed by using a silicon wafer as a mainstream, conventionally. In view of this, the invention provides a manufacturing method of a micromachine in which a microstructure is formed over an insulating substrate.The invention provides a micromachine including a layer containing polycrystalline silicon which is crystallized by thermal crystallization or laser crystallization using a metal element and including a space over or under the layer. Such polycrystalline silicon can be formed over an insulating surface and has high strength, therefore, it can be used as a microstructure as well. As a result, a microstructure formed over an insulating substrate or a micromachine provided with a microstructure can be provided.
US07683428B2 Vertical Fin-FET MOS devices
A new class of high-density, vertical Fin-FET devices that exhibit low contact resistance is described. These vertical Fin-FET devices have vertical silicon “fins” (12A) that act as the transistor body. Doped source and drain regions (26A, 28A) are formed at the bottoms and tops, respectively, of the fins (12A). Gates (24A, 24B) are formed along sidewalls of the fins. Current flows vertically through the fins (12A) between the source and drain regions (26A, 28A) when an appropriate bias is applied to the gates (24A, 24B). An integrated process for forming pFET, nFET, multi-fin, single-fin, multi-gate and double-gate vertical Fin-FETs simultaneously is described.
US07683425B2 Trench gate-type MOSFET device and method for manufacturing the same
A semiconductor device includes a first conduction type semiconductor substrate, a second conduction type base region in the substrate, a high concentration first conduction type source region in the base region, and first and second trenches. The source region is formed in an opposite side of the substrate. The first and second trenches pass through the source region and the base region, and the first and second trenches have different widths and shapes, respectively.
US07683424B2 Ballistic direct injection NROM cell on strained silicon structures
A nitride read only memory cell comprising a silicon-germanium layer with a pair of source/drain regions. A strained silicon layer is formed overlying the silicon-germanium layer such that the pair of source/drain regions is linked by a channel that is generated in the strained silicon layer during operation of the cell. A nitride layer is formed overlying the substrate. The nitride layer has at least one charge storage region. The nitride layer may be a planar layer, a planar split gate nitride layer, or a vertical split nitride layer. A control gate is formed overlying the nitride layer. Ballistic direct injection is used to program the memory cell. A first charge storage region of the nitride layer establishes a virtual source/drain region in the channel. The virtual source/drain region has a lower threshold voltage than the remaining portion of the channel.
US07683420B2 Nonvolatile memory semiconductor device and method for manufacturing same
A nonvolatile memory semiconductor device and a method for manufacturing thereof are provided to avoid deterioration of the tunnel insulating film to increase frequency of writing data on the nonvolatile memory semiconductor device and erasing thereof. Concentration of atomic nitrogen in a tunnel insulating film 151 of a nonvolatile memory semiconductor device 1 is 0.1 to 5 atomic %. In addition, larger amount of atomic nitrogen in the tunnel insulating film 151 is distributed primarily in the interface layer of the tunnel insulating film 151, and concentration of atomic nitrogen in the interface layer is 10 times or more higher than concentration of atomic nitrogen in other portion of the tunnel insulating film 151. Further, density per unit area of atomic nitrogen in the surface of the tunnel insulating film 151 contacting with the floating gate is equal to or lower than 4×1014 atoms/cm2.
US07683419B2 Semiconductor device having plural DRAM memory cells and a logic circuit and method for manufacturing the same
A memory cell capacitor (C3) of a DRAM is formed by use of a MIM capacitor which uses as its electrode a metal wiring line of the same layer (M3) as metal wiring lines within a logic circuit (LOGIC), thereby enabling reduction of process costs. Higher integration is achievable by forming the capacitor using a high dielectric constant material and disposing it above a wiring layer in which bit lines (BL) are formed. In addition, using 2T cells makes it possible to provide a sufficient signal amount even when letting them operate with a low voltage. By commonizing the processes for fabricating capacitors in analog (ANALOG) and memory (MEM), it is possible to realize a semiconductor integrated circuit with the logic, analog and memory mounted together on one chip at low costs.
US07683418B2 High-temperature stable gate structure with metallic electrode
The present invention provides a method for depositing a dielectric stack comprising forming a dielectric layer atop a substrate, the dielectric layer comprising at least oxygen and silicon atoms; forming a layer of metal atoms atop the dielectric layer within a non-oxidizing atmosphere, wherein the layer of metal atoms has a thickness of less than about 15 Å; forming an oxygen diffusion barrier atop the layer of metal atoms, wherein the non-oxidizing atmosphere is maintained; forming a gate conductor atop the oxygen diffusion barrier; and annealing the layer of metal atoms and the dielectric layer, wherein the layer of metal atoms reacts with the dielectric layer to provide a continuous metal oxide layer having a dielectric constant ranging from about 25 to about 30 and a thickness less than about 15 Å.
US07683417B2 Memory device with memory cell including MuGFET and fin capacitor
One embodiment of the present invention relates to a memory cell. The memory cell includes a multi-gate field effect transistor associated with a first region of a semiconductor fin. The memory cell also includes a fin capacitor coupled to a drain of the multi-gate field effect transistor and associated with a second region of the semiconductor fin, where the fin capacitor has an approximately degenerate doping concentration in the second region. Other devices and methods are also disclosed.
US07683413B2 Double sided container capacitor for a semiconductor device
A method for forming a double sided container capacitor comprises forming a first capacitor top plate layer within a recess in a dielectric layer, then forming a first cell dielectric on the first top plate layer. Next, first and second bottom plate layers are formed on the first cell dielectric layer, and a second cell dielectric layer is formed on the second bottom plate layers. Finally, a second top plate layer is formed on the second cell dielectric layer, and the first and second top plate layers are electrically connected using a conductive plug or conductive spacer. An inventive structure formed using the inventive method is also described.
US07683411B2 Image sensor and method of manufacturing the same
An image sensor and a method of manufacturing the same that includes providing a semiconductor substrate having a photodiode, forming a color filter over the photodiode, forming a micro lens over the color filter and then forming at least one metal layer vertically extending through the microlens at an outer edge thereof.
US07683406B2 Semiconductor device and method for forming the same
The present invention is related to semiconductor device and method for manufacturing the same. In accordance with the semiconductor device and method for manufacturing the same, at least one opening extending between LDD regions and exposing a buried insulating layer is formed so that a gate electrode surrounds the surface of a channel region. This structure allows the formation of a relatively a thick channel region and decreases the sensitivity of characteristics of the device dependent upon the thickness of the channel region.
US07683405B2 MOS transistors having recesses with elevated source/drain regions
Metal-oxide-semiconductor (MOS) transistors having elevated source/drain regions and methods of fabricating the same are provided. The MOS transistors may include a gate pattern formed to cross over a predetermined region of a substrate. Recessed regions are provided in the substrate adjacent to the gate pattern. Epitaxial layers are provided on bottom surfaces of the recessed regions. High concentration impurity regions are provided in the epitaxial layers. The recessed regions may be formed using a chemical dry etching techniques.
US07683404B2 Stacked memory and method for forming the same
A stacked memory includes at least two semiconductor layers each including a memory cell array. A transistor is formed in a peripheral circuit region of an uppermost semiconductor layer of the at least two semiconductor layers. The transistor is used to operate the memory cell array.
US07683397B2 Semi-planar avalanche photodiode
An avalanche photodetector is disclosed. An apparatus according to aspects of the present invention includes a mesa structure defined in a first type of semiconductor. The first type of semiconductor material includes an absorption region optically coupled to receive and absorb an optical beam. The apparatus also includes a planar region proximate to and separate from the mesa structure and defined in a second type of semiconductor material. The planar region includes a multiplication region including a p doped region adjoining an n doped region to create a high electric field in the multiplication region. The high electric field is to multiply charge carriers photo-generated in response to the absorption of the optical beam received in the mesa structure.
US07683394B2 Optical semiconductor device and method for manufacturing optical semiconductor device
An optical semiconductor device includes: a base substrate which has a concave portion; a light-emitting element which is provided in the concave portion, and which emits light; a prevention member which is provided to the base substrate in a manner of covering a side surface of the concave portion, and which prevents the light emitted by the light-emitting element from being incident on the side surface of the concave portion; and a translucent member which is provided in the concave portion, and which seals the light-emitting element.
US07683393B2 Wiring substrate for mounting light emitting element
A wiring substrate for mounting a light emitting element, comprising: a substrate body comprising an insulating material and having a first surface and a back surface; and a cavity being opened into the first surface of said substrate body and having a mounting area for mounting a light emitting element at a bottom face of said cavity, wherein a metalized layer provided along a side face of said cavity and metalized layers provided in said substrate body are provided to continue to each other.
US07683391B2 UV emitting LED having mesa structure
The present invention is directed towards a source of ultraviolet energy, wherein the source is a UV-emitting LED. In an embodiment of the invention, the UV-LED is characterized by a base layer material including a substrate, a p-doped semiconductor material, a multiple quantum well, a n-doped semiconductor material, upon which base material a p-type metal resides and wherein the LED's are provided with a rounded mesa configuration. In a specific embodiment, the p-type metal is positioned upon a rounded mesa, such as a parabolic mesa, formed out of the base structure materials.
US07683390B2 Semiconductor device
A semiconductor device has an active layer, a first semiconductor layer of first conductive type, an overflow prevention layer disposed between the active layer and the first semiconductor layer, which is doped with impurities of first conductive type and which prevents overflow of electrons or holes, a second semiconductor layer of first conductive type disposed at least one of between the active layer and the overflow prevention layer and between the overflow prevention layer and the first semiconductor layer, and an impurity diffusion prevention layer disposed between the first semiconductor layer and the active layer, which has a band gap smaller than those of the overflow prevention layer, the first semiconductor layer and the second semiconductor layer and which prevents diffusion of impurities of first conductive type.
US07683389B2 Nitride-based semiconductor light emitting diode
A nitride-based semiconductor LED comprises an anode; a first p-type clad layer having a second n-type clad layer coming in contact with the anode, the first p-type clad layer being formed under the anode such that a portion of the first p-type clad layer comes in contact with the anode; an active layer formed under the first p-type clad layer; a first n-type clad layer having a second p-type clad layer which does not come in contact with the active layer, the first n-type clad layer being formed on the entire lower surface of the active layer; and a cathode formed under the first n-type clad layer and the second p-type clad layer so as to come in contact with a portion of the first n-type clad layer and the second p-type clad layer.
US07683388B2 Image pickup device with color filter arranged for each color on interlayer lenses
An image pickup device is characterized by including a plurality of pixels having a plurality of photoelectric conversion units, convex interlayer lenses with respect to incident light, the convex interlayer lenses being arranged correspondingly to a photoelectric conversion devices and color filters being arranged for each color on the interlayer lenses correspondingly to the photoelectric conversion devices, wherein the color filter is formed to match the shape of the interlayer lens and the top surface thereof is substantially flat. This configuration reduces the amount of light which is incident on the gaps between adjacent microlenses and passes through the color filters at the boundary of pixels, decreasing color mixture of camera image.
US07683385B2 Facet extraction LED and method for manufacturing the same
A facet extraction LED improved in light extraction efficiency and a manufacturing method thereof. A substrate is provided. A light emitting part includes an n-type semiconductor layer, an active layer and a p-type semiconductor layer sequentially stacked on the substrate. A p-electrode and an n-electrode are connected to the p-type semiconductor layer and the n-type semiconductor layer, respectively. The p- and n-electrodes are formed on the same side of the LED. The light emitting part is structured as a ring.
US07683381B2 Semiconductor light-emitting device
A semiconductor light-emitting device comprises an N-type semiconductor layer, an active layer formed on the surface of the N-type semiconductor layer, a P-type semiconductor layer formed on the surface of the active layer, and a reflective layer formed on the surface of the P-type semiconductor layer. A plurality of ohmic contact blocks with electrical properties of ohmic contact are on the surface of the reflective layer adjacent to the P-type semiconductor layer, and the remaining part of the surface acts as the reflective regions with higher reflectivity, and the reflective regions can effectively reflect the light generated from the active layer.
US07683379B2 Light emitting element and manufacturing method thereof
A light emitting device having little variation in the intensity of light emitted from the light emitting surface is provided. The light emitting device of exemplary embodiments of the present invention includes a laminated body with a first conductivity type layer and a second conductivity type layer, with a light emitting portion therebetween. The light emitting device also includes a metal thin film layer on the second conductivity type layer of the laminated body, and a transparent conductor on the metal thin film layer. The transparent conductor includes a single layer of transparent conductive film. The grain size in the light emitting surface of the transparent conductive film is not less than 30 nm and not greater than 300 nm.
US07683378B2 Light emitting diode and method for fabricating same
An AlGaInP based light emitting diode is provided with a distributed Bragg reflector comprising a combination of an AlGaAs layer and an AlInP layer, each having a film thickness determined by following formulas (1) to (3): t1={λ0/(4×n1)}×α  (1), t2={λ0/(4×n2)}×(2−α)  (2), and 0.5<α<0.9  (3) wherein t1 is a film thickness [nm] of the AlGaAs layer, t2 is a film thickness [nm] of the AlInP layer, λ0 is a wavelength [nm] of a light to be reflected, n1 is a refractive index of the AlGaAs layer to the wavelength of the light to be reflected, and n2 is a refractive index of the AlInP layer to the wavelength of the light to be reflected.
US07683376B2 Liquid-crystal display devices and repairing method therefor
A liquid-crystal display device of OCB mode, comprising: signal and scanning lines arranged on an insulator substrate as the signal lines are intersected by the scanning lines to form intersections; pixel-dot TFTs arranged respectively in vicinity of the intersections and connected respectively with pixel electrodes, with the signal lines and with the scanning lines; and a repair-use construction for each pixel dot, which is convertible to a diode electrically connecting one of the pixel electrodes on the each pixel dot, to one of the signal lines.
US07683372B2 Semiconductor apparatus and method for manufacturing the same
The present invention is to carry out stable doping and to prevent the drastic pressure change in a treatment chamber by reducing degasification of resist during adding impurities. In the present invention, the stability of the impurity ion injection can be ensured by reducing degasification of resist by reducing the area (resist area proportion, that is, the ratio of the area of resist to the whole area of a substrate) of resist pattern which is used depending on the conditions such as acceleration voltage or current density of a doping process.
US07683371B2 Display panel and method for manufacturing the same
A display panel has a protection film having a recess. The recess is arranged above a storage electrode and corresponds to a location of the storage electrode in a plan view. A width of the recess is larger in plan view than a width of the storage electrode, and a pixel electrode is arranged on the protection film. The capacitance of a storage capacitor formed by charges stored in the pixel electrode and the storage electrode is determined by a thickness of the protection film and an overlapping area of the pixel electrode and the storage electrode.
US07683369B2 Structure for measuring body pinch resistance of high density trench MOSFET array
A structure is disclosed for measuring body pinch resistance Rp of trench MOSFET arrays on a wafer. The trench MOSFET array has a common drain layer of first conductivity type and a 2D-trench MOSFET array atop the common drain layer. The 2D-trench MOSFET array has an interdigitated array of source-body columns and gate trench columns. Each source-body column has a bottom body region of second conductivity type with up-extending finger structures. Each source-body column has top source regions of first conductivity type bridging the finger structures. The structure includes:a) A source-body column wherein each finger structure of the bottom body region has a formed top contact electrode.b) Two gate trench columns flank the source-body column and both have a formed top common gate contact electrode.Upon connection of the structure to external voltage/current measurement devices, Rp can be measured while mimicking the parasitic effect of neighboring trench MOSFETs.
US07683367B2 Thin film transistor, method for fabricating the same and display device
A method for fabricating a TFT on a substrate includes forming a gate electrode; forming a semiconductor layer insulated from the gate electrode and partially overlapped with the gate electrode; forming a gate insulating layer between the gate electrode and the semiconductor layer, the gate insulating layer including a sol-gel compound; and forming source and drain electrodes at both sides of the semiconductor layer.
US07683366B2 Organic thin film transistor providing smoother movement of holes between electrodes and semiconductor layer and flat panel display device including the same
Provided are an organic thin film transistor providing smoother movement of holes between a source electrode or a drain electrode and a p-type organic semiconductor layer, and a flat panel display device including the organic thin film transistor. The organic thin film transistor includes a substrate, a gate electrode disposed on the substrate, a p-type organic semiconductor layer insulated from the gate electrode, a source electrode and a drain electrode separated from each other and insulated from the gate electrode, and a hole injection layer interposed between the source and drain electrodes and the p-type organic semiconductor layer.
US07683365B2 Image pickup device
An image pickup device comprises an organic photoelectric conversion film sandwiched between at least two electrodes, wherein the organic photoelectric conversion file comprises a specific quinacridone derivative or a specific quinazoline derivative.
US07683362B2 Semiconductor device and production method thereof
A method of fabricating a semiconductor device is disclosed that is able to suppress a short channel effect and improve carrier mobility. In the method, trenches are formed in a silicon substrate corresponding to a source region and a drain region. When epitaxially growing p-type semiconductor mixed crystal layers to fill up the trenches, the surfaces of the trenches are demarcated by facets, and extended portions of the semiconductor mixed crystal layers are formed between bottom surfaces of second side wall insulating films and a surface of the silicon substrate, and extended portion are in contact with a source extension region and a drain extension region.
US07683360B2 Horizontal chalcogenide element defined by a pad for use in solid-state memories
A memory cell structure includes a substrate having a bottom electrode at least partially disposed within the substrate; a pad disposed at least partially over the substrate; a phase change element having a chalcogenide material, disposed at least partially over the substrate and adjacent to the pad, the phase change element being adjacent and operatively coupled to the bottom electrode; and a top electrode operatively coupled to the phase change element. Moreover, the pad is formed by a method including depositing a first material layer over the substrate, etching the first material layer to form a pad strip and to expose the bottom electrode, and etching the pad strip to from the pad.
US07683357B2 Dynamic laser power control for gas species monitoring
A gas species monitoring system includes a laser, a fiber amplifier configured to receive an input signal from the laser and generate an amplified signal, and a variable optical attenuation system configured to receive at least a portion of the amplified signal and generate an attenuated signal for delivery to a measurement point, where the measurement point includes a gaseous fluid. The system further includes a detector configured to receive and process a signal from the measurement point so as to obtain a measured signal that correlates with the presence of a gas species within the gaseous fluid at the measurement point, and a processor in communication with at least the variable optical attenuation system and the detector. The processor controls the variable optical attenuation system based upon the measured signal.
US07683352B2 Electron beam writing data creating method and electron beam writing data creating apparatus
An electron beam writing data creating method for creating writing data used for electron beam lithography includes judging whether a resizing process needs to be performed to a figure cell in device pattern data by cell based design or not, the figure cell including a cell layout frame and a pattern in the cell layout frame; performing the resizing process to the figure cell based on a relationship between the cell layout frame and the pattern, and resizing quantity of the resize process in a case where the resizing process is judged as needed to be performed; creating a character pattern cutting frame from the cell layout frame; and extracting a figure in the character pattern cutting frame as a character pattern.
US07683342B2 Plasma source
A plasma source, particularly for disinfection of wounds, comprising: an ionization chamber having an inlet for introducing a gas into the ionization chamber and further having an outlet for dispensing the ionized gas onto an object; several ionization electrodes being disposed within the ionization chamber for ionizing the gas and a predetermined ratio of the electrode-electrode distance on the one hand and the electrode-wall distance on the other hand, wherein the ratio is in a range approximately between about 1.8 and about 2.2.
US07683341B2 Using large field-of-view data to improve small field-of-view imaging
A large field of view projection image is obtained and a small field of view projection image is obtained. The two images are normalized, to take into account the difference between the count data between the images, and the way the images represent data. The large field of view image does not include truncation errors that are present in the small field of view image and therefore is stitched together with the smaller field of view image to use the improved data within the small field of view image with the truncation reduction enabled by the larger field of view image.
US07683340B2 Plasma panel based radiation detector
A radiation counting detector includes a first and a second substrate. A gas is contained within the gap between the substrates. A photocathode layer is coupled to one side of the first substrate and faces the second substrate. A first electrode is coupled to the second substrate and a second electrode is electrically coupled to the first electrode. A first impedance is coupled to the first electrode and a second impedance is coupled to the second electrode. A power supply is coupled to at least one electrode. A first discharge event detector is coupled to the first impedance and a second discharge event detector is coupled to the second impedance. The radiation counting detector further includes a plurality of pixels, each capable of outputting a gas discharge pulse upon interaction with radiation received from the photocathode. Each gas discharge pulse is counted as an individual event having an approximately equal value.
US07683335B2 Threshold Cerenkov detector with radial segmentation
Threshold Cerenkov Detector With Radial Segmentation permits correlation between number of photons produced in concentrically arranged radiator tubes and particle momentum that yields a 90% confidence level for e, μ, π, and p identification up to 4-5 GeV/c or four to five times greater than the momentum limit for particle identification in Threshold Cerenkov Detectors, wherein detector has three concentric cylinders with a total of 25 radiator tubes, each cylinder of tubes has different medium; and four scintillators are employed which trigger cosmic particles within a window of 5ns. Radiator designs produce more photons as particles enter improved TCDRS design and fewer photons as they leave. Correlation between the number of photons produced in the tubes and the particle momentum yields about a 90% confidence level for e, μ, π, and p identification up to 4-5 GeV/c times greater than the momentum limit for particle identification using existing Threshold Cerenkov Detectors.
US07683332B2 Integrated single photon emission computed tomography (SPECT)/transmission computed tomography (TCT) system for cardiac imaging
This invention features an integrated single photon emission computed tomography (SPECT)/transmission computed tomography (TCT) system for cardiac imaging including an open arc-shaped frame. A collimator system is shaped to approximately match the thoracic contour of patients having different sizes and weights and shaped to surround and position the collimator closely proximate a heart of a patient of said patients encompassed by at least one predetermined image volume for optimizing collimation of radiation photons emitted from the heart. An arc-shaped detector system is coupled to the collimator subsystem having a shape closely matching the shape of the collimator subsystem for detecting collimated radiation photons from the collimator subsystem and generating output electrical signals. A patient positioning subsystem positions a patient to a predetermined central longitudinal axis of the three-dimensional imaging volume and for intermittently and incrementally rotating the patient about the predetermined central longitudinal axis for generating a plurality of TCT images.
US07683330B2 Method for determining positron emission measurement information in the context of positron emission tomography
A method is disclosed for determining positron emission measurement information in the context of positron emission tomography. The method includes carrying out a positron emission measurement, in a body area of a subject to be examined, to record positron emission measurement information with point resolution and determining a time frame of the measurement by, at the same time, generating images of the body area to be examined with a relatively higher time resolution and with point-resolved image data, using a second imaging method. Further, a local shift of points of individual images of the second imaging method is determined, caused by movement processes of the subject to be examined, and as a function thereof, of the positron emission measurement information for at least a part of the measurement period and of the body area to be examined. Finally, the positron emission measurement information is adjusted as a function of the determined shift.
US07683325B2 Image forming apparatus
An image forming apparatus includes an electromagnetic wave radiating portion, an electromagnetic wave detecting portion, a driving portion, a periodical signal generating portion for generating plural periodical signals, a memory portion, a processing portion, and an image forming portion. The driving portion changes the relative positional relationship between an object and the radiating portion. The detecting portion acquires transmitted or reflected wave from the object, as a time-series detection signal. The processing portion executes a process of multiplying the detection signals of the areas of the object with the periodical signals, and a process of adding the multiplied results and causing the memory portion to store the added results. The image forming portion forms an image of at least a portion of the object, based on information stored in the memory portion corresponding to the periodical signals, after the acquisition of the time-series detection signal, and the processes executed by the processing portion are repeated plural times for the areas of the object.
US07683322B2 Systems, methods and apparatus for non-volatile storage of healthcare image data
Systems, methods and apparatus are provided through which in some embodiments a non-volatile storage medium is or can be operably coupled to a medical image acquisition device to reduce the risk of loss of medical image data.
US07683321B1 Shutterless infrared imager algorithm with drift correction
An infrared imaging system having functionality for maintaining image quality in the presence of temperature drift of the system. Such functionality is applied repetitively to maintain image quality of a target scene, yet without continuous actuation of a shutter of the system. The functionality of the imaging system results from implementing an imager algorithm. In use, the imager algorithm functions with a calibration curve created for the imaging system, with the curve comprising a plot of system output versus target scene temperature.
US07683319B2 Charge control apparatus and measurement apparatus equipped with the charge control apparatus
The invention solves charge nonuniformity of a specimen surface resulting from emission variation of a carbon nanotube electron source and individual difference of emission characteristics. During charge control processing, charge of the specimen surface is measured in real time. As means for solving charge nonuniformity resulting from nonuniformity of electron illumination density, electrons illuminating the specimen and the specimen are moved relatively to average electron illumination density. Moreover, an absorption current flowing into the specimen and the numbers of secondary electrons emitted from the specimen and of backscattered electrons are measured as means for monitoring charge of the specimen surface in real time.
US07683316B2 Ion trap mass spectrometer
The number of times of repetition of mass spectrometry analysis for integrating mass profiles is reduced to facilitate reduction in measurement time-period and increase a signal intensity. In a state when ions are trapped by a high-frequency electric field formed within an ion trap, a rectangular-wave high-frequency voltage to be applied from a main voltage generation section to a ring electrode is temporarily stopped, and next ions are introduced from an ion entrance port into the ion trap in a state when only a static electric field exists within the ion trap. The high-frequency voltage application is re-started while at least a part of previously-trapped ions remain within the ion trap, to trap the newly-introduced ions in addition to the previous ions so as to increase an amount of ions to be accumulated, and the accumulated ions are subjected to the mass spectrometry analysis.
US07683315B2 FAIMS cell having an offset ion inlet orifice
A FAIMS cell has an elongated inner electrode with a longitudinal axis extending along a first direction. The inner electrode has a curved outer surface that defines a circle when viewed in a cross section that is taken in a plane normal to the longitudinal axis, which itself passes through the center of the circle so defined. An outer electrode having an inner surface is disposed in a spaced-apart facing relationship relative to the outer surface of the inner electrode so as to define an analytical gap therebetween. A first ion inlet orifice is defined through a first portion of the outer electrode, and an ion outlet orifice is defined through a second portion of the outer electrode. In particular, the first ion inlet orifice has a first ion injection axis that does not pass through the center of the circle. Furthermore, the second electrode does not have defined through any portion thereof an ion inlet orifice having an ion injection axis that passes through the center of the circle.
US07683313B2 Charged particle beam measurement equipment, size correction and standard sample for correction
Correction of widths obtained by measurement of a sample with the use of a scanning electron microscope is executed with greater precision. A standard sample for correction comprises a plurality of correction mark members, the respective correction mark members, being lined up at specified intervals in a specified direction, and respective widths thereof, in the specified direction, differing from each other so as to be of respective sizes as pre-set. Measurement of the respective widths of the correction mark members is made to obtain respective measurement widths while authorized widths of the correction mark members are kept stored in an image processing unit of the scanning electron microscope to thereby find differences between the respective measurement widths, and authorized widths corresponding thereto, and the differences are stored as respective correction functions to correct the measurement width of the sample.
US07683309B1 Photosensor and method for fabricating the same
A photosensor includes a metal conductive layer, an interface dielectric layer, a silicon-rich dielectric layer and a transparent conductive layer. The interface dielectric layer is formed on the metal conductive layer. The silicon-rich dielectric layer is formed on the interface dielectric layer. The transparent conductive layer is formed on the silicon-rich dielectric layer. A method for fabricating a photosensor is also disclosed herein.
US07683307B2 Solid state image pickup element and camera system
A solid state image pickup element includes a pixel unit having a plurality of pixels for photoelectric conversion disposed in a matrix shape and a pixel signal read circuit for reading pixel signals in the unit of a plurality of pixels from the pixel unit. The pixel signal read circuit includes a plurality of comparators disposed in correspondence with a pixel column layout for performing comparison judgment between a read signal potential and a reference voltage and outputting a judgment signal and a plurality of counters each for counting a comparison time of a corresponding one of the comparators, an operation being controlled by an output from a corresponding one of the comparators. The comparators include a first amplifier for performing a comparison operation between the reference voltage and the read signal potential and inverting an output at a predetermined comparison point, a second amplifier for forming a current path when an output of the first amplifier is inverted, to output an output of the first amplifier by gain up, and a current control circuit for shutting the current path of the second amplifier when an output level of the second amplifier exceeds a predetermined threshold level.
US07683306B2 Dual conversion gain gate and capacitor combination
A pixel cell array architecture having a dual conversion gain. A dual conversion gain element is coupled between a floating diffusion region and a respective storage capacitor. The dual conversion gain element having a control gate switches in the capacitance of the capacitor to change the conversion gain of the floating diffusion region from a first conversion gain to a second conversion gain. In order to increase the efficient use of space, the dual conversion gain element gate also functions as the bottom plate of the capacitor. In one particular embodiment of the invention, a high dynamic range transistor is used in conjunction with a pixel cell having a capacitor-DCG gate combination; in another embodiment, adjacent pixels share pixel components, including the capacitor-DCG combination.
US07683304B2 CMOS image sensor and related method of operation
Provided is a complementary metal oxide semiconductor (CMOS) image sensor. The CMOS image sensor includes a pixel array unit having a matrix-type array of unit pixels, each unit pixel including a charge transfer element transferring charge collected in a photoelectric conversion element to a charge detection element. The charge transfer element also receives a boosted voltage signal higher than an external power voltage.
US07683302B2 Solid-state imaging device having on-chip color filter layers and solid-state imaging device manufacturing method of the solid-state imaging device
A solid-state imaging device 1 includes: a semiconductor substrate 11 on which pixels are placed like a matrix; and each of the pixels having a photoelectric conversion element 13 and a color filter layer 21 which is formed on the photoelectric conversion element 13. The solid-state imaging device 1 includes resin parts 20 which are formed at the boundaries of these photoelectric conversion devices 13 which are adjacent to each other, each of the resin parts 20 having an upward convex shape. Each color filter layer 21 of the device is formed so that the color filter layer covers the area ranging from the summit of a resin part to the summit of an adjacent resin part, and each color filter layer 21 is thinner in the peripheral part than in the center part around the summit.
US07683301B2 Method for preventing incorrect lighting adjustment in a daylight harvesting system
One embodiment of the present invention provides a system for preventing incorrect lighting changes in a daylight-harvesting system, which controls the output of a lighting system based on the presence of daylight and/or other light sources to reduce energy usage. During operation, the system measures a first light level using a first sensor. Next, the system measures a second light level for a different field-of-view using a second sensor. When the system detects through the first sensor a change in the first light level, the system determines from the second sensor whether the second light level has also changed. If the first sensor and the second sensor both detect a change (in the same direction) in the measured light levels, the system adjusts the light output of the lighting system to maintain target light levels for the area.
US07683300B2 Using an interferometer as a high speed variable attenuator
A system and method provides high speed variable attenuators. The attenuators can be used within a lithographic apparatus to control intensity of radiation in one or more correction pulses used to correct a dose of the radiation following an initial pulse of radiation.
US07683299B2 Extended dynamic range system design using a photomultiplier tube and solid state detector
Systems and method for detecting and measuring light emitted from a sample and having a large dynamic range, e.g., a range of luminous intensity covering six or more orders of magnitude, that may be difficult to fully detect using a single detector with a limited detection range. Simultaneous measurement of the emitted light in two intensity ranges is performed using two detectors, e.g., one including a photomultiplier tube (PMT) and the other including a solid state detector such as a photodiode. A beam splitting element directs light emitted from a sample under investigation to both detectors simultaneously such that a portion of the light impinges on the first detector and a second portion of the light impinges on the second detector. A processor receives output signals from the two detectors and provides an output representing the luminous intensity of the sample over a detection range greater than the detection range of each individual detector, thereby providing a detection system having an enhanced dynamic range.
US07683296B2 Adjusting alloy compositions for selected properties in temperature limited heaters
Heaters for treating a subsurface formation are described herein. Such heaters can be obtained by using the systems and methods described herein. The heater includes a heater section including iron, cobalt, and carbon. The heater section has a Curie temperature less than a phase transformation temperature. The Curie temperature is at least 740° C. The heater section provides, when time varying current is applied to the heater section, an electrical resistance.
US07683295B2 Heating element
There is disclosed a heating element 10 comprising: a single-piece heat-resistant base member 1 with a plate portion 1a in which a heater pattern 3a is formed, a rod portion 1b extending from one surface of the plate portion 1a and in which a current-carrying part 3b is formed, and an end portion 1c at an end of the rod portion 1b on the opposite side of the plate portion la and in which a power-supply terminal 3c is formed; a dielectric layer 2 with insulating property on the surface of the heat-resistant base member 1; a conductive layer 3 with conductive property on the dielectric layer 2, in which the conductive layer 3 is formed as the heater pattern 3a in the plate portion 1a, as the current-carrying part 3b in the rod portion 1b, and as the power-supply terminal 3c in the end portion 1c; and a protection layer 4 with insulating property which covers the surface of the heater pattern 3a and the current-carrying part 3b, in which the dielectric layer 2, the conductive layer 3 and the protection layer 4 are formed to unite with the heat-resistant base member 1. There can be provided a heating element resistant to corrosion of a power-supply terminal, with a long-life protection layer, with high durability, compact in size, and capable of being produced at a low cost.
US07683293B2 Transparent high temperature resistant and protective coating for domestic appliances and method for its deposition
A domestic appliance, particularly a cooking appliance, having a metallic surface, is provided with a coating based on silicon oxide. Such coating is deposited on the metallic surface by plasma enhanced chemical vapor deposition (PECVD). The coating protects the metallic surface from scratching, staining and thermal yellowing.
US07683291B2 Substrate processing method and manufacturing method of semiconductor device
According to an aspect of the invention, there is provided a single substrate processing method which continuously heats substrates to be processed to which films containing solvents are applied, by use of a heating apparatus having an opening/closing mechanism, including supplying a gas containing a solvent contained in a film of a first substrate to be processed into the heating apparatus in a closed state of the opening/closing mechanism between processing of the first substrate to be processed and processing of a second substrate to be processed.
US07683288B2 System and method of computing the operating parameters of a forge welding machine
A system and method for computing the parameters of a forge welding machine for the forge welding of one or more materials is provided. A computer program executes a self-tuning routine to compute the operating frequency and operating power setting for the forge welding machine in response to an inputted width of the heat affected zone and an inputted weld temperature.
US07683283B2 Delivery point merge and packaging device and method of use
A device and method for merging pre-sequenced products includes at least a first and a second feeder mechanism. The first feeder mechanism feeds a stream of first pre-sequenced product and the second feeder mechanism feeds a stream of second pre-sequenced product. A reading device reads product information of each product of the stream of the first and second pre-sequenced product. A pausing device pauses one of the first stream of pre-sequenced product and the second stream of pre-sequenced product based on the information read from the reading device. The product from one or both of the stream of first and second pre-sequenced product having the same product information is organized into a sequentially merged order.
US07683281B2 Circuit breaker with suspended mobile contact assembly
The present invention relates to an automatic switch (1) to be used preferably in low-voltage systems. The automatic switch (1) comprises an outer casing (2) containing for each pole at least one fixed contact (10) and at least one mobile contact (20) housed in a corresponding seat (25) provided on a mobile element (50) operatively connected to a control mechanism (60) to enable its movement. The automatic switch (1) according to the invention comprises means for the support of the mobile element (50), which are constrained to a structural part (70) of the control mechanism (60). Said supporting means support the mobile element (50) through hinge connection means to provide a center of rotation for the mobile element itself.
US07683280B2 Keyboards and key structures thereof
Key structures are provided. A key structure comprises a substrate, a first rod, a second rod, and a key cover. The substrate comprises a first surface, a through hole, and a plurality of contact portions fixed to the first surface. Each of the contact portions has a contact surface partially covering the through hole. The first rod comprises a first primary pivot accommodated in the through hole and slidable on one of the contact surfaces, and a first auxiliary pivot slidable on the first surface. The second rod is movably connected to the first rod, comprising a second primary pivot accommodated in the through hole and a second auxiliary pivot abutting the first surface. The key cover is movable with respect to the substrate and connected to the first and second rods.
US07683279B2 Light emitting keypad comprising light guide film and light guide
The present invention provides a light emitting keypad having a very slim light guide film, and a light guide film for a keypad backlight. Particularly, a reflection layer is formed on a lower portion of the light guide film, resulting in more improved luminance. According to the present invention, the introduction of an EL sheet is eliminated, substantial slimness is achieved as compared with a direct illumination type keypad, high luminance is obtained by introducing a reflection layer even though a small number of light sources are used, and a light emitting surface can be provided for intensive light emission onto key regions of a keypad by forming a predetermined pattern on at least one surface of the light guide film.
US07683274B2 Force-measurement cell and a connection pin fitted with such a cell
The invention relates to a force-measurement cell for insertion in a bore of a pin, the cell comprising a bushing: having a generally cylindrical tubular wall with an outside surface adapted to extend against an inside surface of the bore in the pin; and within which there extends at least one sensor suitable for measuring at least one parameter representative of the stresses to which the wall is subjected. The invention also relates to a pin provided with such a force-measurement cell.
US07683273B2 Extendable weighing scale with members that allow translation movement of the scale ends between operative and storage configurations
An adjustable weighing scale includes first and second scale sections deployable in a full-width configuration and an extended-width configuration. A connecting member extends between the scale sections. Load sensing apparatuses are mounted on the scale sections and output signals related to a portion of the full weight of an associated object on the scale. An output device receives the signals and communicates the full weight sensed by the scale in appropriate units.
US07683270B2 Telecommunications cabinet
Embodiments of a storage cabinet and methods for making and using such cabinet are disclosed. In one embodiment of an apparatus. a tubular sealed chamber for storing telecommunications equipment is coupled to a sealed chamber to support the sealed chamber. The tubular sealed chamber comprises at least one mount configured to attach and remove the telecommunications equipment, a tube and at least one door to enclose one end of the tube. The support structure partially surrounds the sealed chamber and an area between the sealed chamber and the support structure defines an inter-tube space that functions as a thermal barrier.
US07683269B2 Thin film device and method for manufacturing the same
A terminal electrode body on a substrate is exposed relative to a resin layer, protruding out beyond the side of the resin layer. That is, the terminal electrode body is not covered by the resin layer. The electronic element is covered by an insulating layer and the terminal electrode body and the electronic element are electrically connected. Hence, an electric signal applied to the terminal electrode body can be transmitted to the electronic element. A cover layer covers the terminal electrode body and the boundary between the terminal electrode body and the resin layer.
US07683265B2 Grommet and forming method for the grommet
A grommet is formed having a funnel shaped portion and a tubular portion linked to a small diameter end portion of the funnel shaped portion. The grommet is inserted to an aperture of a car body panel after a wire harness is passed through and attached to the funnel shaped portion and the tubular portion, and a body latch groove provided on the external circumferential surface of the funnel shaped portion is latched to the car body panel. An extended tubular portion is provided that projects from the tubular portion, and extends inside the funnel shaped portion. A plurality of ribs is provided on an external circumferential surface of the extended tubular portion. The ribs include type one ribs having grooves on both surfaces of an element linking the rib to the extended tubular portion, and a type two rib having no groove.
US07683263B2 Flat cable covering means for generating different impendances
A flat cable covering means for generating different impedances includes a plurality of cores, an insulating body and a first metallic covering layer. The cores are arranged at an interval respectively. The insulating body covers an outer surface of the cores. The first metallic covering layer is provided to correspond to a portion of cores and partially covers one side of the insulating body with the impedance of the cores in an area covered by the first metallic covering layer smaller than that of the cores in an area not covered by the first metallic covering layer. Via this arrangement, in order to correspond to the need of impedances of different devices in the electronic apparatus, the different impedances can be made integrally in the same flat cable. In this way, not only the manufacturing and assembling processes can be simplified, but also the management and layout of lines are simple and convenient.
US07683262B2 Power transmission conductor for an overhead line
A power transmission conductor, in particular for overhead electric lines, and including at least one central composite core made up of continuous fibers impregnated by a thermosetting resin matrix, the core being coated by at least one layer of insulating material, with aluminum or aluminum alloy conductor wires being wound around the core. The conductor comprises a short-circuiting device for short-circuiting said fibers with said conductor wires.
US07683260B2 Swagable high-pressure cable connectors having improved sealing means
A high-pressure connector for an electrical power cable section having a central stranded conductor encased in a polymeric insulation jacket and having an interstitial void volume in the region of the stranded conductor, the high-pressure connector being suited for confining a fluid within the interstitial void volume at a residual pressure above atmospheric, but below the elastic limit of the polymeric insulation jacket, the high-pressure connector comprising a housing having a wall defining an interior chamber configured to be in fluid communication with the interstitial void volume and an end portion sized to receive the insulation jacket within the interior chamber and to overlap at least a portion of the insulation jacket at an end thereof with the cable section extending from the housing end portion and at least a portion of the stranded conductor positioned within the interior chamber. The housing wall of the housing end portion has an engagement portion comprised of a swagable material to secure the housing wall to the insulation jacket in fluid-tight sealed engagement therewith upon inward swaging of the engagement portion of the housing wall of the housing end portion to the insulation jacket to confine the fluid at the residual pressure within the interior chamber and the interstitial void volume. The housing includes at least one axially-projecting engagement member located within the interior chamber at the engagement portion of the housing wall of the housing end portion.
US07683258B2 Wire harness that prevents water ingress
A wire harness for preventing water from contacting capped, connected electrical wires. A lid and a base define an interior chamber. The base has fingers extending vertically upwardly for holding wire caps and connected electrical wires therein. A shelf protrudes from the inner surface of each finger to provide a platform for the bottom edge of the wire cap to seat against, securing the wire cap against downward movement. The sidewalls of the lid extend a predetermined distance below the shelves of the fingers to form a rim that creates a double air trap within the harness for preventing water from contacting the conductive portions of the wires.
US07683251B2 Method and apparatus for playing in synchronism with a digital audio file an automated musical instrument
The invention disclosed is a system for playing a music sequence such as a MIDI file in synchronization with a prerecorded digital audio data file, such as an MP3 file. The synchronization is accomplished by using the digital media sample rate as a common time base for progression of the playing of the digital media and the music sequence.
US07683249B2 Device and method for controlling playback devices for digital information, especially music information
The invention relates to a playback control unit which is connected with a playback device for CDs or records, wherein these provide coded information by means of which the playback device can be controlled. In this way, in addition to the playback speed, further functions can be controlled, namely selecting elements from a list, in particular a music archive, or determining skip marks or controlling software modules or the length of audio loops or a continuous modification of parameters, in particular audio effect parameters, for volume, filter, flange, echo, delay or a playback control of video sequences or parameters of video sequences, in particular color, resolution or parameters and positioning of objects in computer games.
US07683246B2 Musical instrument playing actuator, play assisting mouthpiece, brass instrument, automatic playing apparatus, and play assisting apparatus
A musical instrument playing actuator, includes an elastic diaphragm which has a through hole, wherein an annular projection portion is provided on the elastic diaphragm so as to project toward the diaphragm member, a diaphragm member which is arranged to oppose to the elastic diaphragm and is formed movably toward the elastic diaphragm, a wall structure body which forms an enclosed space together with the elastic diaphragm, the diaphragm member, and the projection portion when the diaphragm member is moved toward the elastic diaphragm to contact the projection portion, and an air inlet which communicates the enclosed space with an outside.
US07683245B2 Pick for stringed musical instruments
A pick for strumming stringed musical instruments is provided, wherein some embodiments the strumming pick are fabricated from a compliant material. In other embodiments of the present invention, an ergonomically contoured depression is formed into the front side of the pick and means corresponding ergonomically contoured protrusion is formed upon the backside of the pick. Yet other embodiments combine the foregoing compliant aspect and the ergonomic aspects.
US07683244B2 Interspecific hybrid of Lobelia and method of producing the same
An object of the present invention is to develop a novel Lobelia plant and a breeding method thereof, which allow for first-filial-generation (F1) hybrids exhibiting morphological forms (having the advantages of their two parents and far exceeding the traits of the two parents) desired by their breeder. The present invention thus provides a novel Lobelia plant by crossing Lobelia richardsonii (seed parent) and Lobelia valida (pollen parent), which while being a hybrid in first-filial-generation (F1) population of the genetically stable wild species, nevertheless the F1 population exhibits an even distribution over a range from a hybrid close to the seed parent to a hybrid close to the pollen parent.
US07683242B2 Plants and seeds of hybrid corn variety CH338885
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH338885. The invention thus relates to the plants, seeds and tissue cultures of the variety CH338885, and to methods for producing a corn plant produced by crossing a corn plant of variety CH338885 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH338885.
US07683241B2 Plants and seeds of hybrid corn variety CH244754
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH244754. The invention thus relates to the plants, seeds and tissue cultures of the variety CH244754, and to methods for producing a corn plant produced by crossing a corn plant of variety CH244754 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH244754.
US07683240B1 Inbred maize line PH8ER
A novel inbred maize variety designated PH8ER and seed, plants and plant parts thereof. Methods for producing a maize plant that comprise crossing inbred maize variety PH8ER with another maize plant. Methods for producing a maize plant containing in its genetic material one or more traits introgressed into PH8ER through backcross conversion and/or transformation, and to the maize seed, plant and plant part produced thereby. Hybrid maize seed, plant or plant part produced by crossing the inbred variety PH8ER or a trait conversion of PH8ER with another maize variety. Inbred maize varieties derived from inbred maize variety PH8ER, methods for producing other inbred maize varieties derived from inbred maize variety PH8ER and the inbred maize varieties and their parts derived by the use of those methods.
US07683232B2 Production of olefins having a functional group
A process is disclosed for producing functionalized olefins from a fuel source including an organic compound including a functional group. Useful fuel sources include, for example, biofeedstocks (e.g., carbohydrates, triglycerides, polyols, and biodiesel). The process is preferably carried out by partial oxidation. The overall process can be carried out autothermally.
US07683231B2 Catalyst and process for the production of olefins
A catalyst system capable of supporting combustion beyond the fuel rich limit of flammability comprising a catalytic component, a first support and a second support and wherein the catalytic component is present on both the first and the second support, and a process for the production of an olefin, said process comprising passing a mixture of a hydrocarbon and an oxygen-containing gas over said catalyst system to produce said olefin. The first support and the second support must differ in at least one of the following aspects: support material, support type and/or structural dimension.
US07683229B2 Organic electroluminescent device
The present invention relates to novel truxene and isotruxene derivatives, in particular spirotruxene and spiroisotruxene derivatives, and to the use thereof in organic electronic devices, in particular organic electroluminescent devices.
US07683225B2 Fluorene-based derivative and organic electroluminescence device employing the same
A fluorene-based derivative having a specific structure and an organic electroluminescence device in which an organic thin film layer comprising a single layer or plural layers including at least a light emitting layer is sandwiched between a cathode and an anode, wherein at least one layer of the organic thin film layers described above comprises the above fluorene-based derivative having a specific structure in the form of a single component or a mixed component. The organic electroluminescence device has a high luminous efficiency, and the fluorene-based derivative materializes the same.
US07683224B2 Fuel for jet, gas turbine, rocket and diesel engines
A fuel or fuel blendstock for jet, gas turbine, rocket, and diesel engines, particularly jet, rocket, and diesel engines that utilizes components of conventional petroleum not currently utilized for jet, gas turbine, rocket, and diesel fuels, such as benzene, linear, and lightly branched alkanes, that may be alkylated with aromatic moieties to make monoaromatics for use in jet and diesel fuels. Additionally, a fuel having such monoaromatics having multiple desired properties such as higher flash point, low pour point, increased density, better lubricity, aerobic degradability, reduction in toxicity, and additionally can deliver benefits in blendstocks.
US07683220B2 Process for production of acrolein
There is provided a process for producing acrolein from glycerin, exhibiting a suppressed decrease in the yield of acrolein with time. In the process for producing acrolein by dehydrating glycerin in the presence of a catalyst containing a metal phosphate, one, or two or more, metal phosphates are used, which are selected from aluminum salts, zirconium salts, manganese salts, alkali metal salts (provided that the alkali metal is sodium, potassium, or cesium, and the ratio between the mole number (M) of the alkali metal and the mole number (P) of phosphoric acid (i.e., M/P ratio) in the metal phosphate is 2.0 or lower), alkali earth metal salts (provided that the ratio between the mole number (M) of the alkali earth metal and the mole number (P) of phosphoric acid (i.e., M/P ratio) in the metal phosphate is 1.0 or lower), and the like.
US07683219B1 Hydroformylation process
The disclosed is about a hydroformylation of a cyclic olefin with rhodium catalyst, and specifically about the recovering of the rhodium catalyst. Aldehyde and the cyclic olefin are added into a rhodium catalyst solution to process a hydroformylation, thereby forming the product cycloalkyl aldehyde. Afterwards, the result is divided into two layers. The upper layer is substantially rhodium catalyst solution, and the lower layer is substantially cycloalkyl aldehyde and the aldehyde. After separation, the upper layer is reserved to process next hydroformylation reaction with newly added cyclic olefin.
US07683218B2 Method for producing thioether compound
Disclosed is an efficient and widely-applicable method for commercially producing a thioether compound or a thiol compound which is useful as a pharmaceutical compound or a production intermediate of it. Specifically disclosed is a method for producing a thioether compound represented by the general formula [I] below or a salt thereof. This method is characterized in that a compound represented by the following general formula [III]: [III] (wherein X represents a bromine atom, a chlorine atom or a trifluoromethylsulfonyloxy group, and ring A represents an aryl group or a heteroaryl ring group) or a salt thereof is reacted with a thiol compound represented by the following general formula [II]: [II] or a salt thereof in the presence of a palladium compound such as Pd2(dba)3, a base such as i-Pr2NEt and a phosphorus compound represented by the following formula [AA].
US07683217B2 Process for preparing monomethylhydrazine
The present invention relates to a process for preparing monomethylhydrazine by methylating hydrazine or hydrazinium hydrochloride or hydrazinium dihydrochloride with methyl chloride and/or a methano/HCl mixture, characterized in that the reaction mixture formed in the methylation is reacted with an organic base from the group of the alkylamines or alkanolamines and monomethylhydrazine is removed by distillation from the reaction mixture in a low boiler fraction and the low boiler fraction is optionally subjected to a further distillation.
US07683216B2 Continuous process for the preparation of alkyleneimines
A process for the preparation of alkyleneimines by subjecting sulfuric acid monoesters of aminoalkanols to an at least two-stage reaction with aqueous bases at a temperature of at least 110° C. under pressure, relieving the pressure of the reaction mixture and distilling off the alkyleneimines from the reaction mixture after each reaction stage, wherein the conversion in the first stage is from 40 to 90% and that in the second stage is from more than 90% to 99.99%.
US07683213B2 Oxidation of propane to give acrylic acid using catalysts in a mixture of crystalline phases
The invention relates to a method for the production of acrylic acid from propane, in which a gas mixture comprising propane, water vapour and, optionally, an inert gas and/or molecular oxygen is passed over a catalyst, comprising a crystalline catalyst phase of formula (I) or (I′) TeaMolVbNbcOx (I) Sba Mol VbOy (I′), associated with a crystalline catalyst phase for activating the propane.
US07683211B2 Jasmonate derivative compounds pharmaceutical compounds and methods of use thereof
The present invention provides novel jasmonate derivative compounds, methods for their preparation, pharmaceutical compositions including such compounds, and methods of using these compounds and compositions, especially as chemotherapeutic agents for treatment of cancers, especially mammalian cancers.
US07683210B2 Optimized liquid-phase oxidation
Disclosed is an optimized process and apparatus for more efficiently and economically carrying out the liquid-phase oxidation of an oxidizable compound. Such liquid-phase oxidation is carried out in a bubble column reactor that provides for a highly efficient reaction at relatively low temperatures. When the oxidized compound is para-xylene and the product from the oxidation reaction is crude terephthalic acid (CTA), such CTA product can be purified and separated by more economical techniques than could be employed if the CTA were formed by a conventional high-temperature oxidation process.
US07683208B2 Bridge compound with N,N-dialkylamino group and application thereof
The present invention relates to a bridge compound with an N,N-dialkylamino group, represented by the following formula (I), wherein, R, R′, R″, R1, R2, B, B′, i, j, m, and n are defined the same as the specification. The novel bridge compound of the present invention is suitable for being used as a bridge group between dyestuffs, ultraviolet absorbers, or one dyestuff and one ultraviolet absorber. In addition, the present invention further provides a dyestuff compound provided from the aforementioned bridge compound.
US07683207B2 Purification of n-(phosphonomethyl)glycine
The present invention is a process for the purification of glyphosate (PMG) comprising: 1) dissolving or suspending a material comprising PMG in water, in the presence of a base, to produce a composition comprising a PMG salt in an aqueous base, 2) contacting the composition with an acid, such that the PMG salt is neutralized, forming a precipitate of PMG, and 3) isolating the precipitate of PMG, with the proviso that the composition of step 1) is not concentrated or filtered using a nanofiltration membrane.
US07683206B2 Silicone compound and process for producing the same
This invention provides a process for producing of a silicone compound which includes a synthesis reaction of a silicone compound represented by the following formulas (a) and/or (a′), by reacting a carboxylic acid represented by the following formula (a2) to an epoxy silane represented by the following formula (a1) in presence of a metal salt of the carboxylic acid represented by the general formula (a2), characterized in that the reaction is carried out in presence of 0.05 wt % or more water in said reaction system. Here, A denotes siloxanyl group. R1 denotes a substitutent with 1 to 20 carbons having a polymerizable group. R2 to R4 respectively and independently denote hydrogen, a substituted or unsubstituted substitutent with 1 to 20 carbons, or —X-A. X denotes a substituted or unsubstituted divalent substitutent with 1 to 20 carbons.
US07683202B2 Permanent surface modifiers
The invention relates to novel compounds of the formula I, II; III or IV wherein the general symbols are as defined in claim 1. These compounds are useful as surface modifiers for polymers in order to improve resistance to fog formation or water and oil repellency.
US07683197B2 Integrated, continuous method for the production of molecular single-component percursors having a nitrogen bridging function
The invention relates to a method for the regeneration of a reactor and the use of said method for the improved performance of production processes for desired products.
US07683191B2 Organic salts and method for producing chiral organic compounds
The invention relates to a method for producing chiral organic compounds by asymmetric catalysis, using ionic catalysts comprising a chiral catalyst anion. The claimed method is suitable for reactions which are carried out over cationic intermediate stages, such as iminium ions or acyl pyridinium ions. The invention enables the production of chiral compounds with high ee values, that until now could only be obtained by means of costly purification methods.
US07683183B2 Emissive monomeric metal complexes
Monomeric metal complexes having improved luminescence properties are provided. In one embodiment, a monomeric metal complex is represented by the formula [PN]M(L)2. PN is an amidophosphine ligand, and M may be any metal capable of exhibiting luminescent properties, for example, a d10 metal. L may be a tertiary phosphine. Alternatively, a second PN ligand or DPPE may take the place of both L ligands.
US07683182B2 Crystal forms of 2-(3-fluoro-4-hydroxyphenyl)-7-vinyl-1,3-benzoxazol-5-ol
The present invention is directed to monohydrate and anhydrate crystal forms of 2-(3-fluoro-4-hydroxyphenyl)-7-vinyl-1,3-benzoxazol-5-ol, an estrogenic receptor modulator useful in the treatment of, for example, diseases related to abnormal levels of estrogen.
US07683178B2 Method for the synthesis of a benzimidazole compound
A process for the manufacture of omeprazole or esomeprazole from pyrmethyl alcohol via pyrmethyl chloride and pyrmetazole characterized in that the whole reaction sequence is carried out without any isolation or purification of intermediates. Further, the reaction is carried out in a solvent system common for the whole reaction sequence and inert to the reactants formed during the process and used in the process and comprises a water immiscible organic solvent and a specified amount of water.
US07683177B2 Process for preparing 2-[(pyridinyl)methyl]sulfinyl-substituted benzimidazoles and novel chlorinated derivatives of pantoprazole
The present invention provides a process comprising admixing a thioether with about 1.05 to about 1.6 molar equivalents of an active chlorine-containing oxidant, preferably sodium hypochlorite, and about 2.5 to about 5.0 molar equivalents of an alkali metal base; and recovering a sulfoxide that is preferably pantoprazole, lansoprazole, omeprazole, or rabeprazole. The process may further comprise contacting the sulfoxide with a source of sodium ions, preferably sodium hydroxide, to produce the sodium salt of the sulfoxide. The invention also relates to novel chlorinated derivatives of pantoprazole including 5-(difluoromethoxy)-2-[[(3,4-dimethoxy-2-pyridinyl)-chloromethyl]sulfinyl]-1H-benzimidazole and 5-(difluoromethoxy)-2-[[(3,4-dimethoxy-2-pyridinyl)-chlorohydroxymethyl]sulfinyl]-1H-benzimidazole and processes for making them. The invention also relates to processes of quantifying and identifying a compound other than pantoprazole in a mixture of pantoprazole and at least one other compound.
US07683173B2 Biphenyl compounds useful as muscarinic receptor antagonists
The invention provides compounds of formula I: wherein a, b, c, d, f, W, Q, Y, R1, R2, and R3 are as defined in the specification. The compounds of formula I are muscarinic receptor antagonists. The invention also provides pharmaceutical compositions containing such compounds, processes and intermediates for preparing such compounds and methods of using such compounds to treat pulmonary disorders.
US07683171B2 1H-imidazo[4,5-d]thieno[3,2-b]pyridine based tricyclic compounds and pharmaceutical compositions comprising same
The present invention provides for thiazolopyridine-based tricyclic compounds having the formula (I), wherein R1, R2, R5, R6 and R7 are as described herein. The present invention further provides pharmaceutical compositions comprising such compounds, as well as the use of such compounds for treating inflammatory and immune diseases.
US07683169B2 Crystals of phenylalanine derivatives and production methods thereof
The present invention provides crystals of phenylalanine derivatives of the formula (I): and particularly α-type, γ-type, ε-type, η-type, and θ-type crystals thereof. These crystals are excellent in preservation stability or moisture resistance. They can also be produced on an industrial scale.
US07683165B2 RNA interference mediated inhibition of interleukin and interleukin receptor gene expression using short interfering nucleic acid (siNA)
This invention relates to compounds, compositions, and methods useful for modulating interleukin and/or interleukin receptor gene expression using short interfering nucleic acid (siNA) molecules. This invention also relates to compounds, compositions, and methods useful for modulating the expression and activity of other genes involved in pathways of interleukin and/or interleukin receptor gene expression and/or activity by RNA interference (RNAi) using small nucleic acid molecules. In particular, the instant invention features small nucleic acid molecules, such as short interfering nucleic acid (siNA), short interfering RNA (siRNA), double-stranded RNA (dsRNA), micro-RNA (miRNA), and short hairpin RNA (shRNA) molecules and methods used to modulate the expression of interleukin and/or interleukin receptor genes.
US07683164B2 Nucleic acid derivatives
A compound which comprises a backbone having a plurality of chiral carbon atoms, the backbone bearing a plurality of ligands each being individually bound to a chiral carbon atom of the plurality of chiral carbon atoms, the ligands including one or more pair(s) of adjacent ligands each containing a moiety selected from the group consisting of a naturally occurring nucleobase and a nucleobase binding group, wherein moieties of the one or more pair(s) are directly linked to one another via a linker chain; building blocks for synthesizing the compound; and uses of the compound, particularly in antisense therapy.
US07683162B2 Process of preparing a crystalline azithromycin monohydrate
The present invention provides a process of preparing a crystalline azithromycin monohydrate. The process involves dissolving azithromycin in a solution containing ethanol, adding the dissolved azithromycin into water to precipitate the crystals, isolating and drying the precipitate to a water content of about 5% (w/w) to about 7% (w/w). The resulting azithromycin monohydrate is stable, exhibiting less than 2% degradation, and non-hydroscopic.
US07683156B2 Production of a high-molecular polycondensate
The invention relates to a method for producing a high-molecular polycondensate from a solidified polycondensate prepolymer by solid phase polycondensation. According to said method, the polycondensation cleavage products of the solid phase polycondensation reaction are extracted from the product by means of a process gas, and the process gas is then cleaned of the polycondensation cleavage products and essentially recycled. According to the invention, the specific energy input is less than 120 kWh/t, preferably less than 110 kWh/t and especially between 70 and 100 kWh/t. The invention also relates to an installation for producing a high-molecular polycondensate, said installation comprising a heating appliance (1), followed by a crystallisation appliance (2), a reaction appliance (4), and a cooling appliance (5). A gas outlet (5d) of the cooling appliance (5) is connected to a gas inlet (1c) of the heating appliance (1), a gas outlet (4d) of the reaction appliance (4) is connected to a gas inlet (6c) in a gas cleaning system (6), and a gas outlet (6d) of the gas cleaning system (6) is connected to a gas inlet (4c) of the reaction appliance (4).
US07683152B2 Partial block polyimide-polysiloxane copolymer, making method, and resin composition comprising the copolymer
A partial block polyimide-polysiloxane copolymer is provided comprising repeat unit structures having structural formulae (1) and (2) wherein X is an aromatic or aliphatic ring-containing tetravalent organic radical, Y1 is a diamine residue, Y2 is a diaminosiloxane residue, Y1 and Y2 are contained in the copolymer in amounts of 99-20 mol % and 1-80 mol %, respectively, L and m each are an integer of 2-50. The copolymer has good adhesion to substrates, moisture-proof reliability and a low modulus of elasticity.
US07683150B2 Water-absorbent polymer and process for producing the same
A water-absorbing resin has a polymer molecular chain in which 50 mol % or more of repeating units in the chain are carboxy group-containing units, wherein the carboxy group neutralization ratio in the central part of the resin is 60 mol % or more and the carboxy group neutralization ratio on the external surface of the resin is 50 mol % or less. A method for producing the water-absorbing resin comprises polymerizing a monomer solution to form a polymer, drying the resulting polymer, and heat-treating the dried polymer, wherein the monomer solution contains a mixture comprising an alkali metal salt of an unsaturated carboxylic acid and an ammonium salt thereof, the percentage of the ammonium salt being more than 50 mol % of the mixture, and further contains a condensation crosslinking agent.
US07683148B2 Metathesis-curable composition with a reaction control agent
A composition curable by a metathesis reaction upon mixing its components and comprising an olefin-containing substrate, a metathesis catalyst, and a reaction control agent for slowing the progress of the metathesis reaction. The metathesis catalyst is a ruthenium or osmium carbene complex catalyst having high activity and good air stability. In one embodiment, the catalyst is free of phosphine ligands. The reaction control agent is an organic compound that contains carbon-carbon double and/or triple bonds and one or more Group 14 atoms and is present in an amount effective to slow the progress of the metathesis reaction. In one embodiment, the olefin-containing substrate may comprise one or more oligomers or polymers having a >20 wt. % linear siloxane (Si—O—Si) backbone tethered and/or end-capped with functional olefin groups, such as cycloalkenyl group.
US07683143B2 Reactor with optimized internal tray design
A system for processing large quantities of a reaction medium while maintaining the reaction medium in sheets. The system includes a reactor having a plurality of vertically-spaced downwardly-sloped trays over which the reaction medium flows while it is subjected to reaction conditions. The slope of the trays increases downwardly to accommodate for the increased viscosity of the reaction medium while the reaction medium flows downwardly through the reactor. An upper portion of the trays have a uni-directional configuration, while a lower portion of the trays have a bi-directional configuration. Further, the orientation of flow across the uni-directional trays is rotated by 90 degrees in at least one location as the reaction medium flows down the uni-directional trays.
US07683142B2 Latex emulsion polymerizations in spinning disc reactors or rotating tubular reactors
Processes for continuously forming latex emulsions useful in preparing toners are provided.
US07683138B1 Molding compositions
A curable composition exhibiting excellent moldability without mold staining or delamination is provided, including an epoxy resin, a curing agent for the epoxy resin, and a polyphenylene oxide compound having a glass transition temperature between about 165° C. and about 190° C. The polyphenylene oxide compound may be provided as a melt blended mixture of two polyphenylene oxide compounds having distinct glass transition temperatures. The curable compositions may further include a bulk filler, and are therefore particularly useful as molding compounds encapsulation of electrical and/or electronic parts in transfer molding operations.
US07683135B2 Ethylene-vinyl alcohol based copolymer and method for production thereof
The present invention provides an ethylene-vinyl alcohol based copolymer (EVOH) having fundamentally improved thermal stability. The EVOH is characterized in that the proportion of ethylene units (III) is from 20 to 60 mole % with respect to the total (III+IV+V) of ethylene units (III), vinyl alcohol units (IV), and vinyl ester units (V), and the proportion of the total (I+II) of carboxylic acids units (I) and lactone ring units (II) in copolymer terminals with respect to the total (III+IV+V) is 0.12 mole % or less. The EVOH can be obtained by, for example, contacting a reducing agent with an ethylene-vinyl ester based copolymer before saponification and/or EVOH after saponification.
US07683132B2 Accelerated organoborane initiated polymerizable compositions
The invention is a two part polymerizable composition comprising in one part an organoboron compound capable of forming free radical generating species and in the second part one or more compounds capable of free radical polymerization and from about 20 to about 30 parts by weight based on the weight of the second part of i) a halogenated polyolefin having halosulfonyl groups or ii) a mixture of a halogenated polyolefin and an organic sulfonyl halide. The second part may further contain a compound capable of causing the organoboron compound to form free radical generating species upon contacting the two parts. The first part may further comprise one or more compounds capable of free radical polymerization.
US07683125B2 Method for producing silicone rubber, aqueous emulsion for silicone rubber, and method for producing same
A method of manufacturing a silicone rubber comprises a first stage and a second stage. The first stage comprises (1-1) the step of preparing an aqueous dispersion composed of water that contains a water-soluble polymer (E), a finely powdered silica filler (B), a conductive filler (C), and a non-conductive inorganic filler (D) and (1-2) the step of preparing an aqueous emulsion by stirring and mixing the obtained aqueous dispersion with the following components: an organopolysiloxane (A) that contains silicon-bonded alkenyl groups, an emulsification agent (F), and a curing agent (G). The second stage obtains the silicon rubber either (2-1) by curing the aqueous emulsion prepared in the aforementioned first stage, forming a wet silicone-rubber like cured body, and then removing water from the aforementioned wet silicone-rubber like cured body; or (2-2) by dehydrating and curing the aqueous emulsion prepared in the aforementioned first stage.
US07683122B2 Processes for increasing polymer inherent viscosity
Disclosed are processes for preparing polyareneazole polymers including contacting a molar excess of a free base in water with a terephthalic acid salt to form an aqueous mixture, adjusting the pH to precipitate a monomer complex, contacting the monomer complex with metal powder, and polymerizing the monomer complex. Polyareneazoles, filaments and yarns are also disclosed.
US07683117B2 Resin composition, resin mold and method for producing the same
A resin composition includes an aliphatic polyester; a second polymer compound other than the aliphatic polyester; and an organic phosphoric acid compound that has a phosphorus content of about 20% by mass or more.
US07683115B2 Silica reinforced rubber composition and use in tires
This invention relates to the preparation of silica-containing rubber compositions which contain precipitated silica reinforcement and use of an oligomeric organomercaptosilane coupling agent containing a plurality of mercapto and silane moieties, and a minimal content, if any, of ethoxy groups and is thus substantially free of ethoxy groups. The interaction of the plurality of mercapto moieties, or groups, of such oligomeric organomercaptosilane with the diene-based elastomer can promote a significant viscosity build up of the uncured rubber composition in a preliminary non-productive mixing stage and therefore present significant rubber processing difficulties during the mixing of the rubber composition. A challenge is therefore presented for reducing such viscosity buildup for such uncured silica-containing rubber composition.
US07683105B2 Mixture containing a solvent, which can be cured with UV-A radiation, method for its production, and use thereof
A UV-A-curable, solvent-containing composition composed of (A) 1% to 50% by weight of a UV-A-curable constituent containing isocyanate-reactive groups; (B) 0.01% to 10% by weight of a colorless photoinitiator having an absorption maximum in the wavelength range of λ=300 to 400 nm; (C) 10% to 70% by weight of an alkyl and/or cycloalkyl acetate having 3 to 10 carbon atoms in the alkyl radical and/or in the cycloalkyl radical; (D) 10% to 70% by weight of an alkoxyalkyl, alkoxycycloalkyl, cycloalkoxyalkyl and/or cycloalkoxycycloalkyl acetate; (E) 0.01% to 5% by weight of a constituent that lowers the interfacial tension; (F) 0 to 50% by weight of an alkylaromatic having at least two alkyl radicals having 1 to 6 carbon atoms in the molecule; and (G) 0 to 50% by weight of an additive different from constituents (A) to (F); processes for preparing it, and its use as a spot blender in automotive refinishing, especially in spot repair, or for preparation thereof.
US07683102B2 Vehicles for ink compositions
Vehicles for ink compositions are disclosed. The described ink vehicles include at least one curable component, and optionally include initiating agents, colorants, non-curable components and other additives. Processes for preparing the ink vehicles and ink compositions containing the ink vehicles are also described.
US07683101B2 Pre-expanded particle of olefin-modified polystyrene resin, process for producing the same, and molded foam
A pre-expanded beads of olefin-modified polystyrene-based resin comprising a pie-expanded beads of a polystyrene-based resin modified with a polyolefin-based resin, wherein a styrene-based monomer forming a polystyrene-based resin in the beads is used in the range of 100 to 1,000 parts by weight relative to 100 parts by weight of a polyolefin-based resin, a bulk density of each bead is 0.012 to 0.20 g/cm3, and an absorbance ratio at 698 cm−1 and 2850 cm−1 (D698/D2850) obtained from an infrared absorption spectrum of each bead surface measured by ATR method infrared spectroscopy is in the range of 0.1 to 2.5.
US07683098B2 Manufacturing methods for nanomaterial dispersions and products thereof
Methods for manufacturing nanomaterial dispersions, such as nanomaterial concentrates, and related nanotechnology are provided. The nanomaterial concentrates provided can be more cheaply stored and transported compared to non-concentrate nanomaterial forms.
US07683096B2 Acid amide derivatives, process for producing these, and pest control agent containing these
The present invention relates to an acid amid derivative of the formula (I) or a salt thereof: wherein A is phenyl which may be substituted by X, benzyl which may be substituted by X, naphthyl which may be substituted by X, a heterocyclic group which may be substituted by X, a fused heterocyclic group which may be substituted by X, indanyl (the indanyl may be substituted by halogen, alkyl or alkoxy) or tetrahydronaphthyl (the tetrahydronaphthyl may be substituted by halogen, alkyl or alkoxy), B is alkyl, cycloalkyl, phenyl which may be substituted by Y, a heterocyclic group which may be substituted by Y, or a condensed heterocyclic group which may be substituted by Y, each of R1 and R2 is alkyl, cyano or —CO2R14, or R1 and R2 may together form a 3- to 6-membered saturated carbocyclic ring, R3 is hydrogen, alkyl, alkoxyalkyl, alkylthioalkyl, —COR15, —S(O)mR16 or —S(O)nNR17R18, which is useful as an active ingredient of pesticides.
US07683095B2 Compositions and methods of treating, reducing and preventing cardiovascular diseases and disorders with polymethoxyflavones
Compositions and methods for the treatment, reduction and/or prevention of cardiovascular diseases and disorders are described. Individuals at high risk for developing or having cardiovascular disease or disorder may be treated with an effective dose of a polymethoxyflavone including limocitrin derivatives, quercetin derivatives, naturally occurring polymethoxyflavones, tocotrienols, and mixtures of these compounds.
US07683093B2 Prolinyl derivatives for the treatment of thrombosis
Novel compounds of the formula (I), in which R, R1, R2, R3 and R4 have the meaning indicated in Patent Claim 1, are inhibitors of coagulation factor Xa and can be employed for the prophylaxis and/or therapy of thromboembolic diseases and for the treatment of tumours.
US07683091B2 Substituted indoles and methods of their use
The present invention relates generally to substituted indoles and methods of using them.
US07683090B2 Treating agent for irritable bowel syndrome
Pharmaceutical compositions for treatment of irritable bowel syndrome including non-constipated irritable bowel syndrome such as diarrhea-predominant irritable bowel syndrome and alternating constipation/diarrhea irritable bowel syndrome in male and female patients, which may comprise administering a patient with from 0.001 to 0.05 mg of ramosetron hydrochloride as a daily dose or an equivalent molar amount of ramosetron or its pharmaceutically acceptable other salt.
US07683085B2 Compounds for the treatment of inflammatory disorders
This invention relates to compounds of the Formula (I): or a pharmaceutically acceptable salt, solvate or isomer thereof, which can be useful for the treatment of diseases or conditions mediated by MMPs, ADAMs, TACE, TNF- or combinations thereof.
US07683083B2 Biphenyl derivatives as modulators of voltage gated ion channels
Biphenyl derivatives useful as ion channel antagonists are disclosed herein. The compositions thereof are useful for treating or relieving pain-related conditions.
US07683082B2 1,2,4-oxadiazole benzoic acid compositions and their use in bioassays
Novel 1,2,4-oxadiazole benzoic acid compounds, methods of using and pharmaceutical compositions comprising an 1,2,4-oxadiazole benzoic acid derivative are disclosed. The methods include methods of treating or preventing a disease ameliorated by modulation of premature translation termination or nonsense-mediated mRNA decay, or ameliorating one or more symptoms associated therewith.
US07683078B2 Arylsulfonamide derivatives as C-Jun-N-Terminal Kinases (JNK's) inhibitors
The present invention relates to sulfonamide derivatives of formula (I) notably for use as pharmaceutically active compounds, as well as to pharmaceutical formulations containing such sulfonamide derivatives. Said sulfonamide derivatives are useful in the treatment of neuronal disorders, autoimmune diseases, cancer and cardiovascular diseases. Furthermore, said sulfonamide derivatives are efficient modulators of the JNK pathway, they are in particular efficient and selective inhibitors of JNK2 and -3. The present invention is furthermore related to novel sulfonamide derivatives as well as to methods of their preparation. Formula (I) IAr1 is a substituted or unsubstituted aryl or heteroaryl group; X is O or S, preferably O; Ar2 a substituted or unsubstituted arylene or heteroarylene group; R1 and R2 are independently selected from the group consisting of hydrogen and a C1-C6-alkyl group.
US07683076B2 Tetrahydro-quinolinylurea derivatives
This invention relates to tetrahydro-quinolinylurea derivatives and salts thereof which are useful as active ingredients of pharmaceutical preparations. The tetrahydro-quinolinylurea derivative of the present invention has vanilloid receptor (VR1) antagonistic activity, and can be used for the prophylaxis and treatment of diseases associated with VR1 activity, in particular for the treatment of urological diseases or disorders, such as detrusor overactivity (overactive bladder), urinary incontinence, neurogenic detrusor overactivity (detrusor hyperflexia), idiopathic detrusor overactivity (detrusor instability), benign prostatic hyperplasia, and lower urinary tract symptoms; chronic pain, neuropathic pain, postoperative pain, rheumatoid arthritic pain, neuralgia, neuropathies, algesia, nerve injury, ischaemia, neurodegeneration, stroke, and inflammatory disorders such as asthma and chronic obstructive pulmonary (or airways) disease (COPD).
US07683075B2 Isoquinoline-3-carboxylic acid amides and pharmaceutical uses thereof
The present invention relates to novel isoquinoline-3-carboxylic acid amides having α7 nicotinic acetylcholine receptor agonistic activity, their preparation, their use as pharmaceuticals and pharmaceutical compositions containing them.
US07683074B2 7-azaindoles, their use as inhibitors of phosphodiesterase 4, and a method for synthesizing them
7-azaindoles inhibit phosphodiesterase 4 (PDE4). Methods for preparing these compounds and methods of treating arthritis and other conditions with the compounds are also provided.
US07683069B2 3-substituted-4-pyrimidone derivatives
A pyrimidone derivative represented by formula (I) or a salt thereof, or a solvate thereof or a hydrate thereof useful as a tau protein kinase 1 inhibitor: wherein X represents CH or nitrogen atom; R1 represents a C?1#191-C?12#191 alkyl group which may be substituted; R2 represents a C?1#191-C?8#191 alkyl group which may be substituted, a benzene ring which may be substituted, a naphthalene ring which may be substituted, an indan ring which may be substituted, a tetrahydronaphthalene ring which may be substituted, or an optionally substituted heterocyclic ring having 1 to 4 hetero atoms selected from the group consisting of oxygen atom, sulfur atom, and nitrogen atom, and having 5 to 10 ring-constituting atoms in total.
US07683063B2 Therapeutic agents useful for treating pain
A compound of formula: wherein Ar1, Ar2, V, X, R3, R4, and m are as disclosed herein or a pharmaceutically acceptable salt thereof (a “Cyclo(hetero)alkenyl Compound”); compositions comprising an effective amount of a Cyclo(hetero)alkenyl Compound; and methods for treating or preventing, e.g., pain, UI, an ulcer, IBD, or IBS in an animal, comprising administering to an animal in need thereof an effective amount of a Cyclo(hetero)alkenyl Compound are disclosed herein.
US07683062B2 Crystalline form
Disclosed are a novel crystalline form of 7-chloro-N,N,5-trimethyl-4-oxo-3-phenyl-3,5-dihydro-4H-pyridazino[4,5-b]indole-1-acetamide, processes of preparing said crystalline form of 7-chloro-N,N,5-trimethyl-4-oxo-3-phenyl-3,5-dihydro-4H-pyridazino[4,5-b]indole-1-acetamide, compositions comprising said form in admixture with one or more pharmaceutically acceptable excipients, and uses for the same.
US07683061B2 Triazine dimers for the treatment of autoimmune diseases
Compounds containing two mono- or disubstituted triazine rings covalently linked by an organic linker, but not linked directly to each other, may be used to treat autoimmune diseases. Autoimmune diseases which are amenable to treatment with compounds of this invention include rheumatoid arthritis, systemic lupus erythematosus (SLE), idiopathic (immune) thrombocytopenia (ITP), glomerulonephritis and vasculitis. The present invention also relates to reducing drug toxicity which often accompanies traditional therapies for autoimmune diseases. The compounds may also be used to bind antibody in vitro or ex vivo.
US07683060B2 Triazolotriazines as kinase inhibitors
The present invention is directed to [1,2,4]triazolo[4,3-b][1,2,4]triazines, and pharmaceutical compositions thereof, which are inhibitors of kinases such as c-Met and are useful in the treatment of cancer and other diseases related to the dysregulation of kinase pathways.
US07683057B2 Kinase inhibitor compounds
The invention relates to compounds, compositions comprising the compounds, and methods of using the compounds and compound compositions. The compounds, compositions, and methods described herein can be used for the therapeutic modulation of kinase-mediated processes, and treatment of disease and disease symptoms, particularly those mediated by certain kinase enzymes.
US07683056B2 Pyridine derivatives and their use in the treatment of psychotic disorders
There are provided according to the invention novel compounds of formula (I) or a pharmaceutically acceptable salt or solvate thereof: wherein all variables are defined herein. Also provided are pharmaceutical compositions containing the same and methods for their use in therapy.
US07683048B2 Polymorphis of a known thiophenecarboxylic acid dodecahydrocyclopenta (a) phenanthrenyl ester
Polymorphic crystal forms of 3-methylthiophene-2-carboxylic acid (6S,9R,10S,11S,13S,16R, 17R)-9-chloro-6-fluoro-11-hydroxy-17-methoxycarbonyl-10,13,16-trimethyl-3-oxo-6,7,8,9,10, 11,12,13,14,15,16,17-dodecahydro-3H-cyclopenta[a]phenanthren-17-yl ester. The crystal forms possess anti-inflammatory activity and have very good stability. Methods for preparing the crystal forms are also described.
US07683040B2 Intranasal formulation of rotigotine
This invention pertains to a liquid intranasal pharmaceutical formulation comprising a pharmaceutically acceptable acid addition salt of rotigotine and α-cyclodextrin, preferably in the form of a buffered aqueous solution having a viscosity of 0.5-1.5 mm2/s.
US07683035B1 Method of stabilizing and/or isolating nucleic acids
The present invention relates to a method of stabilizing and/or isolating nucleic acids, wherein a biological sample containing nucleic acids is contacted with a cationic compound. The invention also relates to said cationic compound per se and to the use of said cationic compound in stabilizing and/or isolating nucleic acids. Furthermore, the invention relates to pharmaceutical compositions, diagnostic compositions, and to compositions used in research, which include cationic compounds or a complex being formed upon contact of said cationic compound with a nucleic acid.
US07683024B2 Polyaminoacids functionalized by alpha tocopherol and uses thereof, particular for therapeutic applications
The invention concerns novel biodegradable polyaminoacid materials, useful in particular for vectoring active principle(s). The invention also concerns novel pharmaceutical, cosmetic dietetic or phytosanitary compositions based on said polyaminoacids. The invention aims at providing a novel polymer raw material, capable of being used for vectoring active principles and enabling optimal fulfillment of all specified requirements: biocompatibility, biodegradability, easy and inexpensive transformation into particles vectoring active principles, the particles being themselves capable of forming colloidal suspensions, of being easily associated with numerous active principles, and of releasing said active principles in vivo. Therefor, the present invention concerns first of all amphiphilic polyaminoacids comprising aspartic acid units and/or glutamic acid units, characterized in that at least part of said units carry grafts, including at least one alpha-tocopherol motif, for example (polyglutamate or polyaspartate grafted with alpha-tocopherol of synthetic or natural origin).
US07683022B2 Methods of removing metal-containing materials
Various methods for selectively etching metal-containing materials (such as, for example, metal nitrides, which can include, for example, titanium nitride) relative to one or more of silicon, silicon dioxide, silicon nitride, and doped silicon oxides in high aspect ratio structures with high etch rates. The etching can utilize hydrogen peroxide in combination with ozone, ammonium hydroxide, tetra-methyl ammonium hydroxide, hydrochloric acid and/or a persulfate. The invention can also utilize ozone in combination with hydrogen peroxide, and/or in combination with one or more of ammonium hydroxide, tetra-methyl ammonium hydroxide and a persulfate. The invention can also utilize ozone, hydrogen peroxide and HCl, with or without persulfate. The invention can also utilize hydrogen peroxide and a phosphate, either alone, or in combination with a persulfate.
US07683020B2 Methods of removing metal-containing materials
Various methods for selectively etching metal-containing materials (such as, for example, metal nitrides, which can include, for example, titanium nitride) relative to one or more of silicon, silicon dioxide, silicon nitride, and doped silicon oxides in high aspect ratio structures with high etch rates. The etching can utilize hydrogen peroxide in combination with ozone, ammonium hydroxide, tetra-methyl ammonium hydroxide, hydrochloric acid and/or a persulfate. The invention can also utilize ozone in combination with hydrogen peroxide, and/or in combination with one or more of ammonium hydroxide, tetra-methyl ammonium hydroxide and a persulfate. The invention can also utilize ozone, hydrogen peroxide and HCl, with or without persulfate. The invention can also utilize hydrogen peroxide and a phosphate, either alone, or in combination with a persulfate.
US07683019B2 Extruded artisan soap having inner vein
An extruded and stamped personal washing bar comprising an artisan crafted appearance having top and bottom stamped faces bounded by a parting line or edge band and a horizontal plane intersecting the parting line or edge band, said bar further comprising an outer surfactant phase and a substantially contiguous inner vein comprising a thermoplastic mass, wherein said inner vein is located between the top and bottom stamped faces of the bar and wherein a projection of the inner vein onto the horizontal plane intersecting the parting line or edge band has a maximum width that is at least 20% of a maximum width of the bar in said horizontal plane.
US07683017B2 Synergistic lubricating oil composition containing a mixture of a nitro-substituted diarylamine and a diarylamine
Disclosed is a lubricating oil composition containing an oil of lubricating viscosity and a particularly effective mixture of a nitro-substituted diphenylamine and a diarylamine which together provide superior oxidation inhibition.
US07683016B2 Soy-based methyl ester high performance metal working fluids
The inventive composition comprises compatible combinations of methyl esters of fatty acids or triglycerides and polar non-chlorine extreme pressure additives, the composition being either (a) a working strength straight oil, (b) a soluble oil concentrate dilutable to a working strength soluble oil, the composition when at working strength effectively lubricating metal parts during high performance metalworking, and providing environmental and safety advantages.
US07683012B2 Lubricant with non-terminal functional groups
Lubricant with non-terminal functional groups. The location of the functional groups minimizes free chain length and molecular weight of a lubricant while simultaneously maximizing evaporation temperature. The locations of the functional groups to the backbone of the molecule of the lubricant allows for shorter lengths of free backbone length between functional groups attached to a lubricated surface. The lubricant lubricates mechanical devices including hard disk drives.
US07683007B2 Advances in amination catalysis
Provided herein are catalysts useful in reductive amination, which include nickel, copper, zirconium and/or chromium, oxygen, and tin. The presence of the tin increases the selectivity of the catalyst in reductive aminations over the catalysts of the prior art.
US07683006B2 Method for activating an iron-based fischer-tropsch catalyst
A method for transforming at least a part of the catalyst precursor hematite into x-carbide (Fe5C2) and ε′-carbide (FeC2.2) without a large amount of fines generation. This method slows the transformation of the hematite to iron carbides by reducing the partial pressure of the synthesis gas by inert gas dilution. The activation time is about three to about five hours.
US07683002B2 Transition metal catalyst and formation thereof
Catalyst systems and methods of forming the catalyst systems are described herein. The methods generally include contacting a support material with an activator to form a support composition, contacting a component with at least a portion of an aluminum containing compound including TIBAl, wherein the component is selected from the support composition, the transition metal catalyst compound and combinations thereof and contacting the support composition with a transition metal catalyst compound to form a supported catalyst system.
US07683001B2 Dielectric layers and memory cells including metal-doped alumina
A method of forming (and an apparatus for forming) a metal-doped aluminum oxide layer on a substrate, particularly a semiconductor substrate or substrate assembly, using a vapor deposition process.
US07683000B2 Clear glass composition with high visible transmittance
A high transmittance fairly clear/neutral colored glass composition is provided. An oxidizing agent(s) such as cerium oxide (e.g., CeO2) or the like is added to the glass batch in order to realize very oxidized conditions (i.e., to significantly lower the redox of the resulting glass). As a result of the oxidizing agent(s) used in the batch, the iron is oxidized to a very low FeO (ferrous state) content. For example, this may result in a glass having a glass redox value of no greater than 0.12 (more preferably <=0.10; even more preferably <=0.08; and most preferably <=0.05) and a % FeO (i.e., ferrous content) of from 0.0001 to 0.05%. In certain example embodiments, in order to compensate for yellow or yellow-green coloration a small amount of cobalt (Co) may be provided in the glass to enable it to realize a more neutral color.
US07682996B2 Vibratory screen
A screen includes three or four layers of woven metal screen cloth. The coarsest of these layers is a structural layer includes threads which are woven into the cloth with surfaces which are fusible below a temperature at which the other layers of screen cloth are heat affected. These woven threads include each of the threads in the coarse screen cloth with the coated threads being wire with fusible polypropylene or polyethylene coatings. The cloth could alternatively be coated or a fusible grid used between screens instead. A support layer above the structural layer may include a woven metal screen with woven elements which are either coated with fusible material or are solidly of fusible material woven periodically therethrough in at least one direction. A fusible grid could alternatively be employed. Screens are laminated with one or two fine mesh screens heated on the support woven screen cloth with fusible surfaces to locate the fusible material in the finer cloths. The structural layer of woven screen cloth has the fusible surfaces only encapsulating the support layer just above and not the finer screen cloths on the other side of the support layer.
US07682995B2 Triple layer industrial fabric for through-air drying process
A triple layer woven industrial fabric, particularly suitable for through-air drying applications, has a paper side (PS) layer and a machine side (MS) layer of polymeric warp and weft yarns woven to a repeat pattern wherein all the warp yarns are arranged as vertically stacked pairs, all the weft yarns comprise pairs of intrinsic weft binder yarns, and each pair of weft yarns forms an unbroken weft path in both the PS layer and the MS layer whereby when either the first or second member of the pair passes from the PS layer to the MS layer, the other member of the pair passes from the MS layer to the PS layer at an exchange point located between at least one common pair of warp yarns.
US07682994B2 Breathable fabric
A fabric comprising a textile layer comprising yarns, wherein said textile layer is permeable to water vapour and impermeable to liquid water; and disposed on at least part of one side of the textile layer is a wicking means.
US07682993B2 Insulated composite reinforcement material
An insulated composite material for structural reinforcement applications is provided. The insulated composite material includes a fiber reinforced composite material and a cementitious insulating layer applied over the composite material. Also provided is a structural member reinforced with the insulated composite material and a method of reinforcing a structural member with the insulated composite material.
US07682991B2 Method of manufacturing silicon carbide semiconductor device
A method of manufacturing a silicon carbide semiconductor device includes forming a trench for a MOS gate in an SiC substrate by dry etching. Thereafter, the substrate with the trench is heat treated. The heat treatment includes heating the substrate in an Ar gas atmosphere or in a mixed gas atmosphere containing SiH4 and Ar at a temperature between 1600° C. and 1800° C., and thereafter in a hydrogen gas atmosphere at a temperature between 1400° C. and 1500° C. The present manufacturing method smoothens the trench inner surface and rounds the corners in the trench to prevent the electric field from localizing thereto.
US07682986B2 Ultra-high aspect ratio dielectric etch
A method for etching an ultra high aspect ratio feature in a dielectric layer through a carbon based mask is provided. The dielectric layer is selectively etched with respect to the carbon based mask, wherein the selective etching provides a net deposition of a fluorocarbon based polymer on the carbon based mask. The selective etch is stopped. The fluorocarbon polymer is selectively removed with respect to the carbon based mask, so that the carbon based mask remains, using a trimming. The selectively removing the fluorocarbon polymer is stopped. The dielectric layer is again selectively etched with respect to the carbon based mask, wherein the second selectively etching provides a net deposition of a fluorocarbon based polymer on the carbon based mask.
US07682982B2 Plasma processing apparatus and control method thereof
There is provided a plasma processing apparatus includes a lower electrode in a processing chamber on which a object to be processed is mounted; an upper electrode confronting the lower electrode; a first and a second high-frequency power supply for applying high-frequency powers respectively to the upper and the lower electrode; and an output controller for raising each of outputs from the high-frequency power supplies at least three times in a stepwise manner up to each of set levels for processing the object to be processed. The output controller adjusts each of rising times of the outputs from the high-frequency power supplies so that an output of the second high-frequency power supply is raised earlier than an output of the first high-frequency power supply while the outputs from the high-frequency power supplies are raised up to the set levels in a stepwise manner.
US07682981B2 Topography transfer method with aspect ratio scaling
The present invention is a method of applying a topographical surface to a part such as a substrate without the need for low temperature softening of that part while retaining high aspect ratios and densely packed features in that topography. A substrate, selected for its ability to be processed at a given desired temperature, has a layer of material applied to its surface. This layer is selected, among other reasons, for its ability to be molded. Typically, it is expected that the substrate will be able to withstand the higher temperatures of semiconductor post-processing whereas the applied layer will be moldable at low temperatures. This combination enables low cost embossing of a topography into this surface layer. The present invention comprises means to transfer this topography from the low temperature material into the higher temperature substrate. In addition, the present invention comprises a means to scale the aspect ratio of the features of that topography when it is transferred into the substrate material. Since the sidewall angle must be opened enough to permit demolding of the master form from the substrate after molding, some very vertical sidewall angles may not be possible during a molding-demolding operation. The present invention is a means to achieve steeper sidewall angles on features in a substrate where those features are applied by way of a molding process.
US07682976B2 Methods of forming a phase-change material layer pattern, methods of manufacturing a phase-change memory device and related slurry compositions
In methods of forming a phase-change material layer pattern, an insulation layer having a recessed portion may be formed on a substrate, and a phase-change material layer may be formed on the insulation layer to fill the recessed portion. A first polishing process may be performed on the phase-change material layer using a first slurry composition to partially remove the phase-change material layer, the first slurry composition having a first polishing selectivity between the insulation layer and the phase-change material layer. A second polishing process may be performed on the phase-change material layer using a second slurry composition to form a phase-change material layer pattern in the recessed portion, the second slurry composition having a second polishing selectivity substantially lower than the first polishing selectivity.
US07682975B2 Semiconductor device fabrication method
A semiconductor device fabricating method includes forming a thin film at a top surface of a substrate; polishing a back surface of said substrate; and after the polishing of the back surface, polishing said thin film as formed at the top surface of said substrate.
US07682971B2 Semiconductor device and method for manufacturing the same
Provided is a method for manufacturing a semiconductor device. In the method, a gate oxide layer, a gate polysilicon layer, and a capping oxide layer are sequentially formed on a semiconductor substrate. A photoresist pattern is formed on the capping oxide layer. The capping oxide layer, gate polysilicon layer, and gate oxide layer are sequentially etched using the photoresist pattern as an etch mask. Ions are then implanted into the semiconductor substrate using the photoresist pattern as a mask. A thermal diffusion process is performed to form source/drain regions. The capping oxide layer is removed, and ions are implanted into the gate polysilicon layer. After metal is deposited on the gate polysilicon layer, a silicide is formed.
US07682969B2 Method of fabricating semiconductor device
A method of forming a semiconductor device that includes heating a wafer on which an Al—Cu sputtering thin film is formed before patterning the Al—Cu sputtering thin film. The heating is performed at a temperature no less than a solid solution temperature of copper or at a temperature between 300° C. and 600° C. The process temperature in heating the process wafer is not higher than the flow temperature of aluminum or is the temperature at which a reflow process can be performed.
US07682968B2 Self-aligned metal to form contacts to Ge containing substrates and structure formed thereby
A method for forming germano-silicide contacts atop a Ge-containing layer that is more resistant to etching than are conventional silicide contacts that are formed from a pure metal is provided. The method of the present invention includes first providing a structure which comprises a plurality of gate regions located atop a Ge-containing substrate having source/drain regions therein. After this step of the present invention, a Si-containing metal layer is formed atop the said Ge-containing substrate. In areas that are exposed, the Ge-containing substrate is in contact with the Si-containing metal layer. Annealing is then performed to form a germano-silicide compound in the regions in which the Si-containing metal layer and the Ge-containing substrate are in contact; and thereafter, any unreacted Si-containing metal layer is removed from the structure using a selective etch process. In some embodiments, an additional annealing step can follow the removal step. The method of the present invention provides a structure having a germano-silicide contact layer atop a Ge-containing substrate, wherein the germano-silicide contact layer contains more Si than the underlying Ge-containing substrate.
US07682967B2 Method of forming metal wire in semiconductor device
A method of forming a metal wire in a semiconductor device is disclosed The method includes the steps of etching an insulating layer formed on a semiconductor substrate to form a dual damascene pattern, forming a barrier metal layer in the dual damascene pattern, forming a metal layer on the barrier metal layer, and filling the dual damascene pattern with a conductive material to form a metal wire.
US07682965B2 Method for manufacturing semiconductor device
Provided is a method for manufacturing a semiconductor device. An insulation layer is formed on a bottom structure of a semiconductor substrate. Then, a trench and a via hole are formed by selectively etching the insulation layer, and a copper layer is deposited to fill the via hole and the trench. Next, a copper line is formed by a CMP (chemical mechanical polishing) process to planarize the copper layer, and a plasma process is performed to form a plasma-treated surface layer of the semiconductor substrate. The plasma-treated surface layer is then removed.
US07682964B2 Method of forming a contact hole in a semiconductor device
A semiconductor device includes a semiconductor substrate, a lower conductive layer formed over the semiconductor substrate, an intermediate insulating layer formed over the lower conductive layer and an upper conductive layer formed over the intermediate insulating layer. The upper conductive layer crosses the lower conductive layer. The semiconductor device also includes a contact hole formed at a crossing portion of the lower conductive layer and the upper conductive layer. The contact hole is formed in the intermediate insulating layer. An aspect ratio of the contact hole is greater than 0.6.
US07682963B2 Air gap for interconnect application
The present disclosure provides a method for fabricating an integrated circuit. The method includes forming an energy removable film (ERF) on a substrate; forming a first dielectric layer on the ERF; patterning the ERF and first dielectric layer to form a trench in the ERF and the first dielectric layer; filling a conductive material in the trench; forming a ceiling layer on the first dielectric layer and conductive material filled in the trench; and applying energy to the ERF to form air gaps in the ERF after the forming of the ceiling layer.
US07682961B2 Methods of forming solder connections and structure thereof
In a first aspect, a method comprises depositing a first metal containing layer into a trench structure, which contacts a metalized area of a semiconductor structure. The method further includes patterning at least one opening in a resist to the first metal containing layer. The opening should be in alignment with the trench structure. At least a pad metal containing layer is formed within the at least one opening (preferably by electroplating processes). The resist and the first metal layer underlying the resist are then etched (with the second metal layer acting as a mask, in embodiments). The method includes flowing solder material within the trench and on pad metal containing layer after the etching process. The structure is a controlled collapse chip connection (C4) structure comprising at least one electroplated metal layer formed in a resist pattern to form at least one ball limiting metallurgical layer. The structure further includes an underlying metal layer devoid of undercuts.
US07682959B2 Method of forming solder bump on high topography plated Cu
A solder bump is formed on a high-topography, electroplated copper pad integrating a first and second passivation layer. A sacrifice layer is deposited over the second passivation layer. The sacrifice layer is lithographically patterned. A via is etched in the sacrifice layer. A solder bump is formed in the via. A portion of the sacrifice layer is removed using the solder bump as a mask. A semiconductor device includes a substrate, an input/output (I/O) pad disposed over the substrate, a first passivation layer disposed over a portion of the I/O pad, a first conductive layer disposed over the first passivation layer, a second passivation layer disposed over the first conductive layer, a sacrifice layer disposed over the second passivation layer, the sacrifice layer having a via, and a solder bump formed in the via, the solder bump used as a mask to remove a portion of the sacrifice layer.
US07682955B1 Method for forming deep well of power device
The invention provides a method for forming a deep well region of a power device, including: providing a substrate with a first sacrificial layer thereon; forming a first patterned mask layer on the first sacrificial layer exposing a first open region; performing a first doping process to the first open region to form a first sub-doped region; removing the first patterned mask layer and the first sacrificial layer; forming an epitaxial layer on the substrate; forming a second sacrificial layer on the epitaxial layer; forming a second patterned mask layer on the second sacrificial layer exposing a second open region; performing a second doping process to the second open region to form a second sub-doped region; removing the second patterned mask layer; performing an annealing process to make the first and the second sub-doped regions form a deep well region; and removing the second sacrificial layer.
US07682954B2 Method of impurity introduction, impurity introduction apparatus and semiconductor device produced with use of the method
An impurity region having a box-shaped impurity profile is formed.An impurity introducing method includes a step of introducing a desired impurity into a surface of a solid base body, and a step of radiating plasma to a surface of the solid base body after the impurity introducing step thus forming an impurity profile having an approximately box-shape.
US07682951B2 Method for fabricating a polysilicon layer having large and uniform grains
An exemplary method for fabricating a polysilicon layer includes the following steps. A substrate (10) is provided and an amorphous silicon layer (12) is formed over the substrate. An excimer laser generator (13) for generating a pulse excimer laser beams collectively having the shape of a generally rectangular shaft is provided to melt a first area (15) of the amorphous silicon layer with the pulse excimer laser beams. The excimer laser generator is moved a distance to melt a second area of the amorphous layer spaced a short distance away from the first area. At least a subsequent third melted area spaced a short distance away from the second melted area is formed, with each subsequent melted area is spaced as short distance away from the immediately preceding melted area.
US07682949B2 Laser treatment device, laser treatment method, and semiconductor device fabrication method
A semiconductor film formed on a substrate is crystallized by continuously oscillating type laser. The scanning direction of the continuously oscillating type laser and the crystallization direction are coincident with each other. Adjustment of the crystallization direction and the charge transferring direction of the thin film transistors makes control of the characteristics of the thin film transistors possible. With respect to the laser treatment device for crystallizing the semiconductor film, the beam shape of laser oscillated from the continuously oscillating type laser device is made to be elliptical by a cylindrical lens and said cylindrical lens is made rotatable and said laser beam is scanned on said substrate by a galvanomirror and said laser beam can be focused upon said substrate by f-θlens.
US07682948B2 Digital light valve
A system and method are provided for crystallizing a semiconductor film using a digital light valve. The method comprises: enabling pixel elements from an array of selectable pixel elements; in response to enabling the pixel elements, gating a light; sequentially exposing adjacent areas of a semiconductor film, such as Si, to the gated light; annealing the light-exposed areas of semiconductor film; and, in response to the annealing, laterally growing crystal grains in the semiconductor film. For example, the method may sequentially expose adjacent areas of semiconductor film to gated light in a first direction; and, simultaneously exposing adjacent areas of semiconductor film to gated light in a second direction, different than the first direction. For example, the second direction may be perpendicular to the first direction. As a result, crystal grains can be laterally grown simultaneously in the first and second directions.
US07682947B2 Epitaxial semiconductor deposition methods and structures
Methods for depositing epitaxial films such as epitaxial Ge and SiGe films. During cooling from high temperature processing to lower deposition temperatures for Ge-containing layers, Si or Ge compounds are provided to the substrate. Smooth, thin, relatively defect-free Ge or SiGe layers result. Retrograded relaxed SiGe is also provided between a relaxed, high Ge-content seed layer and an overlying strained layer.
US07682945B2 Phase change element extension embedded in an electrode
The present invention in one embodiment provides a method of forming a memory device that includes providing an interlevel dielectric layer including a conductive stud having a first width; forming an stack comprising a metal layer and a first insulating layer; forming a second insulating layer atop portions of the interlevel dielectric layer adjacent each sidewall of the stack; removing the first insulating layer to provide a cavity; forming a conformal insulating layer atop the second insulating layer and the cavity; applying an anisotropic etch step to the conformal insulating layer to produce a opening having a second width exposing an upper surface of the metal layer, wherein the first width is greater than the second width; and forming a memory material layer in the opening.
US07682943B2 Nanostructures and methods for manufacturing the same
A resonant tunneling diode, and other one dimensional electronic, photonic structures, and electromechanical MEMS devices, are formed as a heterostructure in a nanowhisker by forming length segments of the whisker with different materials having different band gaps.
US07682941B2 Integrated circuit with bulk and SOI devices connected with an epitaxial region
An integrated circuit having devices fabricated in both SOI regions and bulk regions, wherein the regions are connected by a trench filled with epitaxially deposited material. The filled trench provides a continuous semiconductor surface joining the SOI and bulk regions. The SOI and bulk regions may have the same or different crystal orientations. The present integrated circuit is made by forming a substrate with SOI and bulk regions separated by an embedded sidewall spacer (made of dielectric). The sidewall spacer is etched, forming a trench that is subsequently filled with epitaxial material. After planarizing, the substrate has SOI and bulk regions with a continuous semiconductor surface. A butted P-N junction and silicide layer can provide electrical connection between the SOI and bulk regions.
US07682933B1 Wafer alignment and bonding
Provided is a method and apparatus for close alignment of two or more electrically conductive wafers which are positioned face-to-face in closely spaced opposition, the wafers having position marks on corresponding portions thereof, the wafers being aligned as to their mating components, as guided by optically comparing the alignment of the respective position marks; deflecting an interior portion of one of the wafers into contact with the other wafer, to partially bond the wafers to each other, then fully contacting and bonding the rest of the wafer pair and then optically checking the resulting wafer alignment to see if same is acceptable.
US07682932B2 Method for fabricating a hybrid orientation substrate
A method for fabricating a hybrid orientation substrate includes steps of providing a direct silicon bonding (DSB) wafer having a first substrate with (100) crystalline orientation and a second substrate with (110) crystalline orientation directly bonded on the first substrate, forming and patterning a first blocking layer on the second substrate to define a first region not covered by the first blocking layer and a second region covered by the first blocking layer, performing an amorphization process to transform the first region of the second substrate into an amorphized region, and performing an annealing process to recrystallize the amorphized region into the orientation of the first substrate and to make the second region stressed by the first blocking layer.
US07682931B2 Method for manufacturing semiconductor device
When single crystal semiconductor layers are transposed from a single crystal semiconductor substrate (a bond wafer), the single crystal semiconductor substrate is etched selectively (this step is also referred to as groove processing), and a plurality of single crystal semiconductor layers, which are being divided in size of manufactured semiconductor elements, are transposed to a different substrate (a base substrate). Thus, a plurality of island-shaped single crystal semiconductor layers (SOI layers) can be formed over the base substrate. Further, etching is performed on the single crystal semiconductor layers formed over the base substrate, and the shapes of the SOI layers are controlled precisely by being processed and modified.
US07682930B2 Method of forming elevated photosensor and resulting structure
Elevated crystal silicon photosensors for imagers pixels, each photosensor formed of crystal silicon above the surface of a substrate that has pixel circuitry formed thereon. The imager has a high fill factor and good imaging properties due to the crystal silicon photosensor.
US07682926B2 Semiconductor device and method of fabricating the same
A method of fabricating a semiconductor device includes forming an ion implanted region on a semiconductor substrate in a cell/core region. The semiconductor substrate is selectively etched to form a recess. The recess exposes a boundary of the ion implanted region. The ion implanted region exposed at the bottom of the recess is removed to form an under-cut space in the semiconductor substrate. An insulating film is formed to form a substrate having a silicon-on-insulator (SOI) structure in the cell/core region. The insulating film fills the under-cut space and the recess.
US07682925B2 Capacitor and manufacturing method thereof
The disclosure concerns a capacitor including a trench; an insulation layer; a first polysilicon layer; a first patterned dielectric layer; a second polysilicon layer patterned into a plurality of vertical bars in the trench; a second dielectric layer along surfaces of the first dielectric layer and the second patterned polysilicon layer; and a third polysilicon layer on the second dielectric layer.
US07682923B2 Method of forming metal trench pattern in thin-film device
A method of forming a metal trench pattern in a thin-film device includes a step of depositing an electrode film on a substrate or on a base layer, a step of forming a resist pattern layer having a trench forming portion used to make a trench pattern, on the deposited electrode film, a step of forming a metal layer for filling spaces in the trench forming portion and for covering the trench forming portion, by performing plating through the formed resist pattern layer using the deposited electrode film as an electrode, a step of planarizing at least a top surface of the formed metal layer until the trench forming portion of the resist pattern layer is at least exposed, and a step of removing the exposed trench forming portion of the resist pattern layer.
US07682918B2 ESD protection for semiconductor products
A process for forming a vertical DMOS device with an ESD protection transistor that is configured for carrying a breakdown current includes the steps of masking a substrate of a first polarity type and forming spaced apart surface isolation regions. An insulated gate is formed between the spaced apart surface isolation regions. Selected portions of the surface regions between the gate and the surface isolation regions are heterodoped to form p-n junctions having retrograde doping profiles beneath the substrate surface thereby lowering the breakdown voltage beneath the heterodoped portions in order to direct a substantial portion of the breakdown current below the surface of the substrate and into the body of the substrate between the heterodoped regions. Source and drain regions are formed in the substrate surface on opposite sides of the gate.
US07682917B2 Disposable metallic or semiconductor gate spacer
A disposable spacer is formed directly on or in close proximity to the sidewalls of a gate electrode and a gate dielectric. The disposable spacer comprises a material that scavenges oxygen such as a metal, a metal nitride, or a semiconductor material having high reactivity with oxygen. The disposable gate spacer absorbs any oxygen during subsequent high temperature processing such as a stress memorization anneal. A metal is deposited over, and reacted with, the gate electrode and source and drain regions to form metal semiconductor alloy regions. The disposable gate spacer is subsequently removed selective to the metal semiconductor alloy regions. A porous or non-porous low-k dielectric material is deposited to provide a low parasitic capacitance between the gate electrode and the source and drain regions. The gate dielectric maintains the original dielectric constant since the disposable gate spacer prevents absorption of additional oxygen during high temperature processes.
US07682916B2 Field effect transistor structure with abrupt source/drain junctions
Microelectronic structures embodying the present invention include a field effect transistor (FET) having highly conductive source/drain extensions. Formation of such highly conductive source/drain extensions includes forming a passivated recess which is back filled by epitaxial deposition of doped material to form the source/drain junctions. The recesses include a laterally extending region that underlies a portion of the gate structure. Such a lateral extension may underlie a sidewall spacer adjacent to the vertical sidewalls of the gate electrode, or may extend further into the channel portion of a FET such that the lateral recess underlies the gate electrode portion of the gate structure. In one embodiment the recess is back filled by an in-situ epitaxial deposition of a bilayer of oppositely doped material. In this way, a very abrupt junction is achieved that provides a relatively low resistance source/drain extension and further provides good off-state subthreshold leakage characteristics. Alternative embodiments can be implemented with a back filled recess of a single conductivity type.
US07682913B1 Process for making a MCSFET
A process for making a MCSFET includes providing a first implant through a first side of an elongated stack, and then providing a second implant through a second side of the stack. The first implant has a dose different than the dose of the second implant, so that final dopant concentrations in the first and second sides differ and the transistor has two threshold voltages Vt1, Vt2.
US07682911B2 Semiconductor device having a fin transistor and method for fabricating the same
A fin transistor includes fin active region, an isolation layer covering both sidewalls of a lower portion of the fin active region, a gate insulation layer disposed over a surface of the fin active region, and a gate electrode disposed over the gate insulation layer and the isolation layer, and having a work function ranging from approximately 4.4 eV to approximately 4.8 eV.
US07682909B2 Vertical trench gate transistor semiconductor device and method for fabricating the same
A first region functioning as a transistor includes a drain region, a body region formed over the drain region, a source region formed over the body region and a trench formed through the body region and having a gate electrode buried therein. A source region is formed over the body region extending in a second region. The source region forming an upper edge of the trench is rounded.
US07682906B2 Method of manufacturing a non-volatile memory device
A method of manufacturing a non-volatile memory device includes forming a tunnel isolation layer forming a tunnel isolation layer on a substrate, forming a conductive pattern on the tunnel isolation layer, forming a lower silicon oxide layer on the conductive pattern, treating a surface portion of the lower silicon oxide layer with a nitridation treatment to form a first silicon oxynitride layer on the lower silicon oxide layer, forming a metal oxide layer on the first silicon oxynitride layer, forming an upper silicon oxide layer on the metal oxide layer, and forming a conductive layer on the upper silicon oxide layer.
US07682895B2 Semiconductor device and method of manufacturing the same
A method of manufacturing a semiconductor device includes: (A) a wafer process; and (B) a bias application process after the wafer process. The wafer process includes: (a) forming a n-type well in a p-type semiconductor substrate; (b) forming a p-type well in the n-type well; and (c) forming a transistor on the p-type well, the transistor having a n-type source/drain diffusion layer. In the bias application process, a forward bias is applied between the p-type well and the n-type well to move heavy metal ions.
US07682893B2 Method and apparatus for providing an instrument playing service
A method and apparatus for providing an instrument playing service in a portable terminal. In the method, an image of an instrument is projected. User finger movements on the projected instrument image are detected and sound source information corresponding to the user finger movements is read. Thereafter, an audio signal corresponding to the sound source information is output.
US07682890B2 Method of fabricating semiconductor device
A method of fabricating a semiconductor device is provided. A substrate is first provided, and then several IO devices and several core devices are formed on the substrate, wherein those IO devices include IO PMOS and IO NMOS, and those core devices include core PMOS and core NMOS. Thereafter, a buffer layer is formed on the substrate, and then the buffer layer except a surface of the IO PMOS is removed in order to reduce the negative bias temperature instability (NBTI) of the IO PMOS. Afterwards, a tensile contact etching stop layer (CESL) is formed on the IO NMOS and the core NMOS, and a compressive CESL is formed the core PMOS.
US07682888B2 Methods of forming NMOS/PMOS transistors with source/drains including strained materials
A method of forming an integrated circuit includes selectively forming active channel regions for NMOS and PMOS transistors on a substrate parallel to a <100> crystal orientation thereof and selectively forming source/drain regions of the NMOS transistors with Carbon (C) impurities therein.
US07682884B2 Method for fabricating pixel structure
A method for fabricating a pixel structure using a laser ablation process is provided. This fabrication method forms a gate, a channel layer, a source, a drain, a passivation layer, and a pixel electrode sequentially by using a laser ablation process. Particularly, the fabrication method is not similar to a photolithography and etching process, so as to reduce the complicated photolithography and etching processes, such as spin coating process, soft-bake, hard-bake, exposure, developing, etching, and stripping. Therefore, the fabrication method simplifies the process and thus reduces the fabrication cost.
US07682883B2 Manufacturing method of thin film transistor array substrate and liquid crystal display panel
A manufacturing method of a thin film transistor array substrate incorporating the manufacture of a photo-sensor is provided. In the manufacturing method, a photo-sensing dielectric layer is formed between a transparent conductive layer and a metal electrode for detecting ambient light. Since the transparent conductive layer is adopted as an electrode, the ambient light can pass through the transparent conductive layer and get incident light into the photo-sensing dielectric layer. Therefore, the sensing area of the photo-sensor can be enlarged and the photo-sensing efficiency is improved. In addition, the other side of the photo sensitive dielectric layer may be a metal electrode. The metal electrode can block the backlight from getting incident into the photo-sensing dielectric layer and thus reduce the background noise. A manufacturing method of a liquid crystal display panel adopting the aforementioned thin film transistor array substrate is also provided.
US07682879B2 Edge coating a microelectronic device
A microelectronic device includes a die having an active surface and a non-active surface. To assemble the microelectronic device, the active surface of the die is placed on a substrate. A first material is dispensed between the active surface of the die and the substrate. A second material is dispensed on at least a portion of the non-active surface of the die. The second material is different than the first material and the first material and the second material are simultaneously cured.
US07682876B2 Electronic assemblies having a low processing temperature
Embodiments relate to electronic assemblies and methods for forming electronic assemblies. One method includes providing a die and a copper heat spreader that are to be coupled to one another through a thermal interface material. A layer of tin is formed on the copper heat spreader. The heat spreader and the die are clamped together with the tin positioned between the heat spreader and the die. The assembly is heated so that the tin melts and forms at least one intermetallic compound with copper from the heat spreader. The heat spreader is then coupled to the die through the intermetallic compound.
US07682868B2 Method for making a keyhole opening during the manufacture of a memory cell
A keyhole opening is formed during one example of manufacturing a memory cell. An upper layer is formed on a base layer, the base layer having a bottom electrode. The upper layer includes a second layer formed over the base layer and a first layer formed over the second layer. A keyhole opening is formed through the upper layer to expose a surface of the bottom electrode and to create a first memory cell subassembly. The keyhole opening comprises a first, upper opening segment formed within the first layer and a second opening segment formed within the second layer, the first and second opening segments having first and second widths. The first layer has an overhanging portion extending into the opening so that the first width is shorter than the second width.
US07682866B2 Non-planarized, self-aligned, non-volatile phase-change memory array and method of formation
A method for fabrication and a structure of a self-aligned (crosspoint) memory device comprises lines (wires) in a first direction and in a second direction. The wires in the first direction are formed using a hard mask material that is resistant to the pre-selected etch processes used for creation of the lines in both the first and the second direction. Consequently, the hard mask material for the lines in the first direction form part of the memory stack.
US07682857B2 Method for manufacturing semiconductor optical device
A method for manufacturing a semiconductor optical device includes: forming a p-type cladding layer; forming a capping layer on the p-type cladding layer, the capping layer being selectively etchable relative to the p-type cladding layer; forming a through film on the capping layer; forming a window structure by ion implantation; removing the through film after the ion implantation; and selectively removing the capping layer using a chemical solution.
US07682854B2 Method of making diode having reflective layer
A method of forming a light emitting diode includes forming a transparent substrate and a GaN buffer layer on the transparent substrate. An n-GaN layer is formed on the buffer layer. An active layer is formed on the n-GaN layer. A p-GaN layer is formed on the active layer. A p-electrode is formed on the p-GaN layer and an n-electrode is formed on the n-GaN layer. A reflective layer is formed on a second side of the transparent substrate. A scribe line is formed on the substrate for separating the diodes on the substrate. Also, a cladding layer of AlGaN is between the p-GaN layer and the active layer.
US07682852B2 Method of manufacturing semiconductor laser device including light shield plate
Provided is a method of manufacturing a semiconductor laser device having a light shield film comprising: forming a light emission structure by depositing a first clad layer, an active layer and a second clad layer on a substrate; depositing a light shield film and a protection film on the light emission face of the light emission structure; removing the light shield film corresponding to an area of the light emission face of the light emission structure including and above the first clad layer; and removing the protection layer.
US07682850B2 White LED for backlight with phosphor plates
A white light LED for use in backlighting or otherwise illuminating an LCD is described where the white light LED comprises a blue LED over which is affixed a preformed red phosphor platelet and a preformed green phosphor platelet. In one embodiment, to form a platelet, a controlled amount of phosphor powder is placed in a mold and heated under pressure to sinter the grains together. The platelet can be made very smooth on all surfaces. A UV LED may also be used in conjunction with red, green, and blue phosphor plates. The LED dies vary in color and brightness and are binned in accordance with their light output characteristics. Phosphor plates with different characteristics are matched to the binned LEDs to create white light LEDs with a consistent white point for use in backlights for liquid crystal displays.
US07682848B2 Light emitting device with blue light LED and phosphor components
A light emitting device containing a semiconductor light emitting component and a phosphor, the phosphor is capable of absorbing a part of light emitted by the light emitting component and emitting light of a wavelength different from that of the absorbed light, is provided. A straight line connecting a point of chromaticity corresponding to a spectrum generated by the light emitting component and a point of chromaticity corresponding to a spectrum generated by the phosphor is substantially along a black body radiation locus in a chromaticity diagram.
US07682847B2 Method for sorting integrated circuit devices
A method for sorting integrated circuit (IC) devices of the type having a substantially unique identification (ID) code, such as a fuse ID, including automatically reading the ID code of each of the IC devices and sorting the IC devices in accordance with their automatically read ID codes, is disclosed.
US07682844B2 Silicon substrate processing method for observing defects in semiconductor devices and defect-detecting method
A silicon substrate processing method for reducing the thickness of an area of a silicon substrate on which a metal layer is formed to implement a semiconductor integrated circuit is disclosed. The method includes: (A) a process which evenly reduces the thickness of the backside of a silicon substrate to an extent where mechanical strength is maintained and the metal layer on the silicon substrate remains intact; (B) a process which detects defects from the backside of the silicon substrate after the process (A); (C) a process which further reduces the thickness of a defect-containing area of the silicon substrate by processing the backside of the silicon substrate; and (D) a process which measures the thickness of the area of the silicon substrate which is reduced in the process (C).
US07682843B2 Semiconductor fabrication system, and flow rate correction method and program for semiconductor fabrication system
Zero point shift based on thermal siphon effect occurring actually when a substrate is processed is detected accurately and corrected suitably. The semiconductor fabrication system comprises a gas supply passage (210) for supplying gas into a heat treatment unit (110), an MFC (240) for comparing an output voltage from a detecting unit for detecting the gas flow rate of the gas supply passage with a set voltage corresponding to a preset flow rate and controlling the gas flow rate of the gas supply passage to the set flow rate, and a control unit (300). The control unit replaces gas in the MFC by gas which is to be used at least for processing a substrate before the substrate is processed, detects the output voltage from the MFC under a state where valves (230, 250) provided in the upstream and the downstream of the MFC are closed and stores the detected output voltage in a storage unit, corrects the set voltage corresponding to the flow rate of gas to be used for processing the substrate based on the output voltage from the MFC stored in the storage unit at the time of processing the substrate, and sets the corrected set voltage in the MFC.
US07682842B2 Method of adaptively selecting chips for reducing in-line testing in a semiconductor manufacturing line
A method for identifying potentially defective integrated circuit chips and excluding them from future testing as wafers move through a manufacturing line The method includes data-collecting steps, tagging the chips on wafers identified as potentially bad chips based on information collected as the wafer moves down the fabrication line, evaluating test cost savings by eliminating any further tests on the tagged chips preferably using a test cost database. Considering all the future tests to be preformed, the tagged chips are skipped if it is determined that the test cost saving is significant. Tagging bad chips is based on various criteria and models which are dynamically adjusted by performing the wafer final test on samples of the tagged chips and feeding-back the final test results. The dynamic adaptive adjustment method preferably includes a feedback loop or iterative process to evaluate financial tradeoffs when assessing the profit of salvaging chips against the additional test costs.
US07682840B2 Magnetic device and method of making the same
A method and magnetic device for improving the desirable properties of a magnetic device, e.g., magnetization uniformity and reproducibility. Moreover the invention provides magnetic cells that are more magnetically homogeneous, with smaller amount of end domain magnetization canting from the average cell magnetization direction. The invention may provide a magnetic memory cell with less variation in switching fields, more spatially coherent dynamical magnetic properties for high speed and processional or coherent magnetic switching, and higher signal due to the increased uniformity. It may provide a magnetic sensor with more spatially coherent magnetic properties for high speed and processional or coherent magnetic switching, and increased signal. It may provide a read head element with more spatially coherent magnetic properties for high speed and processional or coherent magnetic sensing, and increased signal.
US07682838B2 Magnetic nanoparticles, magnetic detector arrays, and methods for their use in detecting biological molecules
Magnetic nanoparticles and methods for their use in detecting biological molecules are disclosed. The magnetic nanoparticles can be attached to nucleic acid molecules, which are then captured by a complementary sequence attached to a detector, such as a spin valve detector or a magnetic tunnel junction detector. The detection of the bound magnetic nanoparticle can be achieved with high specificity and sensitivity.
US07682834B1 Colorimetric test for brake fluid
A method for determining a type of brake fluid that includes contacting a colorimetric reagent on a substrate. An embodiment of the method reacts to the presence of a borate ester in brake fluid to produce a color contacted by DOT 4/5.1 brake fluid or a second color in the presence of pure DOT 3 fluid. In the event of mixtures of DOT 3 with DOT 4/5.1, a third color results when contacted by a mixture of DOT 3 and DOT 4/5.1 brake fluid in which the DOT 4/5.1 fluid is about 50% or less of the mixture.
US07682832B1 Controlling the flow of hydrogen and ammonia from a hydrogen generator during a breakthrough with hydrated copper (II) chloride expansion
Gas flow is controlled to a feed gas consuming device depending on whether a contaminant gas is present. In one embodiment, hydrogen gas flow from a hydrogen gas generator to a hydrogen consuming device, such as a fuel cell, gas chromatograph or a flame ionization detector, is terminated when there is chemical contaminant breakthrough in the hydrogen gas flow. The apparatus relates to the use of a sensor for detecting a predetermined concentration of a chemical contaminant such as ammonia. In one embodiment the apparatus terminates the gas flow when a concentration of ammonia in the gas flow corresponds to a breakthrough (e.g., approximately in the range of 2.0% or greater). The apparatus prevents the ammonia-contaminated hydrogen from disabling such a hydrogen consuming device that would have otherwise received the contaminated gas flow. The apparatus terminates such a hydrogen contaminated gas flow by exposing a breakthrough detection material to the gas flow, wherein this material is substantially only reactive to concentrations of a predetermined contaminant (e.g., ammonia) for terminating the gas flow when such concentrations are indicative of a breakthrough of the contaminant. For instance, such a material may undergo a readily discernible change when in the presence of at least a predetermined concentration of the contaminant corresponding to a breakthrough. Such a discernible change in the breakthrough detection material may be, e.g., one or more of: a change in volume, density, opacity, color and/or electrical conductivity or potential. The 20 detection material may be used in series with other substances that chemically and physically capture impurities in the gas flow until the detection material reacts, stopping the flow of hydrogen to the hydrogen consuming device.
US07682830B2 Product shelf life monitoring systems
A product shelf life monitoring system comprises a label substrate bearing upon a limited portion of its area an active indicator composition responsive to varying temperature over time to effect a visible change in color density or the like. The susceptibility of the indicator composition to similarly respond to incidence of vagrant ultraviolet light is ameliorated by application of an area of ultraviolet light absorbent composition in register above the indicator composition and extending at most only slightly beyond the periphery of the area of indicator composition. In this manner, the remaining area of the label is preserved for ultraviolet light responsive implementations, and only a minimal, economical amount of ultraviolet light absorbent composition is expended.
US07682829B2 Methods for corn transformation
The present invention relates to a novel transformation system for generating transformed corn plants. In particular, the invention relates to a rapid selection system at an elevated temperature that allows faster and more efficient transformation.
US07682825B2 Differentiation of bone marrow stromal cells to neural cells or skeletal muscle cells by introduction of notch gene
There is provided a method of inducing differentiation of bone marrow stromal cells to neural cells or skeletal muscle cells by introduction of a Notch gene. Specifically, the invention provides a method of inducing differentiation of bone marrow stromal cells to neural cells or skeletal muscle cells in vitro, which method comprises introducing a Notch gene and/or a Notch signaling related gene into the cells, wherein the finally obtained differentiated cells are the result of cell division of the bone marrow stromal cells into which the Notch gene and/or Notch signaling related gene have been introduced. The invention also provides a method of inducing further differentiation of the differentiation-induced neural cells to dopaminergic neurons or acetylcholinergic neurons. The invention yet further provides a treatment method for neurodegenerative and skeletal muscle degenerative diseases which employs neural precursor cells, neural cells or skeletal muscle cells produced by the method of the invention.
US07682823B1 Bioreactor systems
The present invention provides novel bioreactor systems, adapted to provide continuous batch incubation of microbes, especially bacteria. The bioreactor bags are preloaded with dry, inert microbes prior to being shipped to the user. Multiple sterile, preloaded, disposable bioreactor bags are used in a self-contained housing. The bags are automatically incubated in the housing and dispensed, preferably into an irrigation system. The system will provide serial batch production of useful microbes for a week or more, without further supervision or intervention.Methods of manufacture, methods of use, methods of sales, and methods of farming are also provided.
US07682822B2 Ex vivo generated tissue system
The present invention relates to methods of generating an ex vivo tissue-like system in a bioreactor system capable of supporting continuous production of, and output of cells and tissues and an ex vivo tissue system made therefrom.
US07682820B2 Device for pressurized perfusion especially for culturing and/or treating cells
The invention relates to a device for pumping a fluid into a bioreactor. Polsatile pumping is made possible by valve arrangement so that growth of the cells in the bioreactor is increased. Pumping function can be achieved though several mechanisms. A piston can be displaced in a cylinder, especially by an electromagnet, wherein a permanent magnet or likewise an electromagnet can be arranged in the piston. The piston can also be displaced by compressed air. An elastic, hollow body can also be provided, wherein said hollow body can be deformed by mechanical electromagnetic forces so that pumping function is achieved by a change in volume. The pumping device can also be used as implant for assisting or replacing heart function.
US07682815B2 Bioelectrolytical methanogenic/methanotrophic coupling for bioremediation of ground water
The invention disclosure is a method of bioremediation of wastewater, particularly groundwater, by utilizing coupled anaerobic and aerobic biological treatment, more specifically, methanogenic (strictly anaerobic) and methanotrophic (strictly aerobic) microbial populations, in combination with a supply of in-situ generated water-dissolved oxygen and hydrogen. Water electrolysis is used to produce water-dissolved oxygen and hydrogen. The immediate advantage of using H2 from the electrolysis is to provide electron donors to methanogens to reductively dechlorinate the chloroaliphatics, and to reduce the water carbonates and generate methane which is used as energy and carbon source for the methanotrophic bacteria. Oxygen is used as electron acceptor by the aerobic bacteria, including the methanotrophs. The addition of an organic carbon source can be minimized or even eliminated, so as to diminish the competition between methanotrophic bacteria and heterotrophic bacteria for oxygen.
US07682813B1 Methane generation from waste materials
An organic solid waste digester for producing methane from solid waste, the digester comprising a reactor vessel for holding solid waste, a sprinkler system for distributing water, bacteria, and nutrients over and through the solid waste, and a drainage system for capturing leachate that is then recirculated through the sprinkler system.
US07682809B2 Direct ATP release sequencing
A method for sequencing a nucleic acid is provided. In certain embodiments, the method includes contacting a nucleic acid duplex comprising a nucleic acid template and a primer annealed to the template with a reagent mix under primer extension conditions to produce an extended primer and ATP. The reagent mix may contain an adenosine-2′-deoxynucleoside tetraphosphate moiety and a polymerase. The method further includes detecting the produced ATP. Also provided are adenosine-2′-deoxynucleoside tetraphosphate moieties that find use in the subject methods. Also provided are kits containing the adenosine-2′-deoxynucleoside tetraphosphate moieties for use in the subject methods.
US07682808B2 Coagulation and fibrinolytic cascades modulator
A thromboplastin reagent comprises (i) TF, (ii) a phospholipid, and (iii) a polyP TFPI blocker.
US07682807B2 Alzheimer's disease diagnosis based on mitogen-activated protein kinase phosphorylation
A method of diagnosing Alzheimer's disease in a patient comprises determining whether the phosphorylation level of an indicator protein in cells of the patient after stimulus with an activator compound is abnormally elevated as compared to a basal phosphorylation level, the indicator protein being e.g. Erk1/2 and the activator compound being e.g. bradykinin.
US07682802B2 Assay solution compositions and methods for GPCR arrays
Buffered assay solutions for performing 1) binding or 2) functional assays on GPCR arrays, along with methods for their use are described. The buffered assay solution has an underlying composition having: a buffer reagent with a pH in the range of about 6.5 to about 7.9; an inorganic salt of either a monovalent or divalent species, at a concentration from about 1 mM to about 500 mM; and optionally a combination of: c) a blocker reagent at a concentration of about 0.01 wt. % to about 2 wt. % of the composition, or d) protease-inhibitor at a concentration of about 0.001 mM to about 100 mM. In an embodiment for functional assay uses, the composition is modified to also include a GTP-analogue, a guanosine 5′-diphosphate (GDP) salt, and/or an anti-oxidant reagent.
US07682800B2 Agents that bind to and inhibit human cytochrome P450 2C19
The invention provides monoclonal antibodies and other binding agents to human cytochrome P450 2C19 having advantageous properties, including capacity substantially to inhibit enzyme activity of human cytochrome P450 2C19 and lack of specific binding to other human cytochrome P450s. The binding agents of the invention are useful inter alia in methods for screening drugs for metabolism by cytochrome P450 2C19, and in methods of measuring P450 2C19 levels in individuals relative to P450 2C19 levels in a control population.
US07682798B2 Rapid classification of biological components
A method is disclosed for analyzing a biological sample by antibody profiling for identifying forensic samples or for detecting the presence of an analyte. In an illustrative embodiment of the invention, the analyte is a drug, such as marijuana, Cocaine (crystalline tropane alkaloid), methamphetamine, methyltestosterone, or mesterolone. The method involves attaching antigens of the surface of a solid support in a preselected pattern to form an array wherein the locations of the antigens are known; contacting the array with the biological sample such that a portion of antibodies in the sample reacts with and binds to antigens in the array, thereby forming immune complexes; washing away antibodies that do not form immune complexes; and detecting the immune complexes, thereby forming an antibody profile. Forensic samples are identified by comparing a sample from an unknown source with a sample from a known source. Further, an assay, such as a test for illegal drug use, can be coupled to a test for identity such that the results of the assay can be positively correlated to a subject's identity.
US07682796B2 Compositions and methods for detection, prevention, and treatment of anthrax and other infectious diseases
Compositions and methods for the detection, prevention, or treatment of anthrax or other infectious diseases. In one aspect, the present invention provides methods for immunizing humans or animals against Bacillus anthracis or other capsulated pathogens. The methods include administering a capsular polypeptide of a pathogen of interest and a CD40 agonist to a human or animal. The capsular polypeptide or the CD40 agonist is administered in such an amount or frequency that an immunoprotective response can be elicited in the human or animal against the pathogen of interest. In another aspect, the present invention provides methods of using passive immunization with anti-capsular polypeptide antibodies to prevent or treat infections caused by Bacillus anthracis or other pathogens. In yet another aspect, the present invention provides methods useful for diagnosis of anthrax by detection of capsular polypeptide in serum or other biological samples.
US07682795B2 Method of diagnosing Alzheimer's Disease
The present invention provides methods of diagnosing Alzheimer's Disease in a subject. The methods generally involve detecting carboxyl-terminal truncated forms of apoE in a biological sample from the subject. The present invention further provides kits for carrying out the diagnostic methods of the invention.
US07682794B2 Methods for detecting and analyzing N-glycolylneuraminic acid (Neu5Gc) in biological materials
The present application is in the field of sialic acid chemistry, metabolism and antigenicity. More particularly, the present invention relates to the detection and analysis of the non-human sialic acid, N-glycolylneuraminic acid (Neu5Gc) in bio-logical materials, such as food and clinical specimens. Such detection and analysis is facilitated by the use of Neu5Gc specific antibodies. The present invention also relates to the detection of anti Neu5Gc antibodies in clinical samples, as well as the production of anti-Neu5Gc specific antibodies.
US07682782B2 System, method, and product for multiple wavelength detection using single source excitation
An embodiment of a method for adjusting system gain of a biological probe array scanner for a plurality of fluorophore species is described that comprises setting an excitation beam comprising an excitation wavelength at a first power level that elicits an optimal signal to noise ratio response from a first fluorophore species; scanning a biological probe array with the excitation beam; setting the excitation beam comprising the excitation wavelength at a second power level different than the first power level that elicits the optimal signal to noise ratio response from a second fluorophore species; and scanning the biological probe array with the excitation beam.
US07682780B2 Industrial X-ray photosensitive material
An industrial X-ray photosensitive material including at least one silver halide emulsion layer on both sides of a transparent support, wherein the silver halide emulsion layer contains tabular silver halide particles having an average particle thickness of less than 0.2 μm and an aspect ratio of more than 8, a core of the particles which is a core having a volume of 1% or more and less than 3% of a particle volume does not contain Ir or Rh, and a shell of the particles which is a shell having a volume of 97% or more and less than 99% of a particle volume contains at least Ir or Rh. An industrial X-ray photosensitive material having rapid processing suitability, as well as high sensitivity and high contrast is provided.
US07682775B2 Process for preparing a flexographic printing plate
A process for preparing a flexographic printing plate comprising providing a photosensitive element comprising a support and at least one photopolymerizable layer, providing a photomask adjacent the photopolymerizable layer opposite the support, exposing the photosensitive element with ultraviolet radiation between 200 and 300 nm through the photomask, exposing the photosensitive element with ultraviolet radiation between 310 and 400 nm through the photomask to photopolymerize areas of the photopolymerizable layer, and treating the exposed photosensitive element to remove unpolymerized areas, thereby forming a relief surface suitable for printing.
US07682772B2 Resist composition, method of forming resist pattern, novel compound, and acid generator
A compound represented by general formula (I); and a compound represented by general formula (b1-1).[Chemical Formula 1] X-Q1-Y1—SO3−M+  (I) X-Q1-Y1—SO3−A+  (b1-1) wherein Q1 represents a divalent linkage group or a single bond; Y1 represents an alkylene group which may have a substituent or a fluorinated alkylene group which may have a substituent; X represents a cyclic group of 3 to 30 carbon atoms which may have a substituent, and has an —SO2— bond in the structure thereof; M+ represents an alkali metal ion; and A+ represents an organic cation.
US07682771B2 Compositions containing photosensitive fluorochemical and uses thereof
In one aspect, the invention provides a fluorochemical composition comprising a mixture of: a fluorochemical selected from the formula: wherein RF is a fluorinated group having the formula: Rf—W—, wherein Rf is a perfluoroalkyl or perfluoropolyether group and W is a divalent linking group; PI is a monovalent pendant organic moiety comprising benzophenone, substituted benzophenone, acetophenone, or substituted acetophenone groups; R is H, CH3, or F; Rh is lower alkyl selected from the group consisting of linear or branched alkyl groups having from 1 to about 8 carbon atoms, cycloalkyl-containing alkyl groups having from 4 to about 8 carbon atoms, and cycloalkyl groups having from 3 to about 8 carbon atoms, all optionally containing catenated O or N atoms; m is at least 2; n is at least 1; q is zero or greater; and a hydrofluoroether.
US07682769B2 Method of manufacturing toner, toner, and image forming method
Disclosed is a method of manufacturing toner possessing the steps of conducting a polymerization process for acquiring wax-containing polyester resin particles via condensation-polymerization of carboxylic acid and alcohol employing oil droplets after forming the oil droplets made of wax and a polymerizable monomer containing at least one kind of carboxylic acid with divalence or more and at least one kind of alcohol with divalence or more in an aqueous medium containing a surfactant including a compound having a long chain hydrocarbon group and acidic group, and conducting a process of coagulating at least the wax-containing polyester particles in the aqueous medium.
US07682760B2 Pattern formation method using Levenson-type mask and method of manufacturing Levenson-type mask
A method of forming a pattern including a first pattern portion having a first minimum dimension and a second pattern portion having a second minimum dimension includes a first exposure step of performing exposure using a Levenson-type mask and a second exposure step of performing exposure using a half tone-type mask. When second minimum dimension is 1.3 time or more than the first minimum dimension, the exposure amount of the second exposure step is set to be equal to or smaller than the exposure amount of the first exposure step.
US07682758B2 Reflection mask for EUV photolithography and method of fabricating the reflection mask
A reflection mask for extreme ultraviolet (EUV) photolithography and a method of fabricating the same, in which the reflection mask includes a substrate, a lower reflection layer formed in a multi-layer structure on the substrate and including a material reflecting EUV light, an upper reflection layer formed in a multi-layer structure on the lower reflection layer and reflecting EUV light, and a phase reversing layer formed between the lower reflection layer and the upper reflection layer in a certain pattern and causing destructive interference between reflection light from the upper reflection layer and reflection light from the lower reflection layer. An incidence of a shadow effect can be reduced and unnecessary EUV light can be eliminated, so that a pattern on the reflection mask can be projected precisely on a silicon wafer. Since the phase reversing layer includes the same material as the reflection layer and an absorption layer, mask fabrication processes can be handled easily.
US07682756B2 Anti-aberration pattern and method for manufacturing the same
The invention is directed to a pattern on a mask. The pattern comprises a main dense pattern, a first anti-aberration pattern and a second anti-aberration pattern. The main dense pattern comprises a first outmost sub-pattern and a second outmost sub-pattern, wherein the first outmost sub-pattern and the second pattern are not adjacent to each other. The first anti-aberration pattern is located adjacent to the first outmost sub-pattern with a first distance. The second anti-aberration pattern is located adjacent to the second outmost sub-pattern with a second distance, wherein the first distance and the second distance are different from each other.
US07682752B2 Battery
A battery in which the relative positions of a cathode, an anode and a separator are maintained with high precision is provided. A cathode and an anode face each other with a polymer electrolyte including a polymer and a separator in between. In each of the cathode and the anode, an active material layer is disposed on a current collector. Exposed regions where the active material layers are not disposed on the current collectors and the separator are adhered to each other with the polymer electrolyte in between. Thereby, even under a high-temperature environment, the heat shrinkage of the separator can be prevented, and heat generation due to the generation of a short-circuit current can be prevented.
US07682749B2 Non-aqueous electrolyte secondary battery
A non-aqueous electrolyte secondary battery uses, as its positive electrode or negative electrode, an electrode containing an active material and a conductive agent, the active material being made of a mixture of a molybdenum dioxide and a lithium titanium oxide in a weight ratio (molybdenum dioxide: lithium titanium oxide) of from 90:10 to 50:50, and the conductive agent containing graphitized vapor grown carbon fiber and massive graphite. The massive graphite has an average particle size of 10 μm or greater.
US07682747B2 Positive electrode active material and non-aqueous electrolyte secondary battery containing the same
The present invention provides a high-capacity and low-cost non-aqueous electrolyte secondary battery, comprising: a negative electrode containing, as a negative electrode active material, a substance capable of absorbing/desorbing lithium ions and/or metal lithium; a separator; a positive electrode; and an electrolyte, wherein the positive electrode active material contained in the positive electrode is composed of crystalline particles of an oxide containing two kinds of transition metal elements, the crystalline particles having a layered crystal structure, and oxygen atoms constituting the oxide forming a cubic closest packing structure.
US07682740B2 Organic/inorganic composite porous layer-coated electrode and electrochemical device comprising the same
Disclosed is an electrode comprising a first organic/inorganic composite porous coating layer formed on its surface, wherein the first coating layer includes inorganic particles and a binder polymer for interconnecting and fixing the inorganic particles, and has micropores formed by interstitial volumes among the inorganic particles. An electrochemical device including the same electrode is also disclosed. Further, disclosed is a method for manufacturing an electrode having an organic/inorganic composite porous coating layer on the surface thereof, comprising the steps of: (a) coating a current collector with slurry containing an electrode active material and drying it to provide an electrode; and (b) coating the surface of electrode obtained from step (a) with a mixture of inorganic particles with a binder polymer. A lithium secondary battery including the electrode shows improved safety and minimized degradation in battery performance.
US07682732B2 Secondary battery module having protrusions on a barrier rib
A secondary battery module has a plurality of unit batteries adjacently spaced apart from each other. A barrier rib is disposed between adjacent unit batteries, the barrier rib having a plurality of protrusions.
US07682731B2 Separator with long-term stability for an electrochemical cell
A separator for an electrochemical cell, comprising (A) a flexible perforate support, (B) a porous first ceramic material which fills the perforations in the support and which (i) has a pore structure which is characterized by an average pore size, and (ii) is suitable for receiving an ion-conducting electrolyte, wherein (C) the electrolyte-contactable pore surface of the first porous ceramic material is covered with fine particles of a further material to extend the use life, the average size of the fine particles being in the range from 0.5 to 30% and preferably in the range from 1 to 15% of the average pore size of the ceramic material.
US07682730B2 Battery cathode
A cathode having a groove extending about 10 to about 450 microns into a surface of the cathode. The cathode can also be roughened.
US07682728B2 Electrochemical battery incorporating internal manifolds
An electrochemical battery includes a plurality of cells, each cell including negative and positive compartments to contain electrolyte solution. A manifold includes an outer manifold plate coupled to an inner manifold plate to supply and return electrolyte solution to the compartments. Each manifold plate includes supply shunt passages to convey electrolyte solution to the cells and return shunt passages to receive electrolyte solution from the cells.
US07682725B2 Gas diffusion electrode and method for its production
A gas diffusion electrode for a PEM fuel cell includes a metallic catalyst, and an electrocatalyst layer having a polymer A for hydrophobicizing the electrocatalyst layer and a uniform thickness of between 3 to 40 μm, especially 25 μm. The polymer A content is less than 10% by weight based on the metallic catalyst content. Methods of producing and of hydrophobicizing the electrode include screen printing a paste onto a carrier and removing the screen-printing medium by heating. The paste includes at least one metallic catalyst with a content of polymer A up to at most 10% by weight, and a screen-printing medium. The electrocatalyst layer of the electrode has a significantly lower content of the catalyst inhibitor TEFLON® because it is not added only to the screen-printing paste but is subsequently applied, with the same surface-specific effect, by dipping the finished electrocatalyst layer in a solution containing TEFLON®.
US07682724B2 Use of metal supported copper catalysts for reforming alcohols
This invention is directed to a process for reforming an alcohol. The process comprises contacting an alcohol with a reforming catalyst comprising copper at the surface of a metal supporting structure, preferably a metal sponge supporting structure comprising nickel. In a certain preferred embodiment, hydrogen produced by the reforming process is used as a fuel source for a hydrogen fuel cell to generate electric power, particularly for driving a vehicle.
US07682722B2 Membrane-electrode assembly, polymer membranes for a membrane-electrode assembly, polymer electrolyte fuel cells, and methods for the production thereof
A membrane-electrode assembly and polymer electrolyte fuel cells and methods of production thereof, in which a polymer membrane, containing at least one basic polymer membrane, is sandwiched between two flat gas diffusion electrodes each of which is loaded with a dopant, whereby after reaching a mass transport equilibrium for the exchange of the dopant between the gas diffusion electrodes and the polymer membrane, the polymer membrane has a conductivity of at least 0.1 S/m at a temperature of no less than 25° C.
US07682718B2 Fuel processor feedstock delivery system
Fuel processing and fuel cell systems with feedstock delivery systems that are designed to deliver a mixed component feed stream to a hydrogen-producing region for the production of hydrogen gas therefrom and to selectively deliver the feed stream to a heating assembly for use as a combustible fuel stream for heating at least the hydrogen-producing region. The feed stream contains water and a carbon-containing feedstock, and may contain at least 31 vol % water. In some embodiments, the feedstock delivery system may be adapted to mix the components of the feed stream at a determined mix ratio and to deliver this feed stream to the fuel processor(s). The fuel processing system may also include one or more fuel cell stacks that are adapted to produce an electric current from the product hydrogen stream produced by the fuel processing system.
US07682709B1 Germanium doped n-type aluminum nitride epitaxial layers
A method of preparing an n-type epitaxial layer of aluminum nitride conductively doped with germanium comprises directing a molecular beam of aluminum atoms onto the growth surface of a substrate that provides an acceptable lattice match for aluminum nitride; directing a molecular beam of activated nitrogen to the growth surface of the substrate; and directing a molecular beam of germanium to the growth surface of the substrate; while maintaining the growth surface of the substrate at a temperature high enough to provide the surface mobility and sticking coefficient required for epitaxial growth, but lower than the temperature at which the surface would decompose or the epitaxial layer disassociate back into atomic or molecular species.
US07682705B2 Metal sheet having a microstructure relieved of notching, carrier body having a plurality of sheets, and exhaust system having the carrier body
A metal sheet having a microstructure relieved of notching, a carrier body having a plurality of sheets, and an exhaust system having the carrier body, are distinguished by a particularly long useful life in an automobile. Moreover, it is possible to bring about flow profiles coordinated exactly with the respective fields of use, so that a particularly efficient or extremely adaptable carrier body for purifying the exhaust gas of automobiles is provided.
US07682702B2 Process for preparing water-absorbing polymer particles
A process for producing water-absorbing polymeric particles comprises the steps of i) drying a hydrogel to produce a dried hydrogel, ii) separating incompletely dried polymeric particles from the dried hydrogel, and iii) drying the separated-off incompletely dried polymeric particles, wherein the separated incompletely dried polymeric particles are comminuted before said drying iii).
US07682696B2 Medical article and method of making and using the same
The packaged medical article can comprise the medical article and a protective layer capable of inhibiting exposure of the medical article to a color change activator prior to use of the medical article. The medical article comprises a plastic portion and an active color changing specie, wherein the color changing specie is capable of changing color after exposure to a color change activator. The method for producing a medical article can comprise: combining thermoplastic resin with a blocked color changing specie to form a resin mixture and manufacture processing the resin mixture to form a packaged medical article. This manufacturing processing comprises forming the resin mixture into the medical article and packaging the medical article to form a packaged medical article. The color changing specie will not affect the structural integrity of the medical article when it changes color.
US07682691B2 Resin composition of layered silicate
A resin composition, substrate material, sheet, laminated board, resin-bearing copper foil, copper-clad laminate, TAB tape, printed board, prepreg and adhesive sheet are provided which exhibit improved mechanical properties, dimensional stability, heat resistance and flame retardance, particularly high-temperature physical properties. The resin composition containing 100 parts by weight of a thermosetting resin and 0.1-65 parts by weight of a layered silicate inorganic compound, the resin composition having a mean linear expansion coefficient (α2) of up to 17×10−3 [° C.−1 ] over the temperature range from a temperature 10° C. higher than a glass transition temperature of the resin composition to a temperature 50° C. higher than the glass transition temperature of the resin composition.
US07682688B2 Microporous materials, methods, and articles for localizing and quantifying analytes
Described herein are methods for separating one or more analytes present in a fluid sample. The methods involve passing the fluid through a filter having a microporous material, wherein the analytes are localized near the surface of the microporous material. Additional processing steps such as hybridization and amplification can be performed once the analyte is localized. In one method, once the analyte is localized, the analyte can be detected, counted, and correlated in order to determine the concentration of the analyte in the sample. Modified microporous materials and composite materials are also disclosed that can be used in any of the methods and articles described herein. The composite is composed of a microporous material and a pigment, wherein the pigment is incorporated in the microporous material. The pigments alter the optical properties of the microporous material, which enhances the detection of analyte once it is localized. In a further aspect, various kits and articles such as filtration devices containing any of the microporous materials described herein are provided.
US07682687B2 Forgery-preventing film
Disclosed is a forgery-preventing film having a structure in which a thermoplastic resin film is attached to both faces of a light-shielding layer processed for forgery prevention. It is impossible to recognize the letter information to be protected in the forgery-preventing film without peeling the information-concealing layer therefrom.
US07682683B2 Stretch film
A stretch film with a base (1) consisting of a film material and with a multitude of holes (2) that are formed in the base (1) in several rows (3) of holes extending, in essence, in parallel to each other and in a main direction (X) comprises at least one layer of strips (4) consisting of a film material and extending in the main direction (X), said layer being arranged on the base (1) between the rows (3) of holes. Owing to the applied strips (4), a high stretchability of the stretch film can be achieved.
US07682672B2 Negative C-type compensation film and method of preparing the same
Disclosed are a negative C-type compensation film and a method of preparing the same. The negative C-type compensation film includes a) a base layer, and b) a polymer layer comprising polyarylate prepared by a method comprising the step of copolymerizing divalent phenols, divalent aromatic carboxylic acid halides, and allyl bisphenol derivatives, the base layer and the polymer layer being sequentially layered. The compensation film is capable of being used for the negative C-type compensation film without a stretching process, significantly reduces the thickness of the compensation film, and has significantly improved interlayer adhesion force in a multilayer structure.
US07682668B2 Decorative paper comprising electrically charged fibers
The invention relates to a method and an apparatus for the production of décor paper (7) having an abrasion-resistant surface. The production method includes applying a coating of abrasion resistant particles and resin to the décor paper, followed by applying electrically charged fibers onto the coating. The invention further relates to paper made according to said method.
US07682656B2 Process and apparatus for producing a coated product
The present invention relates to a process for producing a coated product comprising: (a) coating a substrate with a coating material to form a coated substrate; and (b) stabilizing the coated substrate to form the coated product; wherein the operating parameter of substrate-substrate contact and coated substrate-coated substrate contact differs between step a) and step b), such that in step b) the contact is minimized. An apparatus is also provided.
US07682646B2 Process for preparation of canola protein isolates
A novel canola protein isolate having predominantly of 2S canola protein and having improved solubility properties, has an increased proportion of 2S canola protein and a decreased proportion of 7S canola protein. The novel canola protein isolate is formed by heat treatment of aqueous supernatant from canola protein micelle formation and precipitation, to effect precipitation of 7S protein which is sedimented and removed. Alternatively, the novel canola protein isolate may be derived from a selective membrane procedure in which an aqueous canola protein solution containing 12S, 7S and 2S canola proteins is subjected to a first selective membrane technique to retain 12S and 7S canola proteins in a retentate, which is dried to provide a canola protein isolate consisting predominantly of 7S canola protein, and to permit 2S canola protein to pass through the membrane, the permeate is subjected to a second selective membrane technique to retain 2S canola protein and to permit low molecular weight contaminants to pass through the membrane, and the retentate from the latter membrane technique is dried.
US07682645B2 Method for producing cooked scrambled egg product
The present invention is directed to a process and system for producing scrambled eggs having a homogenous firmness. The process and system maximizes scrambled egg product consistency and minimizes channeling, fouling and equipment wear previously associated with production of scrambled eggs by periodically interrupting the flow of egg through the system of the invention.
US07682644B2 Fat and oil composition for spreads
An object of the present invention is to provide a fat and oil composition for spreads having good oral solubility and spreadability without greasiness. A fat and oil composition for spreads of the present invention is composed of a continuous fat and oil phase and a water phase, characterized in that the fat and oil phase comprises (a) a liquid-state fat and oil containing, as a main ingredient, triglycerides having fatty acids with 8 to 10 carbon atoms in an amount of not less than 10% by mass of the total constitutional fatty acids; (b) a low melting transesterified fat and oil obtained by subjecting 40 to 90 parts by mass of the palm based fat and oil and 60 to 10 parts by mass of a liquid-state fat and oil to transesterification with a 1,3-position-specific lipase; and (c) a solid-form fat and oil having an open-tube melting point under increasing temperature of 38° C. or higher.
US07682640B2 Process for producing baked bread crumb product and baked bread crumb product
A process for producing a baked bread crumbs product includes the first step of baking bread crumbs with added sugar, mixing vegetable fat with the bread crumbs-sugar baked mixture to form an intermediate product, and then roasting the intermediate product. A baked bread crumbs product includes a mixture of bread crumbs and sugar baked together forming a baked mixture, and a mixture of vegetable fat and the baked mixture forming an intermediate product, the intermediate product being roasted and having a reddish-brown coloration characteristic of frying.
US07682638B2 Use of hederagenin 3-O-α-L-rhamnopyranosyl(1-2)-[β-D-glucopyranosyl(1-4)]-α-L-arabinopyranoside or an extract from pulsatillae radix containing the same as a therapeutic agent for solid tumors
This invention relates to a use of hederagenin 3-O-α-L-rhamnopyranosyl((1→2)-[β-D-glucopyranosyl(1→4)]-α-L-arabinopyranoside or a Pulsatillae radix extract containing the same as a therapeutic agent for solid tumors. Also, this invention relates to a method of preparing a therapeutic agent for solid tumors by using hederagenin 3-0-α-L-rhamnopyranosyl(1→2)-[β-D-glucopyranosyl(1→4)]-α-L-arabinopyranoside, or a method of treating a subject suffering from solid tumors, comprising administering to the subject a therapeutically effective dose of hederagenin 3-0-α-L-rhamnopyranosyl(1→2)-[β-D-glucopyranosyl(1→4)]-α-L-arabinopyranoside.
US07682634B2 Pharmaceutical compositions
Provided herein is a pharmaceutical composition comprising an antagonist, an agonist, a seal coat, and a sequestering polymer, wherein the antagonist, agonist, seal coat and at least one sequestering polymer are all components of a single unit, and wherein the seal coat forms a layer physically separating the antagonist from the agonist from one another. Methods for manufacturing such a pharmaceutical composition are also provided.
US07682628B2 Compositions for delivering hypnotic agents across the oral mucosa and methods of use thereof
The present invention provides novel compositions for the delivery of a hypnotic agent across the oral mucosa. In particular, the buffer system in the compositions of the present invention raises the pH of saliva to a pH greater than about 7.8, thereby facilitating the substantially complete conversion of the hypnotic agent from its ionized to its un-ionized form. As a result, the dose of hypnotic agent is rapidly and efficiently absorbed by the oral mucosa with surprisingly low inter-subject variability. Furthermore, delivery of the hypnotic agent across the oral mucosa advantageously bypasses hepatic first pass metabolism of the drug and avoids enzymatic degradation of the drug within the gastrointestinal tract. Methods for using the compositions of the present invention for treating sleep disorders such as insomnia are also provided.
US07682627B2 Artificial low-density lipoprotein carriers for transport of substances across the blood-brain barrier
This invention relates to a highly efficient artificial low-density lipoprotein (LDL) carrier system for the targeted delivery therapeutic agents across the blood-brain barrier (BBB). In particular, this invention relates to artificial LDL particles comprised of three lipid elements: phosphatidyl choline, fatty-acyl-cholesterol esters, and at least one apolipoprotein. The present invention further relates to compositions, methods and kits comprising artificial LDL particles for targeting drugs to and across the BBB for the prevention and treatment of brain diseases.
US07682626B2 Polyvinylethers for delivery of polynucleotides to mammalian cells
A class of polymers for delivery of polynucleotides to cells in described. More specifically, amphiphilic polyvinylethers and compositions containing amphiphilic polyvinylethers are described.
US07682624B2 Method for treating wounds to promote healing
A method of treating a mammal to promote wound healing in the mammal in need thereof, comprising orally administering to the mammal an effective amount of a palatable, concentrated protein composition comprising an effective amount of hydrolyzed gelatin and tryptophan, and an ingestible carrier, the composition comprising the essential amino acids required by the mammal. Palatability is preferably achieved by the use of an effective amount of a sweetener. The method is particularly useful for treating wounds resulting from decubitus ulcers and bariatric surgery.
US07682621B2 Transparent topical cosmetic gel having colored fibers and method of using
There is provided a cosmetic gel. The gel has a multiplicity of colored fibers; one or more gellants; a film former different than the gellant if need for the gel to dry to a smooth film; and one or more liquid vehicles. The gel is substantially translucent, preferably transparent, and has a viscosity of about 100,000 cps to about 300,000 cps. The colored fibers are dispersed within the gel. The cosmetic gel dries to a dry, smooth film upon topical application. There is also a method for imparting color to the skin, hair, eyebrows, and eyelashes.
US07682620B2 Gelled aqueous cosmetic compositions
The invention relates to cosmetic or pharmaceutical composition comprising an oil-containing biliquid foam dispersed in a salt-containing aqueous phase, in which the aqueous phase, having a pH of less than about 7, is gelled by a polymeric sulfonic acid. A particularly preferred gellant is ammonium poly(acryldimethyltauramide-co-vinylformamide). Unlike many other types of gels, these gels are stable at an acid pH in the presence of substantial amounts of electrolytes, and therefore are useful in delivering acidic active components.
US07682617B2 Synergistic phytoceutical compositions
Phytoceutical compositions for the prevention and treatment of circulatory disorders, feminine endocrine disorders, and dermal disorders. A specific combination of extracts of plants is taught, as well as principles for varying the formulations based on categorizing plants into one of three groups, Energy, Bio-Intelligence, and Organization and selecting several plants from each group. Such combinations have synergistic effects, with minimal side effects.
US07682615B2 Immune modulating compounds from fungi
The present invention relates to compositions comprising polypeptides and polysaccharides. The compositions are in general immune modulating. The invention also discloses methods of producing these compositions using filamentous fungi cultivated in liquid medium. The compositions are useful for example in the treatment of immune compromised conditions.
US07682610B2 Method of modulating the activity of functional immune molecules
The invention relates to a method for controlling the activity of an immunologically functional molecule, such as an antibody, a protein, a peptide or the like, an agent of promoting the activity of an immunologically functional molecule, and an immunologically functional molecule having the promoted activity.
US07682607B2 Wnt and frizzled receptors as targets for immunotherapy in head and neck squamous cell carcinomas
The diverse receptor-ligand pairs of the Wnt and frizzled (Fzd) families play important roles during embryonic development, and thus may be overexpressed in cancers that arise from immature cells. The mRNA levels and expression levels of 5 Wnt (Wnt-1, 5a, 7a, 10b, 13) and 2 Fzd (Fzd-2, 5) genes in 10 head and neck squamous carcinoma cell lines (HNSCC) were investigated. In addition, anti-Wnt-1 antibodies were used to study the Wnt/Fzd signalling pathway. These results indicate that HNSCC cell lines overexpress one or more Wnt and Fzd genes, and the growth and survival of a subset of HNSCC may depend on the Wnt/Fzd pathway. Therefore, The Wnt and Fzd receptors may be useful targets for immunotherapy of this common cancer.
US07682605B2 Water-resistant mascara composition having a high water content
The invention relates to a water-resistant mascara composition that has a high water content. The inventive mascara composition contains an oil phase comprising a liquid ester, an oil, or a mixture thereof, 1 to 50 percent by weight of a silicon-based film-forming agent, 1 to 10 percent by weight of a gel-forming agent selected among fatty acid esters, glycol derivatives, or mixtures thereof, 1 to 50 percent by weight of substances selected among pigments, powders, fillers, and mixtures thereof, an aqueous phase comprising 42 to 75 percent by weight of water, 0.1 to 10 percent by weight of a surfactant, and other carrier substances, auxiliary agents, active substances, or mixtures thereof until reaching 100 percent by weight. Said composition contains no wax and no hydrocarbon solvent.
US07682603B2 Polymersomes incorporating highly emissive probes
The instant invention concerns compositions comprising polymersomes, visible or near infrared emissive agents, and optionally a targeting moiety associated with a surface of the polymersome. The invention also relates to use of these compositions in the treatment of disease and in imaging methodology.
US07682600B2 Process for preparing MTT zeolites using N,N,N,N′,N′,N′-hexamethyl-propane-1,3-diammonium dication structure directing agent
The present invention rebates to a process for preparing zeolites having the MTT framework topology defined by the connectivity of the tetrahedral atoms in the zeolite, such as zeolites SSZ-32 and ZSM-23, using an N,N,N,N′,N′,N′-hexamethyl-propane-1,3-diammonium dication as a structure directing agent.
US07682598B2 Alkali-containing catalyst formulations for low and medium temperature hydrogen generation
The invention is directed toward methods of using alkali-containing catalysts for generation of hydrogen-rich gas at temperatures of less than about 260° C. A WGS catalyst of the invention may have the following composition: a) at least one of Pt, Ru, their oxides and mixtures thereof; b) Na, its oxides or mixtures thereof; and optionally, c) Li, its oxides and mixtures thereof. The catalysts may be supported on a variety of catalyst support materials. The invention is also directed toward catalysts that exhibit both high activity and selectivity to hydrogen generation and carbon monoxide oxidation.
US07682595B2 Process of producing lithium metal composite oxide particles
There is provided a lithium secondary battery having a high capacity and excellent high-rate discharge characteristic and charge/discharge cycle characteristic. The lithium secondary battery comprises a negative electrode, a positive electrode and an ionic conductor, wherein the positive electrode comprises lithium metal composite oxide particles; the lithium metal composite oxide particles comprise a plurality of secondary particles in an elongated shape each comprised of a plurality of primary particles with an average particle size of 0.1 to 1 μm so aggregated as to form a void therebetween; and the secondary particle is columnar or planar and has an average size in a long length direction of 5 to 15 μm.
US07682591B2 Embedded nanoparticle films and method for their formation in selective areas on a surface
The invention is directed to a method of positioning nanoparticles on a patterned substrate. The method comprises providing a patterned substrate with selectively positioned recesses, and applying a solution or suspension of nanoparticles to the patterned substrate to form a wetted substrate. A wiper member is dragged across the surface of the wetted substrate to remove a portion of the applied nanoparticles from the wetted substrate, and leaving a substantial number of the remaining portion of the applied nanoparticles disposed in the selectively positioned recesses of the substrate. The invention is also directed to a method of making carbon nanotubes from the positioned nanoparticles.
US07682588B2 Process for the manufacture of sodium carbonate
Process for the manufacture of sodium carbonate crystals comprising: the addition of solid sodium carbonate (1) to an aqueous solution comprising sodium bicarbonate and sodium carbonate; the crystallization and the separation of sodium sesquicarbonate crystals; (B, C) the crystallization of sodium carbonate crystals, (E) the amount of sodium carbonate added being adjusted so that the crystallization of sesquicarbonate crystals can be carried out without preliminary evaporation of the aqueous suspension.
US07682587B2 Fuel cell reformer
A fuel cell reformer includes a main body having a first pipe with a second pipe inside the first pipe, a thermal source unit in the second pipe, a reforming reaction unit in a first region between the first pipe and the second pipe to generate a reforming gas containing hydrogen through a reforming reaction of a fuel, and a carbon monoxide reduction unit in a region other than the first region between the first pipe and the second pipe to reduce a concentration of carbon monoxide contained in the reforming gas. A thermal treatment unit in the main body supplies thermal energy to the reforming reaction unit and the carbon monoxide reduction unit at a time of initial driving of the reformer such that the supplied thermal energy corresponds to a unique operational temperature range in the reforming reaction unit, and to a unique operational temperature range in the carbon monoxide reduction unit.
US07682585B2 Silicon refining process
Nitrogen and aluminum and fluxing agents (Al2O3, SiO2, CaO and MgO) are added to molten silicon to create an oxy-nitride slag that acts as a sink for dissolved boron and phosphorus. The nitrogen can be added by bubbling nitrogen gas through the molten silicon; the aluminum can be added as aluminum metal or as Al2O3. Normally, the silicon must initially be deoxidized to allow the boron and phosphorus refining reactions to occur. The process may be followed by oxidative refining, SiC settling, the Silgrain process and directional solidification to remove other impurities and produce silicon suitable for use in solar cells. In an alternative version of the process, the molten silicon is passed through a particulate bed formed of a nitrogen-containing compound and an aluminum-containing compound.
US07682581B2 Method for the removal of copper from a zinc sulphate solution
The purpose of the invention is to remove copper selectively from a concentrated zinc sulphate solution by ion exchange. The method enables a significant reduction in the use of zinc powder during the solution purification of zinc sulphate solution and makes possible to avoid usage of arsenic or antimony trioxides as a precipitation chemical. The method is to be combined with the chloride removal that occurs as a sub-stage of solution purification.
US07682579B2 Method and device for nozzle-jetting of oxygen with radial catalyst flow
The invention relates to a method and device for the injection of oxygen in a reformer reactor, for example, for oxydehydrogenation, with an essentially radial throughflow of the gas mixture through a catalytic packing, whereby the incorporation and mixing of the oxygen before entry into the catalyst is significantly improved, in particular for oxydehydrogenation methods. The above is achieved, whereby the oxygen is introduced in pure form, as air, or mixed with inert gas, or with steam, into an annular distribution system (5) and injected out of a number of outlet openings (6) in the annular distribution system, at an angle to the perpendicular, onto the catalyst surface (3).
US07682575B2 Low cost, easy to manufacture scent dispersing mat apparatus
A low cost, easy to manufacture scent-dispersing mat apparatus has a flexible closed cell foam mat containing a plurality of blind holes extending from its upper surface. These blind holes are partially filled with a fragrant liquid scent, creating air spaces thereabove. The upper surface of the flexible closed cell foam mat is sealed with a polymeric sheet that entraps the air spaces in the blind holes, causing entrapped air to become saturated with fragrant scent vapor. The polymeric sheet is perforated by one or more fine needles at the blind hole locations. The perforations permit escape of fragrant scent-saturated air to the ambient when pressure is applied to the top surface of the mat. Upon release of pressure, the foam mat and the blind holes recover their shape; the entrapped air pressure decreases, drawing fresh ambient air into the entrapped air spaces.
US07682570B2 Multiwell plate
A thin multiwell plate for use in the transportation of microbes, animal cells, DNA, etc. The multiwell plate of the present invention comprises a sheet laminate, wherein the laminate has multiple hollow parts in which a water adsorbent material is retained. A multiplicity of microbe samples, etc. can be transported conveniently and safely by causing the water adsorbent material to retain a microbe-containing solution, a DNA sample solution, etc. In particular, an ultrathin multiwell plate can be provided due to construction with a sheet laminate, thereby rendering the transportation thereof extremely easy.
US07682569B2 System equipped with water purification means
The invention relates to an analyzer device of the kind using purified water and including one or more analyzer means adapted to carry out predetermined analyses and defining at least one point of use of the purified water and having a water purification system at least partially formed on board the device. The water purification system includes a variety of water purification modules adapted to produce purified water for the one or more analyzer means having a predetermined purity and in that the purified water is taken up by the one or more analyzer means immediately after it has been purified.
US07682568B2 Pipette with tip container
A pipette including a cylinder part having a cylinder and a tip part, which includes a tip channel connected to the cylinder and a movable piston tightly fit within the cylinder for aspirating liquid into and removing liquid from the tip container attached to the cylinder. In the pipette at least the tip part is made of an elastic material, such as rubber. The tip container can thus be easily and reliably fixed to and removed from the tip container.
US07682567B2 Sensor for analyzing or identifying property of object, sensing apparatus using same, and sensing method
A sensor is provided which comprises a waveguide for allowing an electromagnetic wave to propagate therethrough and disposing an object at a plurality of positions thereof, and a detecting portion for detecting the electromagnetic wave which has interacted with the object at the plurality of positions and propagated through the waveguide, wherein a property of the object is analyzed or identified based on an information obtained from the electromagnetic wave detected by the detecting portion. Thereby, accurate detection can be effected even when the amount of an object is small.
US07682560B2 Fumigation apparatus
As shown in FIG. 1 there is one embodiment of a fumigation apparatus in the form of a module (8) including a panel (10) which can be positioned in or at an opening of an enclosed space in use to define a fumigation chamber. Fumigant inlet means in the form of an orifice (12) are arranged in the panel (10) to allow a flow of a fumigant directly into the fumigation chamber. A nominal number of mixing fans, in this case two fans (16) and (18), thoroughly circulate gases within the fumigation chamber when operating. The module (8) includes a system control box (14) linked to the fumigant delivery orifice (12) and valve system which functions to control the flow of toxic gas into the fumigation chamber. The panel (10), when positioned in use, is secure against the passage of fumigant and gases by means of an inflatable rubber seal (22) which is located around a lip edge perimeter of the panel (10). Extraction means for evacuation of the fumigation chamber gases includes a pipe (28) attached to an orifice (30) located in the lower region of the panel (10), the pipe (28) in turn connected to a contra-rotating fan (34) and an actuated butterfly valve (32) and thence to a pipe exhaust stack (36). Absorption means are operatively coupled to the extraction means on the module (8) to absorb at least part of the fumigant extracted from the fumigation chamber. The absorption means is in the form of a removable absorption bed cartridge (40) and includes activated carbon to which the fumigant attaches or is absorbed and is thus stripped from the gas/fumigant extracted from the fumigation chamber.
US07682556B2 Degassing of molten alloys with the assistance of ultrasonic vibration
An apparatus and method are disclosed in which ultrasonic vibration is used to assist the degassing of molten metals or metal alloys thereby reducing gas content in the molten metals or alloys. High-intensity ultrasonic vibration is applied to a radiator that creates cavitation bubbles, induces acoustic streaming in the melt, and breaks up purge gas (e.g., argon or nitrogen) which is intentionally introduced in a small amount into the melt in order to collect the cavitation bubbles and to make the cavitation bubbles survive in the melt. The molten metal or alloy in one version of the invention is an aluminum alloy. The ultrasonic vibrations create cavitation bubbles and break up the large purge gas bubbles into small bubbles and disperse the bubbles in the molten metal or alloy more uniformly, resulting in a fast and clean degassing.
US07682554B2 Method and apparatus to mechanically shape a composite structure
An apparatus for forming a shaped fibrous nonwoven structure including a delivery system adapted to provide a high speed composite stream comprising thermoplastic polymer fibers and a secondary material. The apparatus also includes a movable collection device having a collection surface which intersects the composite stream, and at least one deflector to mechanically redirect at least a portion of the composite stream. Further the deflector moves in synchronization with the movable collection device, such that the composite stream is collected on the collection surface forming a fibrous nonwoven structure having at least one non-linear edge.
US07682552B2 Capacitive measurement method and system for nanoimprint process monitoring
The present invention relates to a capacitive measurement method and system for a nanoimprint process, which arranges a plurality of electrode plates on both the backside of the master mold and the surface of the supporting base carrying the wafer substrate to form a plurality of capacitive structures. By monitoring the capacitance variation signal caused by the continuous variations in the thickness and the material properties of the resist during the imprint process, the status of the resist can be monitored and recorded, which is used as the references for determining the timing to demold in the nanoimprint process and for maintaining the flatness of the resist. Accordingly, the nanoimprint process can be automated easier and the quality and the throughput of of the nanometer scaled imprint product can be improved.
US07682548B2 Injection molded article, production method thereof and pellets used for injection molded article
To provide injection molded articles that are formed from plant-derived materials as main components and have heat resistance and high crystallization rates.The injection molded article of the present invention includes a resin composition containing: (A) a lactic acid based resin; and (B) a natural fiber that contains 40 mass % to 60 mass % of cellulose, 10 mass % to 30 mass % of lignin, wherein the resin composition contains the lactic acid based resin (A) and the natural fiber (B) in a mass ratio of 99:1 to 70:30, and the lactic acid based resin (A) has a resin composition ratio of L-lactic acid:D-lactic acid=100:0 to 97:3, or L-lactic acid:D-lactic acid=0:100 to 3:97.
US07682547B2 Integrally formed molded parts and method for making the same
A method and device for making integrally formed one-piece molded parts is provided, where the molded parts are to contain a void within their volume. An exemplary such molded part is an arc tube for a discharge lamp. A wax core is first molded onto a core pin wire in the shape of the desired void, the discharge chamber in the case of an arc tube. Then the part is molded over the wax core to provide an integrally formed one-piece part having the appropriately dimensioned void volume therein defined by the wax core. After the part has been hardened around the wax core, the core is removed by conventional means. The holes through the finished molded part left after the core pin wire is removed can be filled in, or in the case of an arc tube they are useful as passageways to accommodate electrodes therethrough.
US07682543B2 Method for manufacturing a weighted base
A method for manufacturing a weighted mounting platform, the method including: of a first injection moldable material, injection molding a substantially rigid body structure further comprising a substantially central hub with a plurality of legs projecting therefrom and an enlarged mass positioned adjacent to an end portion of at least two or more of the plurality of legs distal from the hub; of a second injection moldable material, injection molding a flexible skin covering at least a portion of the body structure between each enlarged mass and the central hub; and subsequent to the injection molding a flexible skin, freeing the enlarged mass positioned adjacent to an end portion of at least two or more of the plurality of legs for motion relative to the central hub.
US07682538B2 Method for extrusion molding a medical application tube
A method for extrusion molding a medical tube from a soft thermoplastic resin. The method requires the use of a mold that provides flow paths sandwiching the axial portion. The mold comprises a mold body, a pin, and a land portion. The extrusion method involves three processes.
US07682534B2 Method for the production of a carbon or ceramic component
A method for the production of a carbon or a ceramic component based on carbon, using a cellulose-containing semi-finished molded piece which is pyrolyzed. According to the invention, homogeneous large-size ceramic components may be produced, whereby a cellulose-containing, semi-finished molded piece containing fibers, chips or strands of homogeneous density distribution and homogeneous structure is used as semi-finished molded piece and is pyrolyzed in non-oxidizing gas atmospheres.
US07682531B2 Process for producing optical member
A method of manufacturing an optical member by mixing isocyanate terminal prepolymer component (A) and aromatic diamine component (B), and immediately after mixing, casting a mixture into a casting mold to obtain a molded article. A method of manufacturing a plastic lens by mixing said components (A) and (B), immediately after mixing, casting a mixture into a casting mold and polymerizing it to obtain a molded article. A gasket for molding plastic lenses comprised of a cylindrical member. A casting mold for molding plastic lenses using this gasket and a monomer casting jig.
US07682523B2 Fluorescent security ink using carbon nanotubes
The present invention is directed toward fluorescent inks and markers comprising carbon nanotubes. The present invention is also directed toward methods of making such inks and markers and to methods of using such inks and markers, especially for security applications (e.g., anti-counterfeiting). Such inks and markers rely on the unique fluorescent properties of semiconducting carbon nanotubes.
US07682521B2 Aligned liquid crystal thin films and glasses
The present disclosure includes a method that allows one to obtain high quality alignment of nematic or smectic liquid crystal (LC) polymer glasses in thin films. The present disclosure also includes thin films of aligned smectic or nematic LC main-chain polymer glasses, including aligned chiral smectic C* ferroelectric LC main-chain polymer glasses. The disclosure also includes electro-optical devices, including electro-optical devices comprising aligned chiral smectic C* ferroelectric LC main-chain polymer glasses.
US07682518B2 Process for etching a metal layer suitable for use in photomask fabrication
Method and apparatus for etching a metal layer disposed on a substrate, such as a photolithographic reticle, are provided. In one aspect, a method is provided for processing a substrate including positioning a substrate having a metal layer disposed on an optically transparent material in a processing chamber, introducing a processing gas processing gas comprising an oxygen containing gas, a chlorine containing gas, and a chlorine-free halogen containing gas, and optionally, an inert gas, into the processing chamber, generating a plasma of the processing gas in the processing chamber, and etching exposed portions of the metal layer disposed on the substrate.
US07682514B2 Supercritical water oxidation apparatus and process
A method for oxidizing an organic material includes the steps of (a) forming a preoxidation mixture comprising the organic material and water, and (b) causing the preoxidation mixture to react with a liquid oxidizer in a continuous flow reactor supercritical conditions for water, including a pressure of at least 3206 psia and a temperature of at least 705° F., to form a post-oxidation mixture containing condensible material and non-condensible material, wherein substantially all of the organic material has been oxidized.
US07682513B2 Water dechlorination means
A device for water dechlorination that includes a housing containing a water-soluble dechlorination media, one or more fluid transfer media that passes through the housing that allows the transfer of water and water-soluble dechlorination media between the inside of the housing and the outside of the housing, and a mechanism for directing water to flow through the one or more fluid transfer media. The chlorine in the water is removed or neutralized by the water-soluble dechlorination media released through the one or more fluid transfer media.
US07682511B2 Method and apparatus for the filtration of biological solutions
A system, method and device are disclosed for bio-processing a feed stream and providing a constant output by operating a continuous single-pass tangential-flow process. The single-pass process provides high conversion concentration while operating at relatively low feed flow rates, and the process can also be used to provide constant output diafiltration.
US07682510B2 Method of sterilization
The present invention relates to a method of sterilizing a chromatography column which is packed with a separation matrix, which method comprises passing a superheated aqueous liquid through the packing of the column to obtain at least one substantially sterile packed chromatography column. The method may also comprise a preceding heating. In the most advantageous embodiment, the superheated aqueous liquid is passed in a substantially uniform flow through the packing of the column, resulting in a sterility of the packed column.
US07682505B2 Method and devices for dry loading of chromatography resins
A module (1) for dry loading and unloading of a chromatography resin, a chromatography column (3) and a method for using such a module (1). The column (3) comprises an inlet valve (39) adapted to load dry chromatography resin particles and an outlet port (35) for pumping the air from de column (3). The outlet port (35) is located above the inlet valve (39).
US07682503B1 Filtration and surge with no moving parts
An improved and more efficient design for an air driven algae filtration system is achieved because there are no moving parts other than an air pump, and the air is used twice. After using air to circulate water through a filtration unit, the air, still under pressure, is separated from the water. The air is then plumbed to other apparatuses or used for cooling. This allows the filter to be utilized using less electricity. The water is de-gassed before reaching the algae filter. Therefore, no splash guard is necessary to prevent water from splashing the lights. With no splash guard, lower wattage lights can be used to illuminate the algae. This also increases the efficiency of this system. This filter also lends itself to maintaining a reef aquarium.
US07682500B2 Hydrocarbon conversion process
A process for the conversion of a feedstock containing light cycle oil and vacuum gas oil to produce naphtha boiling range hydrocarbons and a higher boiling range hydrocarbonaceous stream having a reduced concentration of sulfur.
US07682496B2 Apparatus for depositing seed layers
One embodiment of the present invention is an apparatus for depositing seed layers over a substrate, said substrate includes at least one opening surrounded by a field, the apparatus includes: (a) a CVD chamber adapted to deposit a CVD seed layer over the substrate; (b) a PVD chamber adapted to deposit a PVD seed layer over the substrate; and (c) a controller which includes recipe information, said recipe information includes deposition sequence and process parameters for operation of the deposition chambers, wherein the controller, in response to the recipe information, causes first the CVD chamber to deposit a CVD seed layer over the substrate and then causes the PVD chamber to deposit a PVD seed layer over the CVD seed layer, wherein (i) at least one of the seed layers comprises a material selected from a group consisting of Cu, Ag, or alloys comprising one or more of these metals, (ii) the CVD seed layer having a thickness of less than about 200 Å over the field, (iii) the PVD seed layer having a thickness from about 100 Å to about 2,000 Å over the field, (iv) the PVD seed layer is thicker than the CVD seed layer over the field, and (v) the controller causes the stopping of the deposition of the CVD and the PVD seed layers prior to filling the at least one opening, thereby leaving enough room for electroplating inside the at least one opening.
US07682494B2 Proton conducting materials and devices incorporating them
Materials for use in proton transport characterized by several formulas are disclosed. Mixed ion and electron conductors may include metals and/or ceramic electron conductors and a proton conducting material. Hydrogen separation membranes may include porous layers and an electrolyte layer including a proton conducting material and an electron conductor. Hydrogen separation membranes may be formed by thermal spray techniques. Hydrogen separation membranes may include a catalyst layer. A method of separating hydrogen from a mixed gas stream includes passing the mixed gas through a first porous layer to an electrolyte layer, dissociating protons and electrons, diffusing the protons and electrons through the electrolyte layer, recombining them, and passing molecular hydrogen through a second porous layer.
US07682485B2 Papermaking process
The present invention relates to a process for improving the absorption rate for paper products. The process comprises treating a cellulosic fiber web comprising applying to said cellulosic fiber web at least one polymer; and colloidal particles.
US07682484B2 Apparatus and method for removing volatile components from viscous liquids
A devolatilizer apparatus and method for devolatilization of viscous polymer liquids yields polymer products with very low levels of residual volatile components. A stream of liquid polymer is dropped through a first vacuum chamber, thereby removing a portion of the volatile components. The stream is collected at the bottom of the first chamber, and is re-circulated to a manifold and liquid distributor assembly in a second chamber in the same vessel. The stream flows by gravity through the second chamber, which is maintained at a higher level of vacuum than the first chamber. Improved devolatilization is accomplished by exposing the polymer liquid to multiple stages of vacuum in a single vessel. The first chamber may be located generally above the second chamber, but in the same vessel, with the stream falling through the second chamber a first time before re-circulation to the manifold.
US07682483B2 Vacuum processing chamber and method of processing a semiconductor work piece
A vacuum processing chamber and method of using a vacuum processing chamber are described and which includes a chamber defined by a chamber body, and wherein the chamber body defines an internal cavity; first and second electrodes are mounted in the internal cavity as defined by the chamber body; an RF generator is provided, and which produces single or multiple frequencies and which is electrically coupled to at least one of the first or second electrodes, and which are operable, when energized, to produce a plasma within the internal cavity of the chamber body; and an adjustable component borne by the chamber body, and which is fabricated, at least in part, from a dielectric material, and which selectively adjusts the equivalent dielectric constant which exists between the chamber body and the first electrode.
US07682471B2 Austenitic iron-based alloy
The method of forming hard facing on an engine valve comprising providing an engine valve, providing an austenitic iron-based alloy, the austenitic iron-based alloy including, by weight, about 0.25% to about 0.9% carbon, about 1.5% to about 3.5% boron, about 1% to about 2% silicon, at least 20% chromium, an amount of manganese effective to provide the iron-based alloy with an austenitic structure, and the balance including iron and incidental impurities, and welding the austenitic iron-based alloy to at least a portion of the engine valve.
US07682468B2 Lead-free solder alloy
A lead-free solder alloy suitable for use in flow soldering of electronic components to printed wiring boards comprises 0.1-3 wt % of Cu, 0.001-0.1 wt % of P, optionally 0.001-0.1 wt % of Ge, and a balance of Sn. The solder alloy may further contain at least one element of Ag and Sb in a total amount of at most 4 wt %, and/or at least one element of Ni, Co, Fe, Mn, Cr, and Mo in a total amount of at most 0.5 wt % in order to strengthen the alloy, and/or at least one element of Bi, In, and Zn in a total amount of at most 5 wt % in order to lower the melting point of the alloy.
US07682467B2 High strength hot rolled steel sheet superior in workability, fatigue property, and surface quality
Disclosed is a high-strength hot-rolled steel sheet containing C in a range of 0.03 to 0.15 mass %, Mn in a range of 0.5 to 2 mass %, and Al in a range of 0.01 to 0.1 mass %, respectively, while controlling S to not more than 0.02 mass % (0% included), wherein the metallic structure thereof has a polygonal ferrite as the main phase, and contains martensite as a second phase, further containing P in a range of 0.030 to 0.08 mass %, and Cr in a range of 0.3 to 1.00 mass %, respectively, while controlling Si to not more than 0.1 mass % (0% included). Thus, a high-strength hot-rolled steel sheet superior in workability, and fatigue property, and excellent in surface quality is provided at a relatively low cost.
US07682466B2 Nozzle apparatus
An apparatus is provided that includes a length of pipe having a passageway for communicating a liquid under pressure therethrough, and at least one nozzle in communication with the passageway. The at least one nozzle is configured to discharge a liquid, a portion of which is discharged in a stream, and a portion of which is discharged in a volume of aspirated spray. The aspirated spray effectively shields the pipe and the at least one nozzle from heat radiating within the enclosure. The apparatus further includes a displacement device for controllably displacing the length of pipe. The length of pipe and at least one nozzle are configured to be extended through an opening in the enclosure for discharging a stream of liquid for cleaning a surface within the enclosure.
US07682462B2 Cluster tool process chamber having integrated high pressure and vacuum chambers
A cluster tool includes a transfer chamber connected to a plurality of vacuum chambers. An additional process chamber connected to the transfer chamber includes a high pressure chamber assembly seated on a housing. The high pressure chamber assembly, which is adjustable between an open position and a closed position, includes an upper chamber portion and a lower chamber portion. Hydraulic cylinders mounted on the upper chamber portion and having chamber rods that attach to the lower chamber portion are configured to move the lower chamber relative to the upper chamber portion between the two positions. When the two portions are brought together into the closed, the high pressure chamber assembly forms a high pressure chamber suitable for processing wafers with supercritical CO2. Once the high pressure chamber is formed, a region between lower chamber portion and a housing may be evacuated to form a vacuum chamber outside a portion of the high pressure chamber.
US07682461B2 Working method and cleaning device to clean a swimming pool
In a working method for a cleaning device (2) that moves back and forth in a swimming pool (1), control thereof is such that the cleaning device (2) moves from a starting position at a low speed in a forward direction V in a first pass in a first cleaning path (4) until it runs up to a pool wall (3), wherein the distance D1 traversed along the first cleaning path is measured or determined, the cleaning device (2) is then guided to a second cleaning path (5) deviating from or offset relative to the first cleaning path (4) in a second pass, initially at a low speed, whereupon the cleaning device then moves in a backward direction along the second cleaning path (5) at a high speed until the distance Dz traversed is smaller than the distance D1 traversed in the previous pass by an amount A, upon reaching distance Dz the cleaning device (2) continues to move along the second cleaning path (5) at low speed until it runs up to a swimming pool wall (3), wherein the distance D2 traversed along the second cleaning path is measured or determined, and the cleaning device (2) is controlled in the same manner in each subsequent pass as in the previous pass.
US07682459B1 Drive chain cleaning device
A cleaning device for cleaning a drive chain has a shaft, a motor for rotatably mounting the shaft, and a mounting element for mounting the motor such that the shaft is generally horizontal. A sprocket is mounted on the shaft with a wing nut such that the sprocket rotates with the shaft. The sprocket has teeth for engaging the drive chain. The cleaning device is adapted to be mounted over a container of a cleaning fluid for cleaning the drive chain.
US07682450B2 Stacked semiconductor device and related method
A stacked semiconductor device and a method for fabricating the stacked semiconductor device are disclosed. The stacked semiconductor device includes a first insulating interlayer having an opening that partially exposes a substrate, wherein the substrate includes single crystalline silicon, and a first seed pattern that fills the opening, wherein the first seed pattern has an upper portion disposed over the opening, and the upper portion is tapered away from the substrate. The stacked semiconductor device further includes a second insulating interlayer formed on the first insulating interlayer, wherein a trench that exposes the upper portion of the first seed pattern penetrates the second insulating interlayer, and a first single crystalline silicon structure that fills the trench.
US07682449B2 Heterostructure semiconductor nanowires and method for producing the same
Disclosed herein are heterostructure semiconductor nanowires. The heterostructure semiconductor nanowires comprise semiconductor nanocrystal seeds and semiconductor nanocrystal wires grown in a selected direction from the surface of the semiconductor nanocrystal seeds wherein the semiconductor nanocrystal seeds have a composition different from that of the semiconductor nanocrystal wires. Further disclosed is a method for producing the heterostructure semiconductor nanowires.
US07682447B1 Cement clinker production with reduced emissions
The carbon monoxide and hydrocarbon content of flue gas in a cement clinker production apparatus is lowered by feeding a high velocity stream of hot oxygen into the flue gas. Methods and apparatus for producing the hot oxygen stream are disclosed.
US07682446B2 Method to improve the characteristics of ash from municipal solid waste combustors
In a combustion facility, a portion of the bottom ash (BA) is mixed with substantially all of the fly ash (FA) as necessary to obtain a stabilized material that prevents toxic metals solubility and achieves a desired TCLP extraction pH value, preferably in the range of 8-11. The actual quantities of BA to be mixed with FA will vary depending upon various factors, so embodiments of the present invention include testing the ash to determine the desired distribution to achieve sufficient alkalinity to prevent the leaching of toxic metals in landfills. Because the resulting ash has a desired alkalinity by design, minimal additional alkali reagent amendment is necessary for safe disposal of the waste ash. The remaining BA may be recycled or deposited in a landfill without additional processing. Valuable materials in the waste ash may also be more easily recovered and reused.
US07682443B2 Organic-pigment aqueous dispersion, method of producing the same, and colored coating composition and coated article using the same
An organic-pigment aqueous dispersion, containing a pigment at a concentration of 6 to 30 mass %, and having a haze of 0.3 to 10%, as determined by enclosing the dispersion in a transparent glass cell of optical distance 0.2 mm and analyzing with integrating-sphere photoelectric photometry; and a colored coating composition using the same.
US07682442B2 Medical adsorbent and process for production of the same
A medical adsorbent which produces minimal side-effects such as constipation, has excellent adsorption for ionic organic compounds such as causative substances of uremia, exhibits adequate adsorption performance at low doses and avoids adsorption of high-molecular compounds necessary for the body, such as enzymes and polysaccharides. The medical adsorbent comprises activated carbon obtained by carbonizing a spherical phenol resin in a nitrogen atmosphere at a temperature of 400-1000° C., activating the carbonized spherical phenol resin at a temperature of 800-1000° C., washing it with dilute hydrochloric acid, heat treating it at a temperature of 150-1000° C. in a mixed gas comprising oxygen and nitrogen and then sorting it; the activated carbon has an area to weight ratio of 500-2000 m2/g, a pore volume of 0.2-1.0 mL/g and a packing density of 0.5-0.75 g/mL.
US07682441B2 Weather resistant titanium dioxide pigment and a process for its production
The invention relates to a weather resistant titanium dioxide pigment with good optical properties having a SiO2 dense skin doped with metal atoms. Particularly suitable elements for doping are tin, zirconium and titanium. The SiO2 dense skin is composed of multiple layers whereby the innermost layer does not contain any significant quantity of metal atoms. The coating according to the invention is produced by adding the components to the alkaline suspension (pH at least 9) one after the other with a sliding pH. Complete formation of the doped SiO2 dense skin requires that the pH subsequently be lowered to below 9. The pigment is particularly suitable for use in surface coatings and plastics.
US07682440B2 Drying enhancement additive and method of using same
A drying agent particularly suited for facilitating the drying of inks and paints includes a cobalt drier that is more than six percent cobalt by weight, and no more than fourteen percent cobalt by weight. In particular, the cobalt drier is twelve percent cobalt by weight. The drying agent can also include a manganese drier, tung oil, a water-activated drier, a two-way drier, and a lithographic overprint varnish. All ingredients can be present in the mixture at a concentration of one part by weight. The drying agent can be used with a variety of printing stock substrates, and may be used at significantly higher concentrations than prior art drying agents.
US07682438B2 Paper substrate having enhanced print density
The present invention relates to a sizing composition that, when applied to paper substrate, creates a substrate, preferably suitable for inkjet printing, having increased print density, print sharpness, low HST, and/or image dry time, the substrate preferably having high brightness and reduced color-to-color bleed as well. In addition, the present invention relates to a method of reducing the HST of a paper substrate by applying the sizing composition to at least one surface thereof. Further, the application relates to methods of making and using the sizing composition, as well as methods of making and using the paper containing the sizing composition.
US07682437B2 Transparent solid marker
There is provided a transparent solid marker that has high bending strength and low writing resistance as stick-formed goods, is difficult to break or bend, generates few remnants while coating is carried out, and does not cause spreading of a writing surface even if it is coated on a surface which is written on by oily or aqueous writing implements. There is provided a transparent solid marker that is obtained by heating and mixing a composition including a gel forming material that includes an alkali metal salt or ammonium salt of an aliphatic carboxylic acid having 8 to 36 carbon atoms, a transparency agent, a subsidiary transparency agent, hydrogenated glucose syrup, a basic pigment, and oil, and cooling it.
US07682434B2 Wax emulsion for inkjet ink
The present invention provides a pigment ink formulation containing a wax emulsion is disclosed. The wax emulsion comprises a specific wax and surfactant combination. In particular, the wax comprises a linear polyethylene wax and the surfactant is an alkyl ether carboxylate. The wax emulsion can be made by any process for preparing emulsions used by those skilled in the art such as typical homogenization methods. Applicants have discovered that such a wax emulsion can not only improve the scratch resistance of pigmented ink, but also improve other handling problems such as scuff and smear.
US07682433B2 Ink set, ink jet recording method, ink cartridge, recording unit, and ink jet recording apparatus
In an ink set having a plurality of inks, the ink set has at least a pigment ink and a dye ink. The pigment ink contains at least water, a surfactant, a self-dispersion pigment, and a poor medium for self-dispersion pigment and/or a salt; a dynamic surface tension of the pigment ink at a lifetime of 50 milliseconds is higher than 47 mN/m. The dye ink contains at least water, a surfactant and a dye. An ink set is provided which can obtain images having a high image density and a superior bleeding resistance without regard to the types of recording mediums.
US07682429B2 High output concentrator
A multi-chamber canister for a pressure swing absorption system within a general housing assembly. The chambers include a first molecular sieve chamber for receiving a first molecular sieve for separating air from the ambient environment into a concentrated gas and at least a second molecular sieve chamber disposed within the housing assembly for receiving a second molecular sieve for separating air from the ambient environment into a concentrated gas component. Furthermore, a supply chamber is disposed within the housing for receiving air from the ambient environment and for communicating air to either first or second molecular sieve chambers.