Document Document Title
US08729823B2 Regulating systems
A regulating system includes a tricolor LED system including at least one first LED that emits light having a first color, at least one second LED that emits light having a second color, and at least one third LED that emits light having a third color, at least one fourth LED that emits light having a fourth color, a sensor that detects the light emitted by the LEDs and generating sensor signals representing characteristics of the light, a controller that outputs control signals depending on the sensor signals and reference values, and LED drivers that drive the first, second, third and fourth LEDs depending on the control signals.
US08729822B2 LED emitting device and driving method thereof
The present invention relates to an LED emitting device and a driving method thereof including at least two LED channels. If a power source voltage supplied to an LED emitting device reaches a predetermined threshold voltage, the power source voltage is maintained as a threshold voltage during an overvoltage regulation period.
US08729821B2 Semiconductor light source lighting circuit and control method
A semiconductor light source lighting circuit includes a transistor and a current detection resistor provided in series in a semiconductor light source current supply path, a control circuit for controlling the transistor so as to decrease any difference between the voltage occurring at the current detection resistor and a reference voltage, and a bypass resistor to establish a bypass path for the current supplied to the semiconductor light source, where a first end of the bypass path is located at a connection node between the transistor and the semiconductor light source.
US08729818B2 Driving circuit structure for light emitting diodes
The invention discloses a driving circuit structure for driving light-emitting loads. The driving circuit structure may include a power supplying device, a signal processing device and an impedance balancing device and a dimming control unit. The power supplying device is used for supplying an alternating current power supply. The signal processing device is used for converting the alternating current power supply into a direct current power supply, so as to drive the light-emitting loads. The impedance balancing device is used for balancing an alternating current magnitude of the alternating current power supply, so as to stabilize a direct current magnitude of the direct current power supply for driving the light-emitting loads. The dimming control unit is capable of controlling output luminance of the light-emitting loads.
US08729816B1 Charge-pump controller
In one embodiment, a charge-pump controller is formed to control a value of current supplied to a load.
US08729814B2 Two-wire analog FET-based dimmer switch
A two-wire load control device, such as, a dimmer switch, for controlling the amount of power delivered from an AC power source to an electrical load comprise a bidirectional semiconductor switch having first and second anti-series connected switching transistors (such as, for example, field-effect transistors) that are adapted to be coupled between the source and the load, and are controlled to be conductive and non-conductive in a complementary basis. The bidirectional semiconductor switch is operable to be rendered conductive and to remain conductive independent of the magnitude of a load current conducted through semiconductor switch. The dimmer switch also comprises a drive circuit for rendering the first and second switching transistors conductive and non-conductive each half-cycle on the complementary basis, so as to control the amount of power delivered to the electrical load to a desired amount of power.
US08729812B2 Lighting device having multiple light emitting diode units of different color temperature
A lighting device includes a lighting part, a switching part and a luminance fine-tuning part. The lighting part at least includes a first light emitting diode unit for outputting light with a first color temperature and a second light emitting diode unit for outputting light with a second color temperature. The switching part is coupled with a power source. By changing the number of times the switching part is turned on, the light with desired color temperature and luminance is produced by the lighting part according to the user's requirements. By operating the luminance fine-tuning part, the selected luminance is slightly increased or decreased.
US08729810B2 System and method for selectively dimming an LED
Embodiments of the present disclosure include an LED ballast circuit for dimming one or more LEDs using a phase controlled dimmer switch. The LED ballast circuit has a power conditioning unit which includes a substantially fixed duty cycle clock for outputting a clock cycle and a transformer configured to store energy and discharge a substantial portion of the stored energy once per clock cycle in order to power one or more LEDs.
US08729808B2 Commissioning coded light sources
Commissioning a coded light source in a lighting system is accomplished by using a remote controller. When an identification of a light source is successful, a control message is sent to that light source to at least partly switch off its light emission. Thus the light contribution of the identified light source is suppressed. Thereby the chance of coded light from an already identified light source colliding with identifiers comprised in coded light emitted by other light sources is reduced. When no more coded light is detectable, a sensitivity of the remote controller can be increased until coded light again is detectable. Further light sources can then be identified and commissioned.
US08729803B2 Vehicle head lamp light distribution controller
A vehicle head lamp light distribution controller includes an ADB controller configured to: detect a position of a vehicle present ahead of a subject vehicle; determine whether the vehicle ahead of the subject vehicle is a preceding vehicle or an oncoming vehicle; set a threshold vehicle position based on whether the vehicle ahead of the subject vehicle is a preceding vehicle or an oncoming vehicle; compare the threshold vehicle position and the detected vehicle position; and switch light distribution of head lamps of the subject vehicle based on the comparison between the threshold vehicle position and the detected vehicle position.
US08729801B2 Environmentally friendly metal halogen lamp comprising burner made of quartz glass or ceramic glass
The invention relates to a metal halogen lamp comprising an elongated arc tube enclosed in a transparent casing, wherein the arc tube is made up of a hollow glass body comprising two end portions and a middle portion, and electrode is arranged on the respective end portion, which electrodes, each having an electrode end, upon connection to a power source and during operation of the metal halogen lamp, generate an arc between them; and the glass body encloses halogens (h) and metal atoms (m) and has a wall thickness which is thicker on the end portions than on the middle portion. The thicker end portions each have a length (L1) of at least one-third of the total length (L) of the arc tube.
US08729800B2 High intensity discharge lamp with external antenna
The invention provides a high intensity discharge lamp comprising a ceramic discharge vessel having sealed first and second end plugs and an external electrical antenna, which is used as “active” antenna for facilitating ignition of the high intensity discharge lamp. The discharge vessel encloses a discharge volume and comprises two electrodes and contains a filling.
US08729796B2 Organic light emitting display device including a gap to improve image quality and method of fabricating the same
Provided is an organic light emitting display device (OLED) for preventing Newton's rings to improve image quality. An organic light emitting display device according to one embodiment of the present invention comprises a first substrate comprising a single layer or multiple layers; a second substrate comprising a single layer or multiple layers, the second substrate comprising an inner surface facing the first substrate; an array of organic light emitting pixels formed on the first substrate and interposed between the first and second substrates, the array comprising a top surface opposing the inner surface of the second substrate, wherein the top surface and the inner surface has a gap therebetween, and the gap has a gap distance measured between the top surface and the inner surface; and a frit seal interconnecting the first and second substrates while surrounding the array, wherein the frit seal, the first substrate and the second substrate in combination define an enclosed space in which the array is located, wherein the frit seal has a height between the first and second substrates so as to form the gap distance equal to or greater than about 10 μm.
US08729790B2 Coated phosphors and light emitting devices including the same
Provided according to embodiments of the invention are method of coating a phosphor that include contacting the phosphor with a sol comprising at least one of silica, alumina, borate and a precursor thereof, to form a coating on the phosphor; and heating the phosphor. Also provided are phosphors that are coated with alumina, silica and/or borate, and light emitting devices that include such phosphors.
US08729786B2 Illuminant mixture for a discharge lamp and discharge lamp, in particular an Hg low-pressure discharge lamp
The invention relates to an illuminant mixture for a discharge lamp (1) with a first and at least one second illuminant composition, said first illuminant composition having an emission spectrum in the green to yellow spectral range and having a first illuminant compound, devoid of Tb and designed to absorb the UV radiation emitted by an Hg source. The invention also relates to a discharge lamp (1) with a discharge vessel (2) and an illuminant layer (12) applied thereto, said layer containing the illuminant mixture according to the invention.
US08729785B2 Spark plug
A spark plug comprises an insulator and a metal shell. The insulator includes a nose length portion at the forward end, and a tapered portion, extending from the rear end of the nose length portion toward the rear end of the insulator, increased in diameter toward the rear end. The metal shell includes a shoulder portion, protruding inward and having a retaining surface by which the tapered portion is retained, and a male thread portion on the outer peripheral side of the shoulder portion, and the thread diameter of the male thread portion is set to M12 or less. When the insulator's cross-sectional area, perpendicular to an axis, passing through the boundary between the nose length section and tapered portion is B (mm2), and the metal shell's cross-sectional area, perpendicular to the axis, passing through the tip of the retaining surface is C (mm2), 2.80≦C/B≦3.50 is satisfied.
US08729784B2 Fouling resistant spark plug
An insulator for a spark plug is provided having an insulator tip. The insulator tip includes a feature extending radially inward from an exterior surface of the insulator tip.
US08729780B2 Piezoelectric vibrating pieces and corresponding devices exhibiting reduced vibration leakage
Piezoelectric vibrating pieces are disclosed that include a base, a pair of vibrating arms, and a pair of supporting arms. The base is fabricated of piezoelectric material. The vibrating arms extend straight from the base in a designated longitudinal direction and having a first thickness. A respective supporting arm extends straight from the base and outboard of each vibrating arm in the designated longitudinal direction. Each supporting arm includes at least a respective second region that has second thickness different from the first thickness.
US08729779B2 Wide bandwidth slanted-finger contour-mode piezoelectric devices
Contour-mode piezoelectric devices and methods of forming contour mode piezoelectric devices. The contour mode piezoelectric device includes a piezoelectric film having first and second surfaces and suspended so that it is spaced away from a substrate. The contour mode piezoelectric device also includes first and second patterned electrodes respectively disposed on the first and second surfaces of the piezoelectric film, at least one of the first and second patterned electrodes having variable width along a length thereof.
US08729775B2 Piezoelectric vibrating devices and methods for manufacturing same
An exemplary piezoelectric vibrating device includes a piezoelectric vibrating piece that vibrates when electrically energized. A first package plate has a main recess in which the piezoelectric vibrating piece is placed, and a peripheral surface surrounding the recess. A second package plate is bonded to the peripheral surface of the first package plate in airtight manner. A band of adhesive bonds the first package plate to the second package plate. The adhesive band surrounds the peripheral surface. Between the adhesive and the main recess is a band of metal film. The band of metal film prevents gas, generated from the adhesive, from flowing into the recess. The band of metal film surrounds the peripheral surface and is disposed inboard of the band of adhesive.
US08729771B2 Composite substrate manufacturing method and composite substrate
According to a composite substrate manufacturing method of the present invention, (a) a piezoelectric substrate having minute asperities formed in a rear surface thereof, and a support substrate having a smaller thermal expansion coefficient than the piezoelectric substrate are prepared, (b) a filler is applied to the rear surface 11a to fill the minute asperities, thereby forming a filling layer, (c) a surface of the filling layer is mirror-polished to such an extent that an arithmetic mean roughness Ra of the surface of the filling layer is smaller than an arithmetic mean roughness Ra of the rear surface 11a in a state of above (a), and (d) the surface of the filling layer and a surface of the support substrate are bonded to each other with an adhesive layer interposed therebetween, thereby forming a composite substrate.
US08729770B1 MEMS actuators with combined force and bi-directional rotation
A MEMS system comprises a first rotational actuator having a first drive mechanism configured to drive rotation of a first rotator about a first axis, a second rotational actuator having a second drive mechanism configured to drive rotation of a second rotator about a second axis, first and second flexible linkages, a first drive beam coupled to the first rotator and to the first flexible linkage, a second drive beam coupled to the second rotator and to the second flexible linkage, and one or more device mounts coupled to the first and second flexible linkages. The one or more device mounts are configured to provide distributed points of attachment of a device. The rotation of the first and second rotators causes the device mount to rotate or piston.
US08729769B2 Electromechanical device, robot, movable body, and method of manufacturing electromechanical device
An electromechanical device includes: a center shaft; a rotor having a rotor magnet disposed around an outer periphery of the center shaft; and a stator disposed on an outer periphery of the rotor, wherein the center shaft is formed of a carbon-fiber-reinforced plastic, and when projection is performed in a radial direction from the center shaft toward the rotor magnet, an angle between a direction of carbon fiber in the carbon-fiber-reinforced plastic and a direction of the center shaft is 45°.
US08729764B2 Variable damping circuit for a synchronous servomotor
A damper circuit for damping a synchronous servo-motor having at least one winding, at least one main damper resistor, connection means for connecting the main damper resistor in series with the winding, and at least one additional damper cell including at least one additional damper resistor connected in parallel with the main damper resistor via a static switch connected to a control module for controlling the switch as a function of a voltage of the winding. The control module has a shunt in parallel with the main damper resistor. The shunt has an output connected to the control input of the switch and a divider bridge connected to the shunt to form a comparator between the voltage of the winding and a conduction voltage of the shunt.
US08729760B2 Rotor of electric motor having structure for attaching magnet securely to outer circumferential surface of rotor core and manufacturing method thereof
In accordance with the present invention, a rotor of an electric motor including a rotor core, a plurality of magnets spaced apart from each other on an outer circumferential surface of the rotor core, and a cylindrical protective pipe surrounding the magnets is provided. The protective pipe has an inner diameter smaller than a diameter of a circumscribed circle passing through tops of outer surfaces of the magnets. A space defined by an inner surface of the protective pipe, the outer surfaces of the magnets and the outer surface of the rotor core is filled with resin, and the protective pipe is held so as to have a diameter larger than that of the circumscribed circle, due to injection pressure of the resin.
US08729758B2 Rotational machine, method for the determination of a tilting of a rotor of a rotational machine, as well as a processing plant
A bearing-free engine includes a bearing and drive stator having a magnetic stator plane and a magnetic rotor having a magnetic rotor plane and supported magnetically in contact free manner within the stator. The axial height of the rotor is smaller than or equal to a half diameter of the rotor. The rotor is stabilized passively by reluctance forces against axial displacement and tilting. A first sensor signal from a first measurement zone of the rotor is evaluated by a first sensor and a second sensor signal from a second measurement zone of the rotor is evaluated by a second sensor. To determine the tilting of the rotor, at least 50% of the first sensor signal from the first measurement zone is generated from a first control measurement zone which is a part of the first measurement zone disposed either below or above the magnetic rotor plane.
US08729757B2 Spindle motor
A spindle motor includes a shaft; a first sleeve rotatably supporting the shaft by fluid dynamic pressure; a second sleeve provided outwardly of the first sleeve; a stator core mounted on an outer surface of the second sleeve; and a base member including a mounting part protruding upwardly in an axial direction and fixed to at least one of the first and second sleeves.
US08729754B2 Motor incuding bearing supported by busbar holder
In a busbar unit, which is a distributing device arranged to supply electrical currents to coils, a busbar holder is arranged to support coil connection busbars and sensor connection busbars. A bottom surface portion of a busbar holder body portion includes a bearing holder holding a bearing defined therein, and includes resistors and capacitors defining a portion of a Hall IC circuit arranged thereon. The Hall IC circuit is arranged to input and output electrical signals to or from Hall ICs. An upper surface portion includes sensor holders each holding a separate one of the Hall ICs defined therein. A connector portion is arranged to project radially outward from the busbar holder body portion. The sensor connection busbars and each of the coil connection busbars are arranged one above another along an axial direction.
US08729749B2 Cooling arrangement for a magnetic gearbox
This invention relates to a magnetic gearbox, comprising: an inner rotor having a first plurality of magnetic flux sources; an outer rotor having a second plurality of magnetic flux sources; and, a modulator having a plurality of pole pieces positioned between the first and second magnetic flux sources and separated from each by an air gap, wherein upon relative rotation of the inner rotor, outer rotor and modulator the first and second magnetic flux sources and pole pieces are arranged to provide a modulated magnetic field in the air gap adjacent each rotor; wherein either or both of the modulator and inner rotor include at least one nozzle for directing fluid radially across the respective air gap so as to impinge on the adjacent rotor or modulator.
US08729745B2 Multiple-phase linear switched reluctance motor
A linear switched reluctance motor comprises a movable coil bracket including first and second coil assemblies. Each of the first and second coil assemblies further comprises a plurality of coils separately wound around a plurality of motor coil cores, each of the coils being configured to receive a sinusoidal current at a different phase from other coils comprised in the same coil assembly. Tooth members of a stator track are located adjacent to the motor coil cores such that a magnetic flux path is created which passes through the motor coil core, the stator track and an air gap between the motor coil core and the stator track. A multiple-phase motor driver electrically connected to the first and second coil assemblies generates symmetric multiple-phase sinusoidal currents for driving the motor.
US08729740B2 Semiconductor relay
A semiconductor relay of the invention includes first and second signal terminals, a substrate, a first switch circuit and a control circuit. The substrate includes signal patterns for forming a signal line between the first and second signal terminals. The first switch circuit has a semiconductor switch used to make or break the connection between the first and second signal terminals. The control circuit has a control IC for controlling the first switch circuit. The control IC is mounted on a land of the substrate. The land has a size corresponding to the control IC. A part or all of the land is included in a part of the signal patterns.
US08729738B2 Device for transporting energy by partial influence through a dielectric medium
The invention proposes a means for transporting electrical energy and/or information from a distance by using, at a slowly varying regime, the Coulomb field which surrounds any set of charged conductors. The device according to the invention is composed of energy production and consumption devices situated a short distance apart, it uses neither the propagation of electromagnetic waves nor induction and cannot be reduced to a simple arrangement of electrical capacitors. The device is modeled in the form of an interaction between oscillating asymmetric electric dipoles, consisting of a high-frequency high-voltage generator (1) or of a high-frequency high-voltage load (5) placed between two electrodes. The dipoles exert a mutual influence on one another. The devices according to the invention are suitable for powering industrial and domestic electrical apparatus, they are especially suitable for powering low-power devices moving in a limited environment and for short-distance non-radiating transmission of information.
US08729734B2 Wireless power bridge
A wireless power bridge that allows magnetic transmission of energy across a solid barrier such as a wall. A circuit is described for controlling the operation.
US08729733B2 Power control apparatus, image forming apparatus, and power control program product
A reception unit sequentially receives input of voltage values indicating an AC input voltage from an AC power supply. A ROM stores a power control table in which a power control parameter corresponding to the AC power supply voltage is matched with each group of the voltage values indicating the same AC power supply voltage. A decision unit determines to which group the received voltage values belong among the plurality of groups, whenever the reception unit receives the input of the voltage value and decides the group, to which a predetermined number of voltage values belongs among the plurality of voltage values sequentially received by the reception unit, among the plurality of groups using the determination result. A power control unit controls power supply according to the power control parameter matched with the decided group.
US08729732B2 Cell site power generation
A system, apparatus, method, and manufacture for generating backup power in a wireless communications system such as a wireless communications service base station. The system includes a communications interface, a primary power interface, a generator, rectifiers, and a battery circuit. During normal operation, the communications interface is powered from the primary power interface. During a power outage, the communications interface is powered from either the generator or the battery circuit. The generator is cycled on and off during power outages to charge the battery circuit while conserving fuel. To decrease rectification loss, rectifiers are run near full load while rectifying the generator output.
US08729731B2 Battery backup system, method of use, and method of manufacturing
Some embodiments include an electrical system. In many embodiments, the electrical system can comprise a system input configured to receive a first power signal from an external power source. In the same or different embodiments, the first power signal can comprise a first voltage. In the same or different embodiments, the electrical system can comprise a system output electrically coupled to the system input. In the same or different embodiments, the electrical system can comprise a charger module electrically coupled to the system input. In the same or different embodiments, the electrical system can comprise a resistive component coupled between the system input and the system output. In the same or different embodiments, the electrical system can comprise a power storage device electrically coupled to the system output and to the charger module. In the same or different embodiments, the electrical system can comprise a sense circuit electrically coupled across the resistive component and electrically coupled to the charger module. Other embodiments are disclosed.
US08729730B2 Automatic transfer switch with transfer inhibitor
Apparatuses and methods for providing a capability to prevent an automatic transfer switch from automatically switching from a primary to a secondary power source are illustrated. The automatic transfer switch includes a transfer inhibitor that is configured to receive a transfer inhibit signal. In response to receiving the transfer inhibit signal, a switching logic is configured to prevent a contactor of the automatic transfer switch from automatically connecting the secondary source to a load.
US08729728B2 Power adjustment system adapted for powering an electric line for supplying power to vehicles
The invention relates to a power adjustment system adapted for powering an electric line for supplying power to vehicles moving in a traffic lane combined with said electric line that comprises an electric supply feeder (AD) delivering an average electric power directly or via at least one converter to the electric line, and an adjustable power based on power fluctuation and required for an instantaneous traffic intensity to an energy storage means (MS) capable of powering the electric line at a peak power via at least one other converter, characterised in that a dimensioning unit (PCC) receives information on the power needs based on the traffic intensity evaluated over at least one duration of said traffic, and in that the dimensioning unit uses information for controlling an adjustment of the useful predictable storage of the storage means so that the electric power (P_IN0, P_IN1) delivered to the storage means is adjusted and minimal while exactly maintaining a sufficient energy backup in the storage means for compensating for any peak power (P_INST) instantaneously required by the traffic in the given duration.
US08729723B2 Removable offshore wind turbines with pre-installed mooring system
A floating energy generating device includes at least two wind turbines mounted on a floating open structure, the floating open structure being moored to the seabed with a mooring system, each wind turbine featuring in operation mode a blade and rotor part mounted at the top of a tower and being connected via a cable to a central control power electronic unit on the floating open structure, the central control power unit being connected to a submerged high voltage power export cable for exporting the electricity generated by the at least two windmills, characterized in that the floating open structure being connectable to or disconnectable from the mooring system and that when disconnected from the mooring system, the center of gravity of the floating open structure is at or below its center of buoyancy.
US08729721B2 Wind turbine rotor and wind turbine
A wind turbine comprises a tower provided at the top with a horizontal shaft having an axis. A turbine rotor comprises turbine blades connected by a mounting arrangement to bearing members and to a rotor or a generator. Components of forces acting on the blades parallel to the axis are substantially exclusively communicated to the bearing members, for example by rigid straight members. Torque about the axis produced by the blades is communicated substantially exclusively to the rotor through a member.
US08729719B2 Cogeneration facility
A cogeneration facility for supplying buildings and facilities with thermal energy and electrical energy is located in a closed enclosure, which is permanently under negative pressure during operation, wherein the negative pressure in the enclosure is controlled by a partial exhaust-gas recirculation into an intake channel of an internal combustion engine and an additional external flow resister that is provided in the closed enclosure, and a frequency converter capable of backfeed is connected to the generator in an advantageous manner. Highly efficient heat recovery is achieved, wherein heat losses are substantially minimized and, in combination with the exhaust-gas recirculation and the frequency converter capable of backfeed, very high power modulation is achieved while the exhaust-gas pollutants such as CO, HC, and NOx are simultaneously minimized.
US08729715B2 Epoxy resin composition for semiconductor encapsulation
The present invention relates to an epoxy resin composition for semiconductor encapsulation, including the following components (A) to (D): (A) an epoxy resin; (B) a phenol resin; (C) an inorganic filler, and (D) a silicone compound containing an alkoxy group directly bonded to silicon atom in an amount of 10 to 45 wt % based on the entire silicone compound and having a specific gravity of 1.10 to 1.30.
US08729712B2 Pad design for circuit under pad in semiconductor devices
Embodiments of a semiconductor device that includes a semiconductor substrate and a cavity disposed in the semiconductor substrate that extends at least from a first side of the semiconductor substrate to a second side of the semiconductor substrate. The semiconductor device also includes an insulation layer disposed over the first side of the semiconductor substrate and coating sidewalls of the cavity. A conductive layer including a bonding pad is disposed over the insulation layer. The conductive layer extends into the cavity and connects to a metal stack disposed below the second side of the semiconductor substrate. A through silicon via pad is disposed below the second side of the semiconductor substrate and connected to the metal stack. The through silicon via pad is position to accept a through silicon via.
US08729710B1 Semiconductor package with patterning layer and method of making same
In accordance with the present invention, there is provided multiple embodiments of a semiconductor package including one or more semiconductor dies which are electrically connected to an underlying substrate through the use of a conductive pattern which is at least partially embedded in a patterning layer of the package. In a basic embodiment of the present invention, the semiconductor package comprises a substrate having a conductive pattern disposed thereon. Electrically connected to the conductive pattern of the substrate is at least one semiconductor die. The semiconductor die and the substrate are at least partially encapsulated by a patterning layer. Embedded in the patterning layer is a wiring pattern which electrically connects the semiconductor die to the conductive pattern. A portion of the wiring pattern is exposed in the patterning layer.
US08729708B2 Semiconductor device structures and memory devices including a uniform pattern of conductive material
Methods of forming semiconductor device structures are disclosed. One method comprises forming a plurality of loops of a conductive material. Each loop of the plurality of loops comprises a uniform pattern. In one embodiment, a portion of the conductive material is removed from at least one location in each loop of the plurality of loops. Contacts are formed to the conductive material. A semiconductor device structure is also disclosed.
US08729704B2 Multilayer dielectric memory device
A memory device has multiple dielectric barrier regions. A memory device has multiple barrier regions that provide higher or lower current-voltage slope compared to a memory device having a single barrier region. The device also has electrode regions that provide further control over the current-voltage relationship.
US08729700B2 Multi-direction design for bump pad structures
An integrated circuit structure includes a semiconductor chip having a first region and a second region; a dielectric layer formed on the first region and the second region of the semiconductor chip; a first elongated under-bump metallization (UBM) connector formed in the dielectric layer and on the first region of the semiconductor chip and having a first longer axis extending in a first direction; and a second elongated UBM connector formed in the dielectric layer on the second region of the semiconductor chip and having a second longer axis extending in a second direction. The first direction is different from the second direction.
US08729699B2 Connector structures of integrated circuits
A die includes a substrate, a metal pad over the substrate, and a passivation layer covering edge portions of the metal pad. A metal pillar is formed over the metal pad. A portion of the metal pillar overlaps a portion of the metal pad. A center of the metal pillar is misaligned with a center of the metal pad.
US08729697B2 Sensor arrangement, a measurement circuit, chip-packages and a method for forming a sensor arrangement
A sensor arrangement is provided, the sensor arrangement including a chip including a sensor circuit configured to detect a bending of the chip; and a package structure configured to protect the chip; wherein the package structure includes a first region and a second region, and wherein the package structure is configured such that it is easier to be deformed in the first region than in the second region.
US08729686B2 Semiconductor package and a method for selecting a chip in the semiconductor package
A semiconductor package includes a first semiconductor chip formed with a first through-silicon via; a second semiconductor chip stacked over the first semiconductor chip and formed with a second through-silicon via; and a cantilever formed over the first semiconductor chip and electrically connected to the first through-silicon via or the second through-silicon via according to an electrical signal.
US08729685B2 Bonding process and bonded structures
A sealing and bonding material structure for joining semiconductor wafers having monolithically integrated components. The sealing and bonding material are provided in strips forming closed loops. There are provided at least two concentric sealing strips on one wafer. The strips are laid out so as to surround the component(s) on the wafers to be sealed off when wafers are bonded together. The material in the strips is a material bonding the semiconductor wafers together and sealing off the monolithically integrated components when subjected to force and optionally heating. A monolithically integrated electrical and/or mechanical and/or fluidic and/or optical device including a first substrate and a second substrate, bonded together with the sealing and bonding structure, and a method of providing a sealing and bonding material structure on at least one of two wafers and applying a force and optionally heat to the wafers to join them are described.
US08729683B2 Power module package and method for fabricating the same
Disclosed herein are a power module package and a method for manufacturing the same. The power module package includes: first and second lead frames arranged to face each other, both or either of the first and second frames being made of aluminum; anodized layers formed on portions of the lead frame(s) made of aluminum in the first and second lead frames; and semiconductor devices mounted on first surfaces of the first and second lead frames.
US08729678B2 Image sensor for stabilizing a black level
An image sensor includes first pixels, second pixels and a deep trench. The first pixels are formed in an active region of a semiconductor substrate, and configured to measure photo-charges corresponding to incident light. The second pixels are formed in an optical-black region of the semiconductor substrate, and are configured to measure black levels. The deep trench is formed vertically in a boundary region of the optical-black region, where the boundary region is adjacent to the active region, and configured to block leakage light and diffusion carriers from the active region.
US08729675B1 Semiconductor device having line-type trench to define active region and method of forming the same
A semiconductor device includes a plurality of parallel-trenches that are parallel to each other, a plurality of intersect-trenches that are parallel to each other, a plurality of active regions that are confined by the parallel-trenches and the intersect-trenches, a plurality of lower conductive lines that cross the active regions, a plurality of upper conductive lines that are parallel to each other, that cross the lower conductive lines, and that cross over the active regions, and data storage elements connected to the active regions. Each of the parallel-trenches and the intersect-trenches is a straight line. The parallel-trenches cross the upper conductive lines and form a first acute angle with the upper conductive lines. The intersect-trenches cross the parallel-trenches and form a second acute angle with the parallel-trenches.
US08729674B2 Semiconductor device having a wafer level through silicon via (TSV)
A semiconductor device is disclosed allowing detection of a connection state of a Through Silicon Via (TSV) at a wafer level. The semiconductor device includes a first line formed over a Through Silicon Via (TSV), a second line formed over the first line, and a first power line and a second power line formed over the same layer as the second line. Therefore, the semiconductor device can screen not only a chip-to-chip connection state after packaging completion, but also a connection state between the TSV and the chip at a wafer level, so that unnecessary costs and time encountered in packaging of a defective chip are reduced.
US08729673B1 Structured wafer for device processing
A structured wafer that includes through passages is used for device processing. Each of the through passages extends from or along one surface of the structured wafer and forms a pattern on a top surface area of the structured wafer. The top surface of the structured wafer is bonded to a device layer via a release layer. Devices are processed on the device layer, and are released from the structured wafer using etchant. The through passages within the structured wafer allow the etchant to access the release layer to thereby remove the release layer.
US08729670B2 Semiconductor substrate and method for manufacturing the same
Provided is a semiconductor substrate and a method for manufacturing the same. The semiconductor substrate includes a substrate, a discontinuously formed hemispheric metal layer on the substrate, and a semiconductor layer on the hemispheric metal layer. A plurality of voids on the interface of the substrate and discontinuous hemisphere are formed to absorb or relax the stain of interface. Accordingly, even if a subsequent layer is relatively thickly formed on the substrate, substrate bow or warpage can be minimized.
US08729662B2 Semiconductor device and manufacturing method thereof
A semiconductor integrated circuit is reduced in size by suppressing lateral extension of an isolation region when impurities are thermally diffused in a semiconductor substrate to form the isolation region. Boron ions (B+) are implanted into an epitaxial layer through a third opening K3 to form a P-type impurity region, using a third photoresist as a mask. Then a fourth photoresist is formed on a silicon oxide film to have fourth openings K4 (phosphorus ion implantation regions) that partially overlap the P-type impurity region. Phosphorus ions (P+) are implanted into the surface of the epitaxial layer in etched-off regions using the fourth photoresist as a mask to form N-type impurity regions that are adjacent the P-type impurity region. After that, a P-type upper isolation region is formed in the epitaxial layer by thermal diffusion so that the upper isolation region and a lower isolation region are combined together to make an isolation region.
US08729659B2 Semiconductor devices and methods of manufacture thereof
Methods of fabricating semiconductor devices and structures thereof are disclosed. In a preferred embodiment, a method of fabricating a semiconductor device includes providing a workpiece having a plurality of trenches formed therein, forming a liner over the workpiece, and forming a layer of photosensitive material over the liner. The layer of photosensitive material is removed from over the workpiece except from over at least a portion of each of the plurality of trenches. The layer of photosensitive material is partially removed from over the workpiece, leaving a portion of the layer of photosensitive material remaining within a lower portion of the plurality of trenches over the liner.
US08729654B2 Back-side readout semiconductor photomultiplier
This disclosure provides systems, methods, and apparatus related to semiconductor photomultipliers. In one aspect, a device includes a p-type semiconductor substrate, the p-type semiconductor substrate having a first side and a second side, the first side of the p-type semiconductor substrate defining a recess, and the second side of the p-type semiconductor substrate being doped with n-type ions. A conductive material is disposed in the recess. A p-type epitaxial layer is disposed on the second side of the p-type semiconductor substrate. The p-type epitaxial layer includes a first region proximate the p-type semiconductor substrate, the first region being implanted with p-type ions at a higher doping level than the p-type epitaxial layer, and a second region disposed on the first region, the second region being doped with p-type ions at a higher doping level than the first region.
US08729650B2 Solid-state imaging device and method of fabricating the same
A solid-state imaging device includes a layer including an on-chip lens above a sensor section, and the layer including the on-chip lens is composed of an inorganic film which transmits ultraviolet light. The layer including the on-chip lens may further include a planarizing film located below the on-chip lens. A method of fabricating a solid-state imaging device includes the steps of forming a planarizing film composed of a first inorganic film, forming a second inorganic film on the planarizing film, forming a lens-shaped resist layer on the second inorganic film, and etching back the resist layer to form an on-chip lens composed of the second inorganic film. The first inorganic film constituting the planarizing film and the second inorganic film constituting the on-chip lens preferably transmit ultraviolet light.
US08729649B2 Magnetic memory device and method of manufacturing the same
A magnetic memory device including a memory layer having a vertical magnetization on the layer surface, of which the direction of magnetization is changed according to information; and a reference layer provided against the memory layer, and being a basis of information while having a vertical magnetization on the layer surface, wherein the memory device memorizes the information by reversing the magnetization of the memory layer by a spin torque generated when a current flows between layers made from the memory layer, the nonmagnetization layer and the reference layer, and a coercive force of the memory layer at a memorization temperature is 0.7 times or less than a coercive force at room temperature, and a heat conductivity of a center portion of an electrode formed on one side of the memory layer in the direction of the layer surface is lower than a heat conductivity of surroundings thereof.
US08729645B2 Substrate backside peeling control
Structures and methods for reducing backside polysilicon peeling are disclosed. A structure includes a substrate having a first side and a second opposite side, a first dielectric layer on the second side of the substrate extending in a direction from an edge of the substrate towards a center of the substrate, a high-k layer on the first dielectric layer, and a polysilicon layer on the high-k layer. The first dielectric layer has a first innermost sidewall relative to the center of the substrate, and the high-k layer has a second innermost sidewall relative to the center of the substrate. The second innermost sidewall is within 2 millimeters from the first innermost sidewall in a direction parallel to the second side. The polysilicon layer extends towards the center of the substrate further than the first innermost sidewall.
US08729638B2 Method for making FINFETs and semiconductor structures formed therefrom
A method for making FinFETs and semiconductor structures formed therefrom is disclosed, comprising: providing a SiGe layer on a Si semiconductor substrate and a Si layer on the SiGe layer, wherein the lattice constant of the SiGe layer matches that of the substrate; patterning the Si layer and the SiGe layer to form a Fin structure; forming a gate stack on top and both sides of the Fin structure and a spacer surrounding the gate stack; removing a portion of the Si layer which is outside the spacer with the spacer as a mask, while keeping a portion of the Si layer which is inside the spacer; removing a portion of the SiGe layer which is kept after the patterning, to form a void; forming an insulator in the void; and epitaxially growing stressed source and drain regions on both sides of the Fin structure and the insulator.
US08729635B2 Semiconductor device having a high stress material layer
A semiconductor device is provided. The semiconductor device comprises a substrate, a stacked gate structure, doped regions and high stress material layers. The stacked gate structure is located on the substrate. The stacked gate structure includes at least a dielectric layer and a gate sequentially disposed over the substrate. The doped regions are disposed in the substrate on each side of the stacked gate structure. The high stress material layers are disposed on the substrate to cover the doped regions. The high stress material layers can increase the mobility of the carriers in the doped regions and hence accelerate the operating speed of the device.
US08729634B2 FinFET with high mobility and strain channel
An integrated circuit device includes a fin at least partially embedded in a shallow trench isolation (STI) region and extending between a source and a drain. The fin is formed from a first semiconductor material and having a trimmed portion between first and second end portions. A cap layer, which is formed from a second semiconductor material, is disposed over the trimmed portion of the fin to form a high mobility channel. A gate electrode structure is formed over the high mobility channel and between the first and second end portions.
US08729631B2 MOS transistor
A MOS transistor is described, including: a source region and a drain region in a semiconductor substrate, an isolation between the source region and the drain region, a first gate conductor between the source region and the isolation, at least one conductive plug electrically connected to the first gate conductor and penetrating into the isolation, and at least one second gate conductor on the isolation, which is electrically connected to the first gate conductor and the at least one conductive plug. One of the at least one conductive plug is between the first gate conductor and the at least one second gate conductor.
US08729628B2 Self-aligned trench field effect transistors with regrown gates and bipolar junction transistors with regrown base contact regions and methods of making
Junction field-effect transistors with vertical channels and self-aligned regrown gates and methods of making these devices are described. The methods use techniques to selectively grow and/or selectively remove semiconductor material to form a p-n junction gate along the sides of the channel and on the bottom of trenches separating source fingers. Methods of making bipolar junction transistors with self-aligned regrown base contact regions and methods of making these devices are also described. The semiconductor devices can be made in silicon carbide.
US08729615B2 Non-volatile memory device with high speed operation and lower power consumption
A semiconductor memory device has a memory cell region and a peripheral region. The device includes low voltage transistors at the peripheral region having gate insulation films with different thicknesses. For example, a gate insulation film of a low voltage transistor used in an input/output circuit of the memory device may be thinner than the gate insulation film of a low voltage transistor used in a core circuit for the memory device. Since low voltage transistors used at an input/output circuit are formed to be different from low voltage transistors used at a core circuit or a high voltage pump circuit, high speed operation and low power consumption characteristics of a non-volatile memory device may be.
US08729614B2 Flexible ferroelectric memory device and manufacturing method for the same
The present disclosure relates to a flexible nonvolatile ferroelectric memory device, a 1T-1R (1Transistor-1Resistor) flexible ferroelectric memory device, and a manufacturing method for the same.
US08729613B2 Semiconductor device
The concentration of impurity elements included in an oxide semiconductor film in the vicinity of a gate insulating film is reduced. Further, crystallinity of the oxide semiconductor film in the vicinity of the gate insulating film is improved. A semiconductor device includes an oxide semiconductor film over a substrate, a source electrode and a drain electrode over the oxide semiconductor film, a gate insulating film which includes an oxide containing silicon and is formed over the oxide semiconductor film, and a gate electrode over the gate insulating film. The oxide semiconductor film includes a region in which the concentration of silicon is lower than or equal to 1.0 at. %, and at least the region includes a crystal portion.
US08729612B2 Active matrix substrate and method for manufacturing the same
An active matrix substrate includes a plurality of scanning lines (11a) extending parallel to each other; a plurality of signal lines (16a) extending parallel to each other in a direction crossing the scanning lines (11a); a plurality of TFTs (5) each provided at each of intersections of the scanning lines (11a) and the signal lines (16a), and each including a semiconductor layer (4a); and a coating type insulating layer formed between each of the scanning lines (11a) and each of the signal lines (16a). A plurality of openings (15a) are formed in the insulating layer such that each of the semiconductor layers (4a) is exposed, and at least part of a peripheral end of the opening (15a) of the insulating layer is positioned on an inner side relative to each of peripheral ends of the semiconductor layers (4a).
US08729608B2 Semiconductor device and method of manufacturing the device
A semiconductor device (100) includes a substrate (1) having a semiconductor layer (102); a trench (12) in the semiconductor layer (102); a gate insulating film (11) covering a periphery and an inner surface of the trench (12); a gate electrode (8) including a portion filling the trench (12) and a portion around the trench (12), and provided on the gate insulating film (11); an interlayer insulating film (13) on the gate electrode (8); and a hollow (50) above and around the trench (12), and between the gate electrode (8) and the gate insulating film (11). Above the trench (12), the hollow (50) protrudes inside the trench (12) from a plane extending from an upper surface of the gate insulating film (11) at a portion covering the side surface of the trench (12) with a flat shape.
US08729607B2 Needle-shaped profile finFET device
Structures and methods are presented relating to formation of finFET semiconducting devices. A finFET device is presented comprising fin(s) formed on a substrate, wherein the fin(s) has a needle-shaped profile. The needle-shaped profile, in conjunction with at least a buffer layer or a doped layer, epitaxially formed on the fin(s), facilitates strain to be induced into the fin(s) by the buffer layer or the doped layer. The fin(s) can comprise silicon aligned on a first plane, while at least one of the buffer layer or the doped layer are grown on a second plane, the alignment of the first and second planes are disparate and are selected such that formation of the buffer layer or the doped layer generates a stress in the fin(s). The generated stress results in a strain being induced into the fin(s) channel region, which can improve electron and/or hole mobility in the channel.
US08729606B2 Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels
Each of first and second PMOS transistors, and first and second NMOS transistors has a respective diffusion terminal with a direct electrical connection to a common node, and has a respective gate electrode defined within any one gate level channel. Each gate level channel is uniquely associated with and defined along one of a number of parallel oriented gate electrode tracks. The first PMOS transistor gate electrode is electrically connected to the second NMOS transistor electrode. The second PMOS transistor gate electrode is electrically connected to the first NMOS transistor gate electrode. The first and second PMOS transistors, and the first and second NMOS transistors together define a cross-coupled transistor configuration having commonly oriented gate electrodes formed from respective rectangular-shaped layout features.
US08729605B2 Semiconductor switch device
Provided is a semiconductor device in which on-resistance is largely reduced. In a region (2a) of an N type epitaxial layer (2) of the semiconductor device 20, each region between neighboring trenches (3) is blocked with a depletion layer (14) formed around a trench (3) so that a current passage (12) is interrupted, while a part of the depletion layer (14) formed around the trench (3) is deleted so that the current passage (12) is opened. In a region (2b), a junction portion (8) between the N type epitaxial layer (2) and a P+ type diffusion region (7) makes a Zener diode (8).
US08729596B2 Organic electroluminescent element, organic electroluminescent display device, organic electroluminescent illuminating device, and method for manufacturing organic electroluminescent element
An organic electroluminescent element comprising: an anode (3); a cathode (4); and an organic layer (5), sandwiched between the anode (3) and the cathode (4), which contains a positive and negative charge transporting material, the organic layer (5) including an acceptor region (6) doped with an acceptor, a donor region (8) doped with a donor, and a light-emitting region (7) doped with an organic light-emitting material, the acceptor region (6) being located on the anode (3), the donor region (8) being located on the cathode (4), the light-emitting region (7) being located between the acceptor region (6) and the donor region (8), the acceptor having such a concentration gradient in the acceptor region (6) as to become lower in concentration from the anode (3) toward the light-emitting region (7), the donor having such a concentration gradient in the donor region (8) as to become lower in concentration from the cathode (4) toward the light-emitting region (7). This makes it possible to provide a simple-structured, high-luminance, high-efficiency, and long-life organic EL element.
US08729594B2 Semiconductor light-emitting element
A semiconductor light-emitting element includes, a first semiconductor layer, a second semiconductor layer, a light-emitting layer provided between the first semiconductor layer and the second semiconductor layer, a first electrode connected to the first semiconductor layer, and a second electrode provided on the second semiconductor layer. A side of the second electrode facing to the second semiconductor layer is composed of at least any one of silver and silver alloy. The second electrode has a void having a width of emission wavelength or less of the light-emitting layer in a plane of the second electrode facing to the second semiconductor layer.
US08729591B2 Opto-electronic device package with a semiconductor-based sub-mount having SMD metal contacts
Non-planar via designs for sub-mounts on which to mount a LED or other optoelectronic device include a continuous layer of metal to conduct the current from the front-side (e.g., LED side) to the backside (e.g., SMD side) through the via and to provide a sufficiently stable and reliable under bump metallization for SMD soldering. Each UBM can be structured so that it does not fully cover the sidewall surfaces of the via that forms the front-to-backside interconnect. In some implementations, each via structure for the feedthrough metallization extends to a respective side-edge of the sub-mount.
US08729590B2 Solid state lighting devices having side reflectivity and associated methods of manufacture
Solid state lighting devices having side reflectivity and associated methods of manufacturing are disclosed herein. In one embodiment, a method of forming a solid state lighting device includes attaching a solid state emitter to a support substrate, mounting the solid state emitter and support substrate to a temporary carrier, and cutting kerfs through the solid state emitter and the substrate to separate individual dies. The solid state emitter can have a first semiconductor material, a second semiconductor material, and an active region between the first and second semiconductor materials. The individual dies can have sidewalls that expose the first semiconductor material, active region and second semiconductor material. The method can further include applying a reflective material into the kerfs and along the sidewalls of the individual dies.
US08729589B2 High voltage array light emitting diode (LED) devices and fixtures
High voltage array light emitting devices, fixtures and methods are disclosed. In one embodiment a light emitting device can include a submount, a light emission area disposed over the submount and a retention material adapted to be dispensed about the light emission area. The light emitting device can be operable at high voltages which are greater than approximately 40 volts (V). In one aspect, the retention material can be least partially disposed within the light emission area such that the retention material physically separates a first section of the light emission area from a second section of the light emission area.
US08729585B2 Semiconductor light emitting device
According to one embodiment, in a semiconductor light emitting device, a substrate includes a first surface, a second surface opposite to the first surface, lateral surfaces intersected with the first surface and the second surface, first regions each provided on the lateral surface, and second regions each provided on the lateral surface. Each of the first regions has a first width and a first roughness. Each of the second regions has a second width smaller than the first width and a second roughness smaller than the first roughness. The first regions and the second regions are alternately arranged. A proportion of the sum of the first widths to a distance between the first surface and the second surface is 0.5 or more. A semiconductor laminated body is provided above the first surface of the substrate, and includes a first semiconductor layer, an active layer and a second semiconductor layer.
US08729577B2 Light-emitting device with head-to-tail P-type and N-type transistors
A light-emitting microelectronic device including a first N-type transistor (T1) and a second P-type transistor (T2), the respective gates of which are formed opposite one another, either side of an intrinsic semiconductor material region.
US08729571B2 Multiple die LED and lens optical system
A light emitting device includes a number of light emitting diode dies (LEDs) mounted on a shared submount and covered with a single lens element that includes a corresponding number of lens elements. The LEDs are separated from each other by a distance that is sufficient for lens element to include separate lens elements for each LED. The separation of the LEDs and lens elements may be configured to produce a desired amount of light on a target at a predefined distance. In one embodiment, the lens elements are approximately flat type lens elements, such as Fresnel, TIR, diffractive lens, photonic crystal type lenses, prism, or reflective lens.
US08729566B2 Semiconductor switching arrangement having a normally on and a normally off transistor
A semiconductor switching arrangement includes a normally on semiconductor component of a first conduction type and a normally off semiconductor component of a second conduction type which is the complement of the first conduction type. A load path of the normally off semiconductor component is connected in series with the load path of the normally on semiconductor component. A first actuation circuit connected between the control connection of the normally on semiconductor component and a load path connection of the normally on semiconductor component. The load path connection of the normally on semiconductor component is arranged between the normally on and normally off semiconductor components. A second actuation circuit is connected between the control connection of the normally off semiconductor component and a load path connection of the normally off semiconductor component. The load path connection of the normally off semiconductor component is arranged between the normally on and normally off semiconductor components.
US08729565B2 Layout design for a high power, GaN-based FET having interdigitated gate, source and drain electrodes
A FET includes a first and second set of finger arrays that each include a source, gate and drain. A first source pad is electrically coupled to source electrodes in the first set of finger arrays. A second source pad is electrically coupled to the source electrodes in the second set of finger arrays. A common drain pad is electrically coupled to drain electrodes in the first and second set of finger arrays. A first gate pad is electrically coupled to gate electrodes in the first set of finger arrays. A second gate pad is electrically coupled to gate electrodes in the second set of finger arrays. A substrate is also provided on which are disposed the first and second set of finger arrays, the first and second source pads, the common drain pad, and the first and second gate pads.
US08729564B2 Semiconductor light emitting device and method for manufacturing same
According to one embodiment, a semiconductor light emitting device includes a semiconductor layer, a first electrode, a second electrode, an insulating film, a first interconnection, a second interconnection, a barrier metal layer, a first metal pillar, a second metal pillar, and a resin. The semiconductor layer has a first major surface, a second major surface formed on an opposite side to the first major surface, and a light emitting layer. The first electrode is provided on the second major surface of the semiconductor layer. The second electrode is provided on the second major surface of the semiconductor layer and includes a silver layer. The insulating film is provided on the second major surface side of the semiconductor layer. The barrier metal layer is provided between the second electrode and the insulating film and between the second electrode and the second interconnection to cover the second electrode.
US08729562B2 Semiconductor device and method for manufacturing same
There are provided a high current semiconductor device that has low on-resistance, high mobility, and good pinch-off characteristics and in which a kink phenomenon is not easily caused even if a drain voltage is increased, and a method for producing the semiconductor device. The semiconductor device of the present invention includes a GaN-based layered body 15 having an opening 28, a regrown layer 27 including a channel, a gate electrode G, a source electrode S, and a drain electrode D. The regrown layer 27 includes an electron transit layer 22 and an electron supply layer 26. The GaN-based layered body includes a p-type GaN layer 6 whose end surface is covered by the regrown layer in the opening, and a p-side electrode 11 that is in ohmic contact with the p-type GaN layer is disposed.
US08729559B2 Method of making bulk InGaN substrates and devices thereon
A relaxed epitaxial AlxInyGa(1-x-y)N layer on a substrate having a semipolar surface orientation includes a plurality of misfit dislocations in portions of the thickness of the epitaxial layer to reduce bi-axial strain to a relaxed state.
US08729558B2 Nitride semiconductor device
According to one embodiment, a nitride semiconductor device includes a semiconductor layer, a source electrode, a drain electrode, a first and a second gate electrode. The semiconductor layer includes a nitride semiconductor. The source electrode provided on a major surface of the layer forms ohmic contact with the layer. The drain electrode provided on the major surface forms ohmic contact with the layer and is separated from the source electrode. The first gate electrode is provided on the major surface between the source and drain electrodes. The second gate electrode is provided on the major surface between the source and first gate electrodes. When a potential difference between the source and first gate electrodes is 0 volts, a portion of the layer under the first gate electrode is conductive. The first gate electrode is configured to switch a constant current according to a voltage applied to the second gate electrode.
US08729555B2 Liquid crystal display device and manufacturing method thereof
A break on a video signal line is prevented during patterning on the video signal line. A video signal line, a drain electrode, and a source electrode are simultaneously formed in the same layer. The video signal line includes three layers: a base layer, an AlSi layer, and a cap layer. Conventionally, an alloy having a high etching rate is formed at the boundary between the AlSi layer and the cap layer, causing breakage during patterning on the video signal line. According to the present invention, in the formation of the video signal line, the AlSi layer is formed by sputtering, a TFT is exposed to the atmosphere to form an Al oxide layer on the surface of the AlSi layer, and then the cap layer is formed by sputtering. Thus, the formation of an alloy having a high etching rate on a part of the AlSi layer is prevented, precluding the occurrence of a break on the video signal line.
US08729552B2 Backplane for flat panel display apparatus, flat panel display apparatus including the same, and method of manufacturing backplane for flat panel display apparatus
In one aspect, a back plane for a flat panel display apparatus include: a substrate; a source electrode and a drain electrode formed on the substrate; a capacitor bottom electrode formed on a same layer as the source/drain electrodes; an active layer formed on the substrate in correspondence to the source electrode and the drain electrode; a blocking layer interposed between the source electrode and the drain electrode and the active layer; a first insulation layer formed on the substrate to cover the active layer; a gate electrode formed on the first insulation layer in correspondence to the active layer; a capacitor top electrode formed on a same layer as the gate electrode in correspondence to the capacitor bottom electrode; and a second insulation layer formed on the first insulation layer to cover the gate electrode and the capacitor top electrode is provided.
US08729551B2 Flat panel display
A flat panel display includes; a first substrate, a white reflective layer disposed on the first substrate, a pixel electrode disposed on the white reflective, a second substrate disposed facing the first substrate, a common electrode disposed on the second substrate, and an electrooptic layer disposed between the pixel electrode and the common electrode, wherein the white reflective layer includes at least one of TiO2 and BaSO4.
US08729544B2 Semiconductor device and method for manufacturing the same
It is an object to provide a semiconductor device including a thin film transistor with favorable electric properties and high reliability, and a method for manufacturing the semiconductor device with high productivity. In an inverted staggered (bottom gate) thin film transistor, an oxide semiconductor film containing In, Ga, and Zn is used as a semiconductor layer, and a buffer layer formed using a metal oxide layer is provided between the semiconductor layer and a source and drain electrode layers. The metal oxide layer is intentionally provided as the buffer layer between the semiconductor layer and the source and drain electrode layers, whereby ohmic contact is obtained.
US08729538B2 Organic light emitting diode device and method for fabricating the same
The organic light emitting diode (OLED) device includes a first substrate defined by a display area and a panel edge portion surrounding the display area, a thin film transistor, a first electrode connected to the thin film transistor, a bank formed on the first substrate, an organic light emitting layer formed on the display area of the first substrate, a second electrode formed on an entire surface of the first substrate having the organic light emitting layer, an anti-moisture permeation pattern formed on the second electrode on the bank located at the panel edge portion, a passivation layer formed on an entire surface of the first substrate and a second substrate attached to the first substrate.
US08729534B2 Organic EL display panel
Disclosed is an organic EL display panel provided with a substrate and a plurality of sub-pixels that are disposed in a matrix upon the substrate, wherein: the sub-pixels comprise sub-pixels that emit red light, sub-pixels that emit green light, and sub-pixels that emit blue light; the sub-pixels are each provided with a pixel electrode that is disposed upon the substrate, an organic functional layer that is formed by coating upon the pixel electrode, a counter electrode that is disposed upon the organic functional layer, and a bank that defines the space in which the organic functional layer is formed; the sub-pixels include sub-pixels (X) that are disposed on at least one section of the edge of the substrate, and sub-pixels (Y) that are disposed in the center of the substrate and that emit light with the same color as the sub-pixels (X); the volume of the organic functional layer provided in the sub-pixels (X) is larger than the volume of the organic functional layer provided in the sub-pixels (Y); and the sizes of the space defined by the bank provided in the sub-pixels (X) are the same as the sizes of the space defined by the bank provided in the sub-pixels (Y).
US08729532B2 Light-absorbing material and photoelectric conversion element
The present invention provides a light-absorbing material capable of providing high photoelectric conversion efficiency when applied to a photoelectric conversion element.The light-absorbing material of the present invention has a structure represented by Formula (1) below: X—Y  (1) (wherein X represents a light-absorbing site, and Y represents a radical site that becomes a radical when in an oxidized state and/or when in a reduced state, and is capable of repeated oxidation-reduction).
US08729529B2 Thin film transistor including a nanoconductor layer
A thin film transistor having a channel region including a nanoconductor layer. The nanoconductor layer can be a dispersed monolayer of nanotubes or nanowires formed of carbon. The thin film transistor generally includes a gate terminal insulated by a dielectric layer. The nanoconductor layer is placed on the dielectric layer and a layer of semiconductor material is developed over the nanoconductor layer to form the channel region of the thin film transistor. A drain terminal and a source terminal are then formed on the semiconductor layer. At low field effect levels, the operation of the thin film transistor is dominated by the semiconductor layer, which provides good leakage current performance. At high field effect levels, the charge transfer characteristics of the channel region are enhanced by the nanoconductor layer such that the effective mobility of the thin film transistor is enhanced.
US08729528B2 Quantum dot-fullerene junction optoelectronic devices
An optoelectronic device includes a first electrode, a quantum dot layer disposed on the first electrode including a plurality of quantum dots, a fullerene layer disposed directly on the quantum dot layer wherein the quantum dot layer and the fullerene layer form an electronic heterojunction, and a second electrode disposed on the fullerene layer. The device may include an electron blocking layer. The quantum dot layer may be modified by a chemical treatment to exhibit increased charge carrier mobility.
US08729527B2 Light-receiving element, light-receiving element array, method for manufacturing light-receiving element and method for manufacturing light-receiving element array
A light-receiving element includes a group III-V compound semiconductor stacked structure that includes an absorption layer having a pn-junction therein. The stacked structure is formed on a group III-V compound semiconductor substrate. The absorption layer has a multiquantum well structure composed of group III-V compound semiconductors, and the pn-junction is formed by selectively diffusing an impurity element into the absorption layer. A diffusion concentration distribution control layer composed of a III-V group semiconductor is disposed in contact with the absorption layer on a side of the absorption layer opposite the side adjacent to the group III-V compound semiconductor substrate. The bandgap energy of the diffusion concentration distribution control layer is smaller than that of the group III-V compound semiconductor substrate. The concentration of the impurity element selectively diffused in the diffusion concentration distribution control layer is 5×1016/cm3 or less toward the absorption layer.
US08729524B2 Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics
In an aspect, the present invention provides stretchable, and optionally printable, components such as semiconductors and electronic circuits capable of providing good performance when stretched, compressed, flexed or otherwise deformed, and related methods of making or tuning such stretchable components. Stretchable semiconductors and electronic circuits preferred for some applications are flexible, in addition to being stretchable, and thus are capable of significant elongation, flexing, bending or other deformation along one or more axes. Further, stretchable semiconductors and electronic circuits of the present invention are adapted to a wide range of device configurations to provide fully flexible electronic and optoelectronic devices.
US08729523B2 Three dimensional memory array architecture
Three dimensional memory array architectures and methods of forming the same are provided. An example memory array can include a stack comprising a plurality of first conductive lines at a number of levels separated from one another by at least an insulation material, and at least one conductive extension arranged to extend substantially perpendicular to the plurality of first conductive lines. Storage element material is formed around the at least one conductive extension. Cell select material is formed around the at least one conductive extension. The at least one conductive extension, storage element material, and cell select material are located between co-planar pairs of the plurality of first conductive lines.
US08729519B2 Memory constructions
Some embodiments include memory constructions having a plurality of bands between top and bottom electrically conductive materials. The bands include chalcogenide bands alternating with non-chalcogenide bands. In some embodiments, there may be least two of the chalcogenide bands and at least one of the non-chalcogenide bands. In some embodiments, the memory cells may be between a pair of electrodes; with one of the electrodes being configured as a lance, angled plate, container or beam. In some embodiments, the memory cells may be electrically coupled with select devices, such as, for example, diodes, field effect transistors or bipolar junction transistors.
US08729518B2 Multilayer structure based on a negative differential resistance material
A multilayer structure is disclosed that includes a conductive layer, a layer of a negative differential resistance (NDR) material disposed above the conductive layer, a layer M2 disposed above the NDR material, a second layer of NDR material disposed above layer M2, and a conductive layer disposed above the second NDR layer. Layer M2 can include a conductive material interspersed with regions of a dielectric material or a layer of the dielectric material and regions of the conductive material disposed above and below the dielectric material.
US08729514B2 Surface inspection apparatus and method thereof
A defect inspection apparatus including: a first illumination optical system which is configured to illuminate the inspection area on a sample surface from a normal line direction or a direction near thereof with respect to said sample surface; a second illumination optical system which is configured to illuminate said inspection area from a slant direction with respect to said sample surface; a detection optical system having a plurality of first detectors which are located, in front of, on the sides of, and behind said inspection area, respectively, with respect to the illumination direction of said second illumination optical system, and where the regular reflected light component, from said sample surface, by illumination light of said second illumination optical system, is not converged; and a signal processing system which is configured to inspect a defect, upon basis of signals obtained from said plurality of first detectors.
US08729513B2 Systems and methods for the detection of orientation features on a material web
This application relates to systems and methods for the detection of orientation features on a material web.
US08729512B2 Optical coupling element and method for manufacturing the same
An optical coupling element includes a light emitting element and a light receiving element which receives emitted light from the light emitting element. The optical coupling element contains a silicone resin and includes a light transparent resin which covers the light emitting element and the light receiving element and transmits the signal light emitted from the light emitting element to the light receiving element (for example, a specific light transparent gel resin) and a light reflection resin which covers a circumference of the light transparent resin. To the light transparent resin, a dye which absorbs light having a shorter wavelength than a predetermined wavelength range including a light emitting wavelength of the light emitting element is added in a concentration of 0.7% by weight or less.
US08729511B2 Electromagnetic wave beam splitter
Embodiments of the present invention relate to an electromagnetic wave beam splitter, comprising a functional layer made of at least one metamaterial sheet, wherein different metamaterial sheets have the same refractive index distribution; the metamaterial sheet may be divided into a circular region and an annular region concentric to the circular region; a refractive index increases continuously as a radius increases and refractive indices at the same radius are the same within the circular region; and a refractive index decreases continuously as a radius increases and refractive indices are the same at the same radius within the annular region. The circular region of the functional layer of the beam splitter according to the present invention has the function of diverging an electromagnetic wave; the annular region has the function of converging an electromagnetic wave; the electromagnetic wave incident on the circular region of the functional layer deflects toward edges on two sides of the functional layer respectively; the electromagnetic wave incident on the annular region deflects in a direction toward a circle center; and after an electromagnetic wave emitted by a signal source is incident on the beam splitter, an emergent electromagnetic wave forms an annular radiation region. This can satisfy the requirements of, for example, avoiding an obstacle and interference.
US08729504B2 Identification device for tin surface of float glass
An identification device for a tin surface of float glass includes an outer shell (3), a gas discharge light tube (5) and a power source. The gas discharge light tube (5) and the power source are arranged inside the outer shell (3). An irradiation window is installed on the outer shell (3) corresponding to the position of the gas discharge light tube (5). A UV light-absorbing mark (6) is provided on the inner or outer surface of the irradiation window, and the tin surface of float glass can be visually identified according to whether the mark (6) can be observed.
US08729503B2 System, method and apparatus for forensic marking
A forensic marker is disclosed including a marker that is added to the host material and is detectable for at least the expected life of the host material. The marker is inert with respect to the host material in that it does not significantly affect the desired qualities of the host material (e.g. weight, adhesive properties, structural integrity, etc). The marker is detectable, for example, by instruments, during the life of the host material.
US08729500B2 Dielectric barrier discharge lamp device, and optical fluid treatment device provided with the dielectric barrier discharge lamp device
A dielectric barrier discharge, DBD, lamp device comprises a toroid shaped discharge chamber (10) having a discharge chamber wall (12). The discharge chamber wall comprises a tubular inner wall section (14), a tubular outer wall section (16), and two ring-shaped end wall sections (18, 20). Each of the end wall sections extend between an end of the outer wall section and an end of the inner wall section. A high voltage electrode (22) is provided at an outer surface of the outer wall section of the discharge chamber wall. A low voltage electrode comprises an electrically conducting fluid surrounded by the inner wall section of the discharge chamber wall. The DBD lamp device may be part of an optical fluid treatment device.
US08729499B2 Device for internal and external sterilisation of plastic containers by means of charge carrier beams
An apparatus for sterilizing at least a segment of an inner wall and a segment of an outer wall of containers by accelerated charge carriers, includes at least one first external application device for sterilizing at least a segment of an outer wall of containers and an internal application device for sterilizing at least a segment of an inner wall of containers. The internal application device at least in portions is arranged to be introduced through an opening into the container in order to apply the emitted charge carriers to an inner wall of the container. The containers are transported along a transport path during their sterilization, wherein along a segment of the transport path of the containers between the first external application device and the internal application device is arranged a pitch change device to change a distance between two containers succeeding each other along the transport path.
US08729493B2 Drawing apparatus, method of manufacturing article, method of manufacturing deflecting apparatus, and method of manufacturing drawing apparatus
A drawing apparatus includes a plurality of charged particle optical elements that are sequentially passed through by a plurality of charged particle beams and performs drawing on a substrate with the charged particle beams. The apparatus further includes a deflector array which includes a plurality of deflectors disposed for respective one or more charged particle beams, each of which aligning corresponding one or more charged particle beams between two of the plurality of charged particle optical elements, a plurality of devices configured to respectively apply a plurality of potentials to the deflector array, and a connector configured to connect each of a plurality of electrodes included in the deflector array to one of the plurality of devices and connect electrodes, to which an equal potential is applied, to each other. Number of devices included in the plurality of devices is less than number of electrodes included in the deflector array.
US08729477B2 Distance detecting induction device
A distance detection determination device includes positioning brackets that couple to a housing for the device. The positioning brackets include an emitting positioning bracket and a receiving positioning bracket that respectively hold and accurately position an infrared light emitter and an infrared light receiver.
US08729475B1 Absorption biased single beam NDIR gas sensor
An Absorption Biased (AB) methodology for NDIR gas sensors is used with a single infrared source and a detector to detect a single gas of interest by using a motion device to change the path length between that of the signal and reference channels. As in the case of the AB designed NDIR gas sensor, the ratio of the output of the Signal channel, measured during location arrangement X, over that of the Reference channel, measured during location arrangement Y, will be used to process the gas measurement. Multiple gases of interest can be detected by using one detector to detect multiple gases and/or by locating a second detector to detect multiple gases more distant from the source than the first detector, thereby creating longer path lengths for the second detector.
US08729471B2 Electron detector including an intimately-coupled scintillator-photomultiplier combination, and electron microscope and X-ray detector employing same
A charged particle beam device includes an electron source structured to generate an electron beam, the electron source being coupled to an electron column that at least partially houses a system structured to direct the electron beam toward a specimen positioned in a sample chamber to which the electron column is coupled, and an electron detector. The electron detector includes one or more assemblies positioned within the electron column or the sample chamber, each of the assemblies including an SiPM and a scintillator directly connected face-to-face to an active light sensing surface of the SiPM without a light transporting device being positioned in between the scintillator and the SiPM.
US08729466B1 Aberration-corrected and energy-filtered low energy electron microscope with monochromatic dual beam illumination
One embodiment relates to an apparatus for correcting aberrations introduced when an electron lens forms an image of a specimen and simultaneously forming an electron image using electrons with a narrow range of electron energies from an electron beam with a wide range of energies. A first electron beam source is configured to generate a lower energy electron beam, and a second electron beam source is configured to generate a higher energy electron beam. The higher energy beam is passed through a monochromator comprising an energy-dispersive beam separator, an electron mirror and a knife-edge plate that removes both the high and low energy tail from the propagating beam. Both the lower and higher energy electron beams are deflected by an energy-dispersive beam separator towards the specimen and form overlapping illuminating electron beams. An objective lens accelerates the electrons emitted or scattered by the sample. The electron beam leaving the specimen is deflected towards a first electron mirror by an energy-dispersive beam separator, which introduces an angular dispersion that disperses the electron beam according to its energy. A knife-edge plate, located between the beam separator and first electron mirror, is inserted that removes all of the beam with energy larger and smaller than a selected energy and filters the beam according to energy. One or more electron lenses focus the electron beam at the reflection surface of the first electron mirror so that after the reflection and another deflection by the same energy-dispersive beam separator the electron beam dispersion is removed. The dispersion-free and energy-filtered electron beam is then reflected in a second electron mirror which corrects one or more aberrations of the objective lens. After the second reflection, electrons are deflected by the magnetic beam separator towards the projection optics which forms a magnified, aberration-corrected, energy-filtered image on a viewing screen.
US08729464B2 Method for determining stage of chronic kidney disease, device therefor and method for operating the same
This invention provides a method or device for determining the stage of chronic kidney disease.The present invention relates to a method for determining a stage of chronic kidney disease in a subject suffering from kidney disease, the method comprising the steps of: (A-1) measuring the content of at least one marker selected from the group consisting of markers (1) to (16) in a specimen from the subject, (B-1) determining the stage indicated by each marker by comparing the content of the at least one marker in the specimen from the subject, which has been measured in step (A-1), with a reference content range determined in each stage, and (C-1) determining that when each marker indicates the same stage, which has been determined in step (A-1), the chronic kidney disease in the subject is in that stage.
US08729461B2 Tandem ion trapping arrangement
A mass spectrometer is disclosed comprising a first storage ion trap arranged upstream of a high performance analytical ion trap. According to an embodiment ions are simultaneously scanned from both the first and second ion trap. At any instant in time the quantity of charge present within the second ion trap is limited or restricted so that the second ion trap does not suffer from space charge saturation effects and hence the performance of the second ion trap is not degraded.
US08729460B2 Apparatuses and methods for control and self-assembly of particles into adaptable monolayers
Apparatuses and methods for the control and self-assembly of particles into adaptable monolayers and changing the relative position of a plurality of particles at an interface between two fluids, including applying an electric field perpendicular to the interface; moving the particles vertically in the interface in response to applying the electric field; moving the particles laterally within the interface in response to the electric field and capillary forces; maintaining the particles at the interface when moving the particles vertically; and maintaining the particles at the interface when moving the particles laterally.
US08729459B2 Apparatus and method for detecting optical energy in an optical fiber
An apparatus for optical signal detection may include a housing in which a channel may be defined to receive an optical fiber in a bent configuration. The channel may have a predetermined radius of curvature to cause optical energy to escape from the fiber when an optical signal is being conveyed in the fiber and be detected at a photodetector arranged at the predetermined radius of curvature.
US08729457B2 Invisible, light-transmissive display system
An invisible, light-transmissive display system with a light resistant material is provided. Substantially invisible, tapered, light-transmissive holes are penetrated in a light-transmissive pattern through at least a portion of the light resistant material using a laser beam having a focal width less than the smallest diameter of the tapered holes.
US08729452B2 Variable gain amplifier compensating frequency response thereof
A variable gain amplifier canceling the dependence of the frequency bandwidth thereof on the gain is disclosed. The variable gain amplifier includes a differential stage with a cascade transistor put between an amplifying transistor and a load resistor. The amplifier further includes a current supplier and a current extractor. The current supplier extracts a current flowing in the second transistor by supplying an additional current to the amplifying transistor. The current extractor adds the additional current flowing in the load resistor which is extracted by the additional current by the current supplier. The bias condition of the load resistor is kept substantially constant independent of the equivalent impedance of the cascade transistor.
US08729446B2 Outdoor lighting fixtures for controlling traffic lights
An outdoor lighting fixture for communicating with a traffic light system is shown and described. The outdoor lighting fixture includes a ballast for providing current to at least one lamp and a fixture housing at least partially surrounding the ballast and the at least one lamp. The outdoor lighting fixture further includes a mount configured to hold the fixture housing to a pole and a control circuit wired to the ballast. A sensor and a radio frequency transceiver are wired to the control circuit. The control circuit is configured to process inputs from the sensor to determine whether to send a light change instruction to the traffic system via the radio frequency transceiver.
US08729442B2 Predicting and correcting trajectories
Technology for predicting and correcting a trajectory is described. The technology can create a model to predict a position of the reusable launch vehicle at a time in the future; observe a wind condition during ascent of the reusable launch vehicle; store the observed wind condition in a wind map; predict during ascent a position and a terminal lateral velocity of the reusable launch vehicle at a terminal altitude; and correct a flight trajectory of the reusable launch vehicle based on the wind map.
US08729427B2 Minimizing thermal effect during material removal using a laser
A process to cut sheet material using a laser is improved by performing a first plurality of routings using a first toolpath for the laser and performing at least a second routing using a second toolpath for the laser after performing the first plurality of routings using the first toolpath, the second toolpath traverse from a kerf formed by the laser as a result of performing the first plurality of routings. A z-height shift can be simultaneously implemented with the transverse shift. By shifting the toolpath, interference of plasma generated during laser processing is minimized by maximizing the coupling of the laser and the material, resulting in less discoloration and/or burning of the material.
US08729425B2 Air assist apparatus and method for an engraving laser
An engraving laser assembly comprises a tubular rail defining a plenum in an interior of the rail. A carriage is operatively associated with to the tubular rail for axial movement along the rail. A focusing optic is mounted to the carriage for focusing a laser beam to a focal point on an engraving plane operatively with the carriage. A gas inlet is operatively associated with the tubular rail and the inlet is configured for attachment to a source of pressurized gas to provide pressurized gas to the plenum. A plurality of holes are provided in the tubular rail in fluid communication with the plenum. The holes are configured so that, with a source of pressurized gas attached to the gas inlet, a stream of pressurized gas is directed from each hole toward the engraving plane.
US08729422B2 Integrated consumable storage space within a plasma cutting system
Methods and systems for housing a consumable storage bin in addition to structural, thermal management, pneumatic, and/or electronic system components in a single multifunctional component within a plasma cutting power supply are provided. One embodiment of the present disclosure relates to a consumable storage bin, which fits into a consumable storage area in the power supply unit and is accessible to a user. In one embodiment, the consumable storage bin may be eliminated, leaving only the consumable storage area in which racks, snaps, friction-fit retention features, and so forth, may be placed to hold the consumables and ensure easy user access.
US08729418B2 Method for repairing a welded connection
A method produces a welded connection between first and second components each having inner and outer sides interconnected by an end face. The first component has a ferritic basic body carrying a plating at the inside and having an end face with a buffer layer of Ni-based alloy. The second component is of austenitic material. The end faces of the components enclose a weld groove. An austenitic root, connecting the plating to the end face of the second component, is welded in the weld groove. An intermediate layer of a nickel alloy having at least 90% nickel is welded onto the root. The intermediate layer is connected to the end faces of the plating and the second component. A weld seam is then produced in the remaining weld groove using a nickel-based welding additive. A method for repairing a welded connection between first and second components is also provided.
US08729412B2 Nanoelectromechanical logic devices
Nanoelectromechanical logic devices can include a plurality of flexible bridges having control and logic electrodes. Voltages applied to control electrodes can be used to control flexing of the bridges. The logic electrodes can provide logical functions of the applied voltages.
US08729411B2 Method of determining coordinate on micro dotmap according to moving vector
When a user holds an optical scanning device to scan a micro dotmap on a displaying medium, a coordinate of a frame center of a retrieved frame on the displaying medium is calculated according to a decoding method for the micro dotmap in advance. A moving vector corresponding to a difference between difference frames scanned by the optical scanning device at different moments is calculated so that an instant location of the frame center on the displaying medium can be calculated anytime. Therefore, a large number of calculations brought by frequent decoding may be saved since merely a few calculations are required in calculating the moving vector. By further decoding the coordinate of the frame center at moments spaced with constant or variable intervals, errors brought by vibrations of the displaying medium are instantly fixed. Accumulated errors are avoided in the calculated instant locations of the frame center anytime.
US08729410B2 Arrangement for conveying controlled portions of a product material to a combinational weighing system consisting of a transport screw with a quick release mechanism
A weighing arrangement includes an infeed for product material to be weighed and at least one dosing mechanism for controlled conveying of product material portions from the infeed to a weighing system. The dosing mechanism includes a motor-driven transport screw positioned in an open trough for conveying the product material from the infeed to the weighing system, and a control unit for controlling the motor in order to deliver a desired portion of product material to the weighing system. This weighing arrangement provides a gentle, well-defined conveyance of product materials that are not suited for vibrational conveyance or conveyance by a screw conveyor in a tubular housing.
US08729408B2 Moulding to conceal wiring
Moulding to conceal wiring has at least one piece, a cavity within the piece and a resilient flap for the cavity. The cavity and the flap extend for the length of the moulding. The moulding has a major piece that receives a minor piece. The major piece has a top portion upon a stem above a base portion. The top portion has a contour of architectural form. The top portion has cladding over it and nearly half way down the stem. The base portion has a keyway for the minor portion. The minor piece has a tongue with cladding upon it and that extends for half of the cavity. The cladding of the top portion has a free end that extends inside of the tongue. The free end deflects into the cavity for wire insertion but resiliently closes against the tongue. Alternatively, the invention has single piece construction.
US08729406B2 Method of fabricating a printed circuit board
Disclosed is a printed circuit board, which includes an insulating member having a circuit pattern embedded in one surface thereof, a bump pad formed in the insulating member so as to be connected to the circuit pattern and protruding from an outer surface of the insulating member, a build-up layer formed on one surface of the insulating member and including a build-up insulating layer and a circuit layer formed in the build-up insulating layer and having a via connected to the circuit pattern, and a solder resist layer formed on the build-up layer. A method of fabricating the printed circuit board is also provided. The printed circuit board is fabricated using a build-up process and the outermost circuit layer thereof is formed to have an embedded structure using an imprinting process, thus minimizing the separation of the circuit layer and reducing the lead time and the fabrication cost.
US08729405B2 Wiring board and method for manufacturing the same
A printed wiring board wiring board including a substrate having a first penetrating hole and multiple second penetrating holes formed around the first penetrating hole, a first conductive portion and a second conductive portion formed on one surface of the substrate, a third conductive portion and a fourth conductive portion formed on the opposite surface of the substrate, a first through-hole conductor formed in the first penetrating hole and connecting the first conductive portion and the third conductive portion, and multiple second through-hole conductors formed in the second penetrating holes and connecting the second conductive portion and the fourth conductive portion. The first through-hole conductor and the second through-hole conductors are made of conductive material filled in the first penetrating hole or the second penetrating holes.
US08729396B2 Full composite insulator for electrical cutout
An insulator for an electrical cutout having a fuse assembly, and methods of manufacturing. The insulator includes a weathershed housing and a single-piece full composite insulator body having no metal components. The insulator body includes a nonmetal composite polymer and a plurality of fibers. The insulators have improved mechanical strength and electrical performance over conventional insulators.
US08729391B2 Wire or cable
A wire or cable comprising a conductor or wire core and a coating layer on the exterior of the conductor or wire core which is formed from a rubber or plastic composition containing a rubber or plastic and an inorganic filler having high moisture resistance is provided. The inorganic filler is the one which has been surface treated with an alkoxy group-containing organopolysiloxane having an unsaturated aliphatic group-containing group and a monovalent hydrocarbon group of 3 to 10 carbon atoms containing no aliphatic unsaturated bond obtained by partially cohydrolytic condensation of an organic functional group-containing silane and/or its (partially) hydrolytic condensate, and an organic functional group-containing silane and/or its (partially) hydrolytic condensate.
US08729389B2 Apparatus comprising rack, component, cable and cable management assembly
An apparatus is disclosed including a rack; a component mounted to the rack and a cable connected at one end to the component. A cable management assembly for managing the cable has a first end fixed relative to the component and a second end fixed relative to the rack. The cable management assembly includes a first support member having a cable attachment portion that supports the cable along a first length; and, a second support member having a cable attachment portion that supports the cable along a second length. The support members are arranged to pivot with respect to each other about a pivot axis as the component moves between received and withdrawn positions in the rack. The first and second lengths of cable are offset from each other in the direction of the pivot axis.
US08729387B2 Organic photoelectric conversion element, solar cell and optical sensor array
Disclosed is an organic photoelectric conversion element having high photoelectric conversion efficiency and high durability. Also disclosed are a solar cell and an optical sensor array, each using the organic photoelectric conversion element. The organic photoelectric conversion element comprises a bulk heterojunction layer wherein an n-type semiconductor material and a p-type semiconductor material are mixed. The organic photoelectric conversion element is characterized in that the n-type semiconductor material is a polymer compound and the p-type semiconductor material is a low-molecular-weight compound.
US08729383B2 Stacked-layered thin film solar cell and manufacturing method thereof
Disclosed are a stacked-layered thin film solar cell and a manufacturing method thereof. The stacked-layered thin film solar cell includes plural unit cells connected together electrically, each including a substrate, a first electrode layer, a first photoconductive layer, an interlayer, a second photoconductive layer and a second electrode layer, wherein the first electrode layer is divided by plural first grooves; plural second grooves are formed through the second photoconductive layer, the interlayer, and the first photoconductive layer; and plural third grooves are formed in the second electrode layer and extended downward through the first photoconductive layer. The first, second and third grooves are offset with respect to one another. The stacked-layered thin film solar cell is characterized by plural recesses formed at intersections between the interlayer and the second grooves for preventing leakage of electrical current from the first or second photoconductive layer to the interlayer through the second grooves.
US08729381B2 Nanostructures having high performance thermoelectric properties
The invention provides for a nanostructure, or an array of such nanostructures, each comprising a rough surface, and a doped or undoped semiconductor. The nanostructure is an one-dimensional (1-D) nanostructure, such a nanowire, or a two-dimensional (2-D) nanostructure. The nanostructure can be placed between two electrodes and used for thermoelectric power generation or thermoelectric cooling.
US08729379B2 Simulated percussion instrument
An electronic instrument simulating a percussion instrument using capacitive touch sensitive sensors. The instrument has an art layer, a sensor layer, a shielding layer, an electronics package and a speaker. The art layer has depictions of one or more percussion instruments. The sensor layer is deposed under the art layer. The sensor layer has one or more instrument sensors, each with one or more capacitive touch sensors. Instrument sensors are positioned underneath one of the depicted percussion instruments in the art layer so that a finger tapping the depicted instrument will trigger the sensor. The capacitive touch sensors are electrically connected to the electronics package configured to detect changes in capacitance when a particular capacitive touch sensor is touched, causing the electronics package to play on the speaker a sound sample of an percussion instrument associated with that capacitive touch sensor.
US08729377B2 Generating tones with a vibrato effect
Provided are a method, computer storage device, and tone control device for generating tones with a vibrato effect. A determination is made of a key depression interval comprising a difference of a current time of a current note from a previous time of a previous note. A performance mode is set to a single tone mode, in which only one note is generated, or a polyphonic mode, in which multiple notes are simultaneously generated, based on the determined key depression interval. The tone is generated to output the current note with a first modulation magnitude in response to determining that the performance mode is the single tone mode. A tone is generated to output the current note with a second modulation magnitude in response to determining that the performance mode is the polyphonic mode, wherein the first modulation magnitude is greater than the second modulation magnitude.
US08729376B2 Musical sound synthesizing apparatus
In a musical sound synthesizing apparatus, a loop part including at least a delay element is configured to receive an excitation signal in response to a sound generation instruction so as to synthesize a musical sound signal by looping the excitation signal therein. A waveform memory stores first waveform data representing sound which is generated by a natural musical instrument and which contains resonance, the first waveform data containing a plurality of frequency components having various levels. A waveform processing unit removes, from the first waveform data, one or more frequency component having a level that does not reach a predetermined level, to generate second waveform data. The second waveform data generated by the waveform processing unit is input as the excitation signal to the loop part.
US08729375B1 Platter based electronic musical instrument
An electronic musical instrument includes a platter, rotational position sensor, and a processor. The platter can be rotated about a center point by a user. The rotational position sensor senses rotation of the platter and provides a position signal indicative of the sense rotation of the platter. The buffer stores a sound sample. The processor receives the position signal from the rotational position sensor, determines a rotational position of the platter as a function of the position signal, retrieves a sound sample from a buffer, shifts a frequency of the sound sample as a function of the determined rotational position of the platter, and renders the frequency-shifted sound sample. The instrument may also include user inputs for selecting octaves per rotation and scale divisions within each octave which further define the frequency shift applied by the processor as a function of the determined rotational position of the platter.
US08729373B2 Cupping device for attachment to a harmonica holder
The present cupping device attaches to the harmonica holder and provides a simulated cupping effect when the musician is playing the harmonica hands-free. The cupping device is generally a U-shaped elongate channel formed by bending a rigid sheet material to create a chamber. The elongate channel attaches to a harmonica holder and the open side of the elongate channel receives the back of a harmonica also mounted on the harmonica holder. Each end of the elongate channel is open to permit the ingress and egress of air while playing. A microphone may be clipped to one or both open ends and positioned within the elongate channel. The cupping device isolates the harmonica sound so that it can be amplified at higher volumes without feedback or interference.
US08729371B2 Stringed instrument, manufacturing method and apparatus thereof
The present invention provides a stringed instrument capable of corresponding to a preference of a player of the stringed instrument, a purpose of performance, and so on as much as possible. A resonance box portion fabricated by an additive fabrication method and a neck portion protruding from the resonance box portion are included, and material constants at a desired area of the resonance box portion is made different from material constants at an adjacent area adjacent to the desired area step by step or continuously. Vibrational characteristics of the instrument are changed by the partial difference of the material constants, and thereby, the stringed instrument capable of corresponding to the preference of the player, the purpose of the performance, and so on is provided.
US08729365B2 Plants and seeds of corn variety CV591103
According to the invention, there is provided seed and plants of the corn variety designated CV591103. The invention thus relates to the plants, seeds and tissue cultures of the variety CV591103, and to methods for producing a corn plant produced by crossing a corn plant of variety CV591103 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV591103 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV591103.
US08729361B2 Plants and seeds of corn variety CV140658
According to the invention, there is provided seed and plants of the corn variety designated CV140658. The invention thus relates to the plants, seeds and tissue cultures of the variety CV140658, and to methods for producing a corn plant produced by crossing a corn plant of variety CV140658 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV140658 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV140658.
US08729360B2 Plants and seeds of corn variety CV601120
According to the invention, there is provided seed and plants of the corn variety designated CV601120. The invention thus relates to the plants, seeds and tissue cultures of the variety CV601120, and to methods for producing a corn plant produced by crossing a corn plant of variety CV601120 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV601120 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV601120.
US08729354B2 Soybean cultivar 15183211
A soybean cultivar designated 15183211 is disclosed. The invention relates to the seeds of soybean cultivar 15183211, to the plants of soybean cultivar 15183211, to the plant parts of soybean cultivar 15183211, and to methods for producing progeny of soybean cultivar 15183211. The invention also relates to methods for producing a soybean plant containing in its genetic material one or more transgenes and to the transgenic soybean plants and plant parts produced by those methods. The invention also relates to soybean cultivars or breeding cultivars, and plant parts derived from soybean cultivar 15183211. The invention also relates to methods for producing other soybean cultivars, lines, or plant parts derived from soybean cultivar 15183211, and to the soybean plants, varieties, and their parts derived from use of those methods. The invention further relates to hybrid soybean seeds, plants, and plant parts produced by crossing cultivar 15183211 with another soybean cultivar.
US08729351B2 Soybean variety A1035428
The invention relates to the soybean variety designated A1035428. Provided by the invention are the seeds, plants and derivatives of the soybean variety A1035428. Also provided by the invention are tissue cultures of the soybean variety A1035428 and the plants regenerated therefrom. Still further provided by the invention are methods for producing soybean plants by crossing the soybean variety A1035428 with itself or another soybean variety and plants produced by such methods.
US08729349B2 Soybean variety XB28A12
A novel soybean variety, designated XB28A12 is provided. Also provided are the seeds of soybean variety XB28A12, cells from soybean variety XB28A12, plants of soybean XB28A12, and plant parts of soybean variety XB28A12. Methods provided include producing a soybean plant by crossing soybean variety XB28A12 with another soybean plant, methods for introgressing a transgenic trait, a mutant trait, and/or a native trait into soybean variety XB28A12, methods for producing other soybean varieties or plant parts derived from soybean variety XB28A12, and methods of characterizing soybean variety XB28A12. Soybean seed, cells, plants, germplasm, breeding lines, varieties, and plant parts produced by these methods and/or derived from soybean variety XB28A12 are further provided.
US08729342B2 Treatment of banana and potato plants with a new antifungal composition
The present invention relates to the treatment of banana and potato plants with a composition containing natamycin and at least one phosphite containing compound.
US08729339B2 Gene silencing
The invention provides methods and compositions useful in target sequence suppression and target sequence validation. The invention provides polynucleotide constructs useful for gene silencing, as well as cells, plants and seeds comprising the polynucleotides. The invention also provides a method for using microRNA to silence a target sequence.
US08729338B2 Method of modifying the carbohydrate content of a plant
A method of modifying at least one carbohydrate in a tissue of a plant is described. The method is typically applied to a sugarcane plant of the genus Saccharum method and includes the steps of inserting into a plant cell a gene silencing cassette which includes nucleic acid operably linked to transcription elements such as a monocotyledonous promoter for transcribing the nucleic acid in a plant cell, wherein transcription of the nucleic acid decreases activity of UMP synthase. The method further includes the steps of regenerating a transgenic plant from the plant cell and producing the tissue with increased carbohydrate content. Vectors for use therefor, as well as a transformed plant cell and a transgenic plant or plant part containing or derived from a transformed plant cell are also described.
US08729332B2 Pants-type disposable diaper
A pants-type disposable diaper comprises: a pants-shaped outer member having a front part, a back part, and a crotch part positioned between the front part and the back part, and having a waist opening and a pair of leg openings formed by joining the front part and the back part; an absorbent main body disposed on an inner surface of the pants-shaped outer member at the crotch part, and comprising a top sheet, a back sheet, and an absorbent core disposed between the top sheet and the back sheet; and an end-holding sheet covering a longitudinal end of the absorbent main body at the front part and/or the back part of the pants-shaped outer member, and attached to the pants-shaped outer member and the absorbent main body with a hot-melt adhesive; wherein the end-holding sheet includes a composite nonwoven fabric in which a spunbonded nonwoven fabric layer is laminated on an inner surface of a meltblown nonwonven fabric layer. According to the pants-type disposable diaper on the above, a hot-melt adhesive is prevented from permeating an end-holding sheet, whereby a skin problem is less likely to be caused for the wearer.
US08729329B2 Supported liquid phase ionic liquid catalyst process
A process, comprising: a) introducing an acidic ionic liquid to a reactor comprising a solid support; b) feeding to the reactor a feed stream comprising a Brønsted acid and a hydrocarbon mixture comprising: i. at least one alkylatable hydrocarbon, and ii. at least one alkylating agent; and c) collecting one or more liquid hydrocarbon products in an effluent from the reactor, wherein the one or more liquid hydrocarbon products are oligomer products, alkylate products, or mixtures thereof, made from the alkylatable hydrocarbon. Also, a process, comprising: a) introducing an acidic ionic liquid to a reactor comprising a solid support; b) feeding to the reactor a feed stream comprising a Brønsted acid and a hydrocarbon mixture; c) cooling the reactor by evaporating a volatile hydrocarbon from a reaction zone in the reactor; and d) collecting one or more liquid hydrocarbon products made from the hydrocarbon mixture.
US08729328B2 Process for the oxidative coupling of hydrocarbons
A method for the oxidative coupling of hydrocarbons, such as the oxidative coupling of methane to toluene, includes providing an oxidative catalyst inside a reactor, and carrying out the oxidative coupling reaction under a set of reaction conditions. The oxidative catalyst includes (A) at least one element selected from the group consisting of the Lanthanoid group, Mg, Ca, and the elements of Group 4 of the periodic table (Ti, Zr, and Hf); (B) at least one element selected from the group consisting of the Group 1 elements of Li, Na, K, Rb, Cs, and the elements of Group 3 (including La and Ac) and Groups 5-15 of the periodic table; (C) at least one element selected from the group consisting of the Group 1 elements of Li, Na, K, Rb, Cs, and the elements Ca, Sr, and Ba; and (D) oxygen.
US08729323B2 Production of hydrocarbon from high free fatty acid containing feedstocks
There is provided a process for converting high free fatty acid containing feedstock such as acidulated soapstock into hydrocarbon compound especially fuel range hydrocarbons using amidation as a pretreatment step followed by hydroprocessing.
US08729320B2 Method for producing difluorocyclopropane compound
Provided is a method for producing a difluorocyclopropane compound under milder reaction conditions and with high selectivity and high yield. The method for producing a difluorocyclopropane compound of the present invention is characterized by using sodium bromodifluoroacetate as a difluorocyclopropanation agent. With the disclosed method, a difluorocyclopropane compound can be produced under milder reaction conditions and with a higher conversion rate and a higher yield compared to conventional art. Further, by-products can be reduced significantly, thus allowing waste to be greatly reduced. Accordingly, the production method of the present invention is easy to implement industrially (can be employed on an industrial scale) and is thus extremely practical and useful.
US08729318B1 Process for producing ethanol from methyl acetate
The present invention relates to a process for producing ethanol by methyl acetate hydrogenolysis. The process comprises the step of reacting carbon monoxide and methanol in a reaction medium to form a reaction solution that comprises acetic acid and from 0.5 to 25 wt. % methyl acetate. The process further comprises the step of esterifying the acetic acid and feeding the methyl acetate to a distillation column to remove alkyl halides. The process further comprises the steps of reacting the methyl acetate stream that does not contain alkyl halides and hydrogen in the presence of a second catalyst to form an alcohol product that comprises ethanol and/or methanol.
US08729315B2 Process for the preparation of phenol from cumene
Process for the production in continuous or semi-continuous of phenol/acetone from cumene, via cumene hydroperoxide (CHP), which comprises: a. producing CHP in an air-lift reactor in which at least the upper and/or lower part of the downcomer has a flaring; b. cleaving the cumene hydroperoxide by means of acid treatment in a loop reactor comprising two heat exchangers connected in series and wherein the feedings of CHP and fresh acetone are in pairs and each pair is positioned up-stream of each exchanger.
US08729313B2 Process for the manufacturing of sevoflurane
The present invention provides a method for forming sevoflurane comprising (i) combining chlorosevo ether, a nucleophilic fluoride reagent, and a solvent comprising sevoflurane to form an initial reaction mixture and (ii) reacting the initial reaction mixture to form additional sevoflurane relative to the amount of sevoflurane present in the initial reaction mixture. The present disclosure is also directed to a method for forming sevoflurane, comprising: initiating a reaction between chlorosevo ether and a nucleophilic fluoride reagent in an initial reaction mixture further comprising a solvent comprising sevoflurane, thereby forming additional sevoflurane relative to the amount of sevoflurane present in the initial reaction mixture.
US08729311B2 Catalysts for converting acetic acid to acetone
The present invention relates to a process for the formation of acetone from acetic acid. The process is conducted at an elevated temperature of above 225° C. by contacting a feed stream containing acetic acid, and an optional carrier gas, with a catalyst. The catalyst comprises a support that is favorable for the production of acetone. The support may comprise titania, zirconia, ceria, silica, iron oxide, and carbon, but preferably is titania, zirconia, and ceria.
US08729310B2 Halogenated diarylamine compound and synthesis method thereof
An object is to provide a new halogenated diarylamine compound serving as a source material for synthesis of a variety of diarylamine compounds and triarylamine compounds and a synthesis method of the new halogenated diarylamine compound. A halogenated diarylamine compound represented by the following general formula (G1) and a synthesis method thereof are provided. Note that a variety of diarylamine compounds and triarylamine compounds can be synthesized using the halogenated diarylamine compound represented by the following general formula (G1).
US08729309B2 Method for producing nitrobenzene by adiabatic nitriding
The invention relates to a process for the continuous production of nitrobenzene by nitration of benzene with a mixture of nitric acid and sulfuric acid under adiabatic conditions, in which unreacted benzene is separated from the crude product obtained after phase separation before washing thereof, using the adiabatic heat of reaction.
US08729308B2 Process for the preparation of tapentadol and intermediates thereof
The present invention refers to a new process for the synthesis of tapentadol comprising the quantitative resolution of the racemic mixture (V) to obtain the stereoisomer of (S)-3-(dimethylamino)-2-methyl-1-(3-nitrophenyl)-propan-1-one (VII) according to the Scheme 2 below using the (2R,3R)—O,O′-dibenzoyltartaric chiral acid wherein said resolution is quantitative. The present invention also refers to some intermediate compounds of the new synthesis process of tapentadol.
US08729306B2 Process for the preparation of nitrogen substituted aminotetralins derivatives
The present invention provides an alternative synthesis of N-substituted aminotetralines which synthesis comprises catalytic asymmetric hydrogenation of compounds of general formula (A).
US08729305B2 Process for the preparation of and crystalline forms of optical enantiomers of modafinil
The invention relates to a polymorphic form of (−)-modafinil that produces a powder X-ray diffraction spectrum comprising intensity peaks corresponding to interplanar spacings of about 14.14, 10.66, 7.80 and 4.02 Å, and a process for the preparation thereof.
US08729301B2 Method of dehydrating acetic acid
The invention pertains to a process for dehydrating wet acetic acid. One embodiment of the invention comprises contacting wet acetic acid with acetyl chloride. Another embodiment of the invention comprises contacting wet acetic acid; acetic anhydride; and a catalytic effective amount of hydrogen chloride, acetyl chloride, or a chlorosilane.
US08729298B2 Method for separation and purification of long-chain diacids
A method for the separation and purification of at least one long-chain diacid, comprising: introducing an impure preparation comprising at least one long-chain diacid to a stationary phase of a chromatograph; and eluting the at least one long-chain diacid from the stationary phase with at least one eluent.
US08729295B2 Controlled catalysis
The present invention provides methods of using a novel sulfonated resin catalyst, showing improved performance. The catalyst has reduced or partial functionalization, throughout the sulfonated region of the polymer, leading to reduced by-product formation and other desirable features. This catalyst has particular usefulness in reactions or processes sensitive to high acidity, e.g., esterification-transesterification.
US08729290B2 Method of making glycerol
Method of producing glycerol that includes mixing a peroxide stream with an olefenic alcohol stream to form a feed stream; processing the feed stream in a high shear device to produce a high shear dispersion of peroxide and olefinic alcohol, wherein the high shear device is configured with a rotor and a stator separated by a shear gap; and contacting the high shear dispersion with a catalyst in a reactor to produce glycerol.
US08729288B2 Method for the production of 2-hydroxy-4-(methylthio)butyronitrile from 3-(methylthio)propanal and hydrogen cyanide
A method for the production of 2-hydroxy-4-(methylthio)butyronitrile having good storage stability in a multi-zone reactor, is provided. 3-methylmercaptopropionaldehyde is reacted with hydrogen cyanide in the presence of a base as catalyst in a main reaction zone of the multizone reactor to form a reaction mixture comprising the 2-hydroxy-4-(methylthio)butyronitrile, unreacted 3-methylmercaptopropionaldehyde, the catalyst and residual amounts of gaseous hydrogen cyanide. The residual gaseous hydrogen cyanide is removed from the main reaction zone to an absorption and post-reaction zone of the reactor which comprises a mixture of 3-methylmercaptopropionaldehyde and the catalyst; and the gaseous hydrogen cyanide is further reacted with the 3-methylmercaptopropionaldehyde in the absorption and post reaction zone. A molar ratio of hydrogen cyanide to 3-(methylthio)propanal in the main reaction zone is from 0.98 to 1.03.
US08729284B2 Process for production of meso-form and racemic form metallocene complexes
A method for producing an anionized meso-form double-cross-linked ligand represented by formula (3), including: bringing a compound represented by formula (1) into contact with a compound represented by formula (2) at −25° C. or less; and introducing an anionizing agent within 5 hours after the contact, wherein R1 to R10 are independently a hydrogen atom, a hydrocarbon group having 1 to 20 carbon atoms, or the like; A and A′ are independently a cross-linking group containing an atom belonging to the 14th group of the periodic table; M and M′ are independently an atom belonging to the 1st or the 2nd group of the periodic table.
US08729279B2 Agent for promoting osteoblast differentiation, pharmaceutical composition for promoting bone formation, and food for special dietary use containing auraptene analog as active ingredient
Agents or pharmaceutical compositions for promoting osteoblast differentiation include purified auraptene or coumarin analogs thereof represented by the following formula 1: wherein R1 represents a hydrogen, a hydroxy, a methoxy, a methyl, an ethyl, a propyl, a carboxyl, a carboxymethyl, or a carboxyethyl; R2 represents a hydrogen, a hydroxy, a methoxy, a methyl, an ethyl, a propyl, a carboxyl, a carboxymethyl, a carboxyethyl or a coumarinyl; R3 represents a hydrogen, a hydroxy, a methoxy, a methyl, an ethyl, a propyl, a carboxyl, a carboxymethyl or a carboxyethyl; and R4 represents a hydrogen, a C1-C15 liner or branched alkyl, an alkenyl, an alkadienyl or an alkatrienyl.
US08729275B2 Process for preparing dithiine-tetracarboxy-diimides
The present invention relates to a new process for preparing dithiine-tetracarboxy-diimides.
US08729274B2 Tricyclic heterocyclic derivatives
The present invention relates to a tricyclic heterocyclic derivative according to Formula (I), wherein the variables are defined as in the specification, or to a pharmaceutically acceptable salt or solvate thereof. The present invention also relates to pharmaceutical compositions comprising said tricyclic heterocyclic derivatives and to their use in therapy, for instance in the treatment or prevention of serotonin mediated disorders, such as obesity.
US08729271B2 Glycine transporter inhibiting substances
The present invention aims to provide novel compounds of formula [I] or pharmaceutically acceptable salts thereof that are based on a glycine uptake inhibiting action and which are useful in the prevention or treatment of such diseases as schizophrenia, Alzheimer's disease, cognitive dysfunction, dementia, anxiety disorders (generalized anxiety disorder, panic disorder, obsessive-compulsive disorder, social anxiety disorder, posttraumatic stress disorder, specific phobia, acute stress disorder, etc.), depression, drug addiction, spasm, tremor, pain, and sleep disorder:
US08729267B2 Fluorescent dye compounds, conjugates and uses thereof
The present teachings generally relate to fluorescent dyes, linkable forms of fluorescent dyes, energy transfer dyes, reagents labeled with fluorescent dyes and uses thereof.
US08729261B2 Recrystallization method of fine spherical RDX particle
The present invention relates to a method for recrystallizing fine spherical cyclotrimethylenetrinitramine (Research Department Explosive, hereinafter, referred to as “RDX”) particles, and the method for recrystallizing fine spherical RDX particles according to the present invention may include (a) introducing a powder material containing RDX into a container, (b) introducing a dimethylether compressed gas into the container and dissolving the RDX to form a RDX solution, (c) releasing and decompressing the RDX solution into atmospheric pressure to form crystallized RDX particles, and (d) separating and collecting the RDX particles.
US08729260B2 Process for the preparation of carbapenem using cabapenem intermediates and recovery of cabapenem
The present invention relates to an efficient process of synthesizing some known Ertapenem compounds and to provide new intermediate compounds of Meropenem and Doripenem. The process and the intermediate can substantially increase the effective yield and reduce the impurity generation. The present invention further provides a novel and effective process for recovering and purifying ertapenem compounds by utilizing a low cost, materials with chemical stability as a carrier for isolating ertapenem compounds from extracts.
US08729259B2 Method for producing 1:1 adducts having a low monomer content from hydroxyalkyl(meth)acrylates and diisocyanates
The present invention relates to the production and the use of 1:1 adducts having a low monomer content from hydroxyalkyl(meth)acrylates and diisocyanates.
US08729255B2 Low temperature, vacuum assisted chlorination of sucrose-6-esters free of overchlorinated by-products as intermediates for the production of the artificial sweetener, sucralose
Disclosed is a method of chlorinating a carbohydrate or derivative thereof, for example, a sucrose-6-ester at the 4,1′, and 6′ positions, with irreversible removal of HCl formed during the reaction to form the chlorinated carbohydrate or derivative thereof, for example, a 4,1′,6′-trichloro-4,1′,6′-trideoxy-6-O-ester of galactosucrose (TGS-6E). The irreversible removal of HCl can be carried out by an irreversible physical process and/or an irreversible chemical process. Sucralose, an artificial sweetener, can be prepared by deesterification of the TGS-6E. The chlorination reaction takes place at low temperatures and the desired chlorinated product is obtained in high yields and in high purities.
US08729253B2 Cellulose ester optical films
Regioselectively substituted cellulose esters having a plurality of aryl-acyl substituents and a plurality of alkyl-acyl substituents are disclosed along with methods for making the same. Such cellulose esters may be suitable for use in optical films, such as optical films having certain Nz values, −A optical films, and/or +C optical films. Optical films prepared employing such cellulose esters have a variety of commercial applications, such as, for example, as compensation films in liquid crystal displays and/or waveplates in creating circular polarized light used in 3-D technology.
US08729250B2 Antisense oligonucleotides for inhibition of microRNA-21
The invention provides pharmaceutical compositions comprising short single stranded oligonucleotides, of length of between 8 and 26 nucleobases which are complementary to human microRNAs selected from the group consisting of miR19b, miR21, miR122a, miR155 and miR375. The short oligonucleotides are particularly effective at alleviating miRNA repression in vivo. It is found that the incorporation of high affinity nucleotide analogues into the oligonucleotides results in highly effective anti-microRNA molecules which appear to function via the formation of almost irreversible duplexes with the miRNA target, rather than RNA cleavage based mechanisms, such as mechanisms associated with RNaseH or RISC.
US08729249B2 Anti-cMET antibody
Antibody capable of binding specifically to the human c-Met receptor and/or capable of specifically inhibiting the tyrosine kinase activity of said receptor, with an improved antagonistic activity, said antibody comprising a modified hinge region. A composition comprising such an antibody antagonist to c-Met and its use as a medicament for treating cancer.
US08729247B2 Multimeric Fc receptor polypeptides
A soluble multimeric protein or polypeptide is disclosed that is able to inhibit interaction of leukocyte Fcγ receptors (FcγR) and immunoglobulin G (IgG). The protein or polypeptide comprises two or more linked Fc binding regions, at least one of which is derived from an FcγR type receptor and, particularly, FcγRIIa. Also described are polynucleotide molecules encoding the protein or polypeptide and the use thereof in methods of treating a subject for an immune-complex (IC)-mediated inflammatory disease.
US08729242B2 Methods for reducing the mitogenicity of lectin compositions
Methods for reducing the T-cell mitogenicity of lectin compositions are provided. In one aspect this is achieved by chemically modifying mitogenic lectin compositions under optimized conditions. Additionally or alternatively, the reduction in T-cell mitogenicity is achieved by removing unmodified subunits chemically modified mixtures. Modified lectin compositions with reduced T-cell mitogenicity are also provided as are uses of the inventive compositions.
US08729240B2 Monoclonal antibody against oxidized low-density lipoprotein
Provided is a monoclonal antibody against slightly oxidized LDL, which can play a role as an important tool in the research and development of oxidized LDL. Also provided are a kit for the simple detection of slightly oxidized LDL and a method for the simple detection of slightly oxidized LDL from the biological sample of a subject to be tested which use the monoclonal antibody. By means of ELISA (Enzyme-Linked Immunosorbent Assay) using the monoclonal antibody as the solid phase antibody and an anti-apolipoprotein B antibody as the detection antibody, the degree of reaction between a severely oxidized low-density lipoprotein and the monoclonal antibody is low in comparison to the degree of reaction between a slightly oxidized low-density lipoprotein and the monoclonal antibody, and the monoclonal antibody specifically reacts with an oxidized low-density lipoprotein.
US08729238B2 Method of producing nano- and microcapsules of spider silk protein
The present invention is directed to a method of producing nano- and microcapsules from spider silk proteins The invention is further directed to nano- or microcapsules obtainable by this method as well as pharmaceutical, cosmetical and food compositions containing same.
US08729234B2 Method for simultaneously detecting an antigen of, and an antibody, against, an infectious microorganism
The invention relates to a method for detecting, in vitro, an infection with a microorganism, such as the hepatitis C virus, in a biological sample, by simultaneously detecting an antigen of this microorganism and the antibodies against this same antigen, and also to the reagents and kits implementing this method.
US08729233B2 Microbial nanowires and products related thereto
A nanowire comprising a purified protein filament, such as a pilus, isolated from a bacterium, such as Geobacter sulfurreducens, is provided. Such a purified pilus can contain peptide subunits capable of assembling into the protein filament and establishing an electrical connection with an insoluble electron acceptor. The novel nanowires can be produced via a novel single step. Such nanowires are useful in applications requiring rectifying behavior.
US08729232B2 Aldehyde tags, uses thereof in site-specific protein modification
The invention features compositions and methods for site-specific modification of proteins by incorporation of an aldehyde tag. Enzymatic modification at a sulfatase motif of the aldehyde tag through action of a formylglycine generating enzyme (FGE) generates a formylglycine (FGly) residue. The aldehyde moiety of FGly residue can be exploited as a chemical handle for site-specific attachment of a moiety of interest to a polypeptide.
US08729231B2 Surface antigen protein mutant of hepatitis B virus surface antigen
The disclosure relates, in some embodiments, to sequences of a novel mutant or variant of the hepatitis B surface antigen (HBsAg) and methods for detecting this genome and protein variant, and antibodies directed against it, from patients' samples.
US08729223B2 Enhancing the physical properties of semi-crystalline polymers via solid-state shear pulverization
Solid-state shear pulverization of semi-crystalline polymers and copolymers thereof and related methods for enhanced crystallization kinetics and physical/mechanical properties.
US08729218B2 Manufacturing method of polyaspartic acid using maleic anhydride
The present invention relates to a manufacturing method of polyaspartic acid. More particularly, the present invention relates to a manufacturing method of polyaspartic acid comprising steps of carrying out condensation polymerization of maleic anhydride and ammonia in the presence of a polar solvent without active hydrogen to give polysuccinimide and hydrolyzing the resulting polysuccinimide to obtain polyaspartic acid. Specifically, the present invention is characterized in that the quality of the synthetic product, polysuccinimide and polyaspartic acid, is improved by minimizing the decomposition and the degradation of the maleic acid during the process of the polymerization resulted from the introduction of substituent to maleic acid.
US08729217B2 Semi-conductive polyimide film
The present invention provides a semi-conductive polyimide film having: a common logarithm of a surface resistivity at 25° C. and 60% RH of 9 to 15 log Ω/square; a common logarithm of a volume resistivity of 8 to 15 log Ω·cm; a fatigue stress in accordance with a fatigue test complying with JIS K7118, upon a number of repetition being 107, of 160 MPa or more; and a number of durable bending in accordance with an MIT test complying with JIS P8115 of 2,000 times or more, and an intermediate transfer belt and an transfer transportation belt using the semi-conductive polyimide film.
US08729204B2 Compositions and method for producing poly-aminofunctionalized polymerization initiators and corresponding polymers
Compositions and methods for producing polymerization initiators comprising at least two protected primary amine groups. Polymers prepared using such polymerization initiators can comprise a residue of the polymerization initiator and can initially comprise the at least two protected primary amine groups. Such polymers can undergo a deprotection process thereby yielding a polymer having one or more unprotected primary amine groups. Polymers having primary amine groups can be employed in rubber compositions, which have a variety of potential applications, such as, for example, in tire manufacturing.
US08729196B2 Cationic electrodeposition paint compositions
This invention discloses cationic electrodeposition paint compositions which comprise specific amino group-containing modified epoxy resin, specific xylene-formaldehyde resin-modified, amino group-containing epoxy resin and blocked polyisocyanate curing agent at specific blend ratios, and which can form coating film of excellent film thickness retention, finished appearance and electrocoatability on galvanized alloy steel sheet and of good corrosion resistance, even when the amount of volatile organic compound in the cationic electrodeposition paint is reduced.
US08729195B2 Organosilicon compound, method for producing thereof, and curable silicone composition containing the same
An objective of the present invention is to provide a curable silicone composition of the present invention exhibiting superior adhesive properties with respect to a poor-adhesive resin such as PPS, even if the composition is cured at a relatively low temperature for a short period. The aforementioned objective of the present invention is achieved by a curable silicone composition comprising: (A) an organopolysiloxane having at least two alkenyl groups in a molecule, (B) an organopolysiloxane having at least two silicon-bonded hydrogen atoms in a molecule, (C) a hydrosilylation-reaction catalyst, and (D) an acid anhydride having an alkoxy group bonding to a silicon atom or an alkoxyalkoxy group bonding to a silicon atom.
US08729194B2 Polyurethane resin
An object of the present invention is to provide a non-solvent type polyurethane resin which may be preferably used for a curing composition having physical properties after curing of low modulus and high elongation and which is excellent in adhesion, physical properties, handling property and storage stability and a process for producing the polyurethane resin without difficulty and at low cost. The polyurethane resin is obtained by reacting a urethane prepolymer obtained by reacting a mixture of a monool and a polyol, having on average 1.3 to 2.1 of terminal hydroxyl groups, and a polyisocyanate, and a compound having a crosslinkable silyl group, an imino group and an amino group in a molecule.
US08729189B2 Propylene-based block copolymer, composition containing the copolymer, and molded products obtained therefrom
The present invention provides a propylene-based block copolymer having high melt viscoelasticity, excellent balance between rigidity and impact resistance, good molding processability, and excellent molded product appearance, a composition containing the copolymer, and molded products obtained therefrom. The propylene-based block copolymer comprises 5 to 80% by weight of a room temperature n-decane-soluble portion (Dsol) and 20 to 95% by weight of a room temperature n-decane-insoluble portion (Dinsol) (the total amount of the Dsol and the Dinsol is 100% by weight), and satisfies the requirements [1] to [3]: [1] the molecular weight distribution (Mw/Mn) of the Dsol is 7.0 to 30, [2] the molecular weight distribution (Mw/Mn) of the Dinsol is 7.0 to 30, and Mz/Mw thereof is 6.0 to 20, and [3] the pentad fraction (mmmm) of the Dinsol is not less than 93%.
US08729188B2 Propylene polymer compositions
A propylene polymer composition comprising (percent by weight): A) 74%-84% of a propylene homopolymer having a Polydispersity Index (P.I.) value of from 4.6 to 10, a fraction insoluble in xylene at 25° C., higher than 90%, and a MFR L (Melt Flow Rate according to ISO 1133, condition L, i.e. 230° C. and 2.16 kg load) from 110 to 200 g/10 min; B) 16%-26%, of a copolymer of propylene with from 39% to 48%, of ethylene derived units; the composition having an intrinsic viscosity of the fraction soluble in xylene at 25° C. comprised between 2.7 and 4.0 dl/g; and a MFR L (Melt Flow Rate according to ISO 1133, condition L, i.e. 230° C. and 2.16 kg load) from 30 to 80 g/10 min.
US08729187B2 High-molecular-weight copolymer
It is an object of the present invention to provide (1) a copolymer for a cured product that is satisfactory in properties, such as adhesion properties, as a chip stacking adhesive or the like. The present invention is a copolymer comprising repeating units represented by formula (I), formula (II), and formula (III), (wherein R1, R2, and R3 each independently represent a hydrogen atom or a methyl group, R4 represents an alkyl group or a cycloalkyl group, R5 represents a hydrogen atom or a C1 to C6 alkyl group, m, n, and k represent a molar ratio of the respective repeating units, m represents a positive number of 0 or more and less than 1, n and k each independently represent a positive number, and satisfy a relation of m+n+k=1), and having a weight-average molecular weight in the range of 50,000 to 200,000.
US08729186B2 Polymerization process to make low density polyethylene
A high pressure polymerization process to form an ethylene-based polymer comprises the steps of: A. Injecting a first feed comprising ethylene and optionally a chain transfer agent system (CTA system) into a first autoclave reactor zone operating at polymerization conditions to produce a first zone reaction product, the CTA system of the first reactor zone having a transfer activity Z1; and B. (1) Transferring at least part of the first zone reaction product to a second reactor zone selected from a second autoclave reactor zone or a tubular reactor zone and operating at polymerization conditions, and, optionally, (2) freshly injecting a second feed into the second reactor zone to produce a second zone reaction product, with the proviso that the second reactor zone contains a CTA system having a transfer activity Z2; and with the proviso that the ratio of Z1/Z2 is less than 1.
US08729184B2 Rubber composition and tire using the same as well as modified conjugated diene-based polymer and method for producing the same
This invention relates to a rubber composition being excellent in the low heat buildup and fracture properties (resistance to crack growth). and more particularly to a rubber composition, characterized by compounding 10-100 parts by mass of an inorganic filler and/or carbon black based on 100 parts by mass of a rubber component including not less than 10 mass % of a modified conjugated diene-based polymer having a cis-1,4 bond content of not less than 90% and a vinyl bond content of not more than 1.2% and a primary amino group. In this case, the modified conjugated diene-based polymer is obtained by (1) reacting the predetermined conjugated diene-based polymer having an active terminal with a compound having two or more predetermined functional groups and (2) further reacting the resulting product with a compound having a primary amino group.
US08729182B2 High energy density nanocomposites and related methods of preparation
A nanoparticle composition comprising a substrate comprising a metal oxide component and an aluminum oxide component; and a metallocene olefin polymerization catalyst component coupled to the substrate is disclosed. The metal oxide component is homogenously dispersed throughout the nanocomposite composition.
US08729178B2 Polysiloxane-grafted polyimide resin composition and applications thereof
A polysiloxane-grafted polyimide resin composition includes a polysiloxane-grafted polyimide resin, and a solvent. The polysiloxane-grafted polyimide resin is represented by formula (I): wherein W represents a tetravalent organic group, R represents a trivalent organic group, and X represents a polysiloxane-containing group.
US08729173B2 Aqueous polymer dispersions modified with solvent-softened nanoparticles
The mechanical properties of a polymer protective coating formed from an aqueous dispersion of a polymer having a low glass transition temperature are significantly improved by including in the dispersion solvent softened polymer nanoparticles made from a polymer having a high glass transition temperature.
US08729169B2 Synthetic rubber with anti-oxidants for rubber
Disclosed are a novel anti-oxidant for rubber and a synthetic rubber including the same. The disclosed anti-oxidant contains a thio compound. The rubber including the anti-oxidant has excellent thermostability at a temperature of 100° C. or higher, and the anti-oxidant shows a low volatility due to its high molecular weight thus being applicable to manufacture environment-friendly rubber.
US08729168B2 Vehicular glass adhesive and method of adhering said glass
The invention is an adhesive composition comprising: a) an isocyanate functional polyether base prepolymers having a z molecular weight average (Mz) of about 10,000 to about 80,000 g/mole; a carbon black having an average oil absorption number of at least about 80 to at most about 400 cubic centimeters of dibutyl phthalate per 100 grams of the carbon black; (c) reactive silicon in an amount from about 0.001% to about 10% by weight of the adhesive composition; and (d) one or more catalysts for the reaction of isocyanate with hydroxyl groups. The adhesive compositions of the invention surprisingly may have improved sag performance (i.e., decreased sag) when heated, while also making them more easily pumped.
US08729167B2 Modified elastomeric polymers
This disclosure generally relates to chain end modified elastomeric polymers and branched modified elastomeric polymers, their use in the preparation of elastomeric compositions, and articles prepared from chain end modified elastomeric polymers and branched modified elastomeric polymers. An elastomeric polymer composition is provided including the reaction product of at least a living anionic elastomeric polymer, a silane modifier compound, and a modifier compound.
US08729165B2 Flame-retardant poly lactic acid-containing film or sheet, and method for manufacturing thereof
Provided are a film or sheet composed of a resin composition that includes a poly lactic acid (A), an acidic functional group-modified olefinic polymer (B) including an acidic functional group and having an acid value of 10 to 70 mg KOH/g and a weight average molecular weight of 10,000 to 80,000, a tetrafluoroethylene polymer (C), and an aromatic phosphoric acid ester-containing flame retardant (D) including a compound of General Formula (I) and in which the aromatic phosphoric acid ester-containing flame retardant (D) is included in an amount of 15 to 55 parts by weight based on 100 parts by weight of the poly lactic acid (A), and a method for manufacturing the film or sheet by melt film formation. Each sign in Formula is as described in the specification.
US08729163B2 Phosphinic acid hydrazide flame retardant compositions
The invention relates to flame retardant compositions, wherein a phosphinic acid hydrazide is present in a polymer substrate. The combination with >NOR-compounds improves the flame retardant properties.
US08729161B2 Water based slurry compositions for making environmental barrier coatings and environmental barrier coatings comprising the same
An environmental barrier coating comprises at least one transition layer made from a transition layer slurry comprising from about 1 wt % to about 99.9 wt % water, from about 0.1 wt % to about 72 wt % primary transition material, from about 0.1 wt % to about 25 wt % slurry sintering aid, optionally, any one or more of an outer layer made from an outer layer slurry comprising from about 1 wt % to about 99.9 wt % water and from about 0.1 wt % to about 72 wt % primary outer material, and a compliant layer made from a compliant layer slurry comprising from about 1 wt % to about 99.9 wt % water and from about 0.1 wt % to about 72 wt % primary compliant material.
US08729159B2 Fibreboard from agricultural wastes and a method for manufacturing the same
A fiberboard comprising dried plant fibers added with binder resins obtained from a reaction between a diisocyanate and a polyol derived from natural oils or fatty acids, and formed by pressing at a temperature range of 50° C. to 150° C.
US08729154B2 Binder for inkjet printing ink, inkjet printing ink containing the binder, and printed product
An object to be achieved by the present invention is to provide a binder for an ink-jet printing ink, the binder being capable of forming a printed image having excellent durability such as rubfastness and alkali resistance without impairing good ejection stability, storage stability, etc. of an ink, and an ink-jet printing ink containing the binder. The present invention relates to a binder for an ink-jet printing ink, the binder containing an aqueous medium (D); and a polyurethane (C) obtained by reacting a polyol (A) containing a vinyl polymer (a1) having two hydroxyl groups at one end and at least one polyol (a2) selected from the group consisting of polyether polyols, polyester polyols, polyester ether polyols, and polycarbonate polyols with a polyisocyanate (B), the polyurethane (C) having a structure derived from the vinyl polymer (a1) in a side chain thereof and being dispersed in the aqueous medium (D), and printed matter.
US08729152B2 Curing agent composition
A curing agent composition for a water-soluble phenol resin used to produce a mold, which comprises a branched ester compound that is derived from a carboxylic acid having a branched chain, and an alcohol, and has 5 to 13 carbon atoms.
US08729150B2 Polymeric materials
Granules or pellets comprising polymeric materials such as polyaryletherketones and ceramic materials may be prepared and used to make a variety of different parts of components for use in medical applications, by melt-processing. The ceramic material may be a bioactive glass and/or a controlled-release glass, and may include less than 20 mole % sodium oxide and/or be water soluble.
US08729147B2 Ink for use in a flexographic printing process with wet on wet capability
A flexographic printing ink for use in a flexographic printing process with wet on wet capability, comprising a polymer and a combination of liquids comprising radiation curable monomers and/or oligomers, diluents, colorants, additives, and photoinitiators, the components having the Hansen Solubility Parameters adjusted to generate an ink with a capability to form a gel having the required physical characteristics, and that is in a liquid form in the presence of a small quantity of non reactive solvent, or is brought to a liquid state during the printing process. The disclosure is also directed to a flexographic printing process with wet on wet capability based on controlled polymer or polymer segment precipitation that leads to gel formation of ink compounds by controlling the solubility parameter of the ink system.
US08729145B2 Foaming agents and compositions containing fluorine substituted olefins and methods of foaming
Disclosed are bowing agent compositions, foamable compositions, foams, foaming methods and/or foamed articles comprising one or more C2 to C6 fluoroalkenes, more preferably one or more C3 to C5 fluoroalkenes, and even more preferably one or more compounds having Formula I as follows: XCFzR3-z  (I) where X is a C1, C2, C3, C4, or C5 unsaturated, substituted or unsubstituted radical, each R is independently Cl, F, Br, I or H, and z is 1 to 3, it generally being preferred that the fluoroalkene of the present invention has at least four (4) halogen substituents, at least three of which are F and even more preferably none of which are Br.
US08729143B2 Elastic particle foam based on polyolefin/styrene polymer mixtures
Expandable, thermoplastic polymer bead material composed of a multiphase polymer mixture which comprises blowing agent and has at least one continuous phase of a thermoplastic polymer, where at least two different disperse phases P1 and P2 are present, disperse in the continuous phase, and also to processes for its production, and to use for the production of elastic molded foams.
US08729142B2 Method for recovering hydrocarbon compounds and a hydrocarbon recovery apparatus from a gaseous by-product
There is provided a method for recovering hydrocarbon compounds from a gaseous by-products generated in the Fisher-Tropsch synthesis reaction, the method comprising a pressurizing step in which the gaseous by-products are pressurized, a cooling step in which the pressurized gaseous by-products are pressurized to liquefy hydrocarbon compounds in the gaseous by-products, and a separating step in which the hydrocarbon compounds liquefied in the cooling step are separated from the remaining gaseous by-products.
US08729138B2 Mixture of polyfluoroalkylsulfonamido alkyl amines
The present invention relates to a mixture of polyfluoroalkylsulfonamido alkyl amines including at least one polyfluoroalkylsulfonamido alkyl amine and its analog, a di(polyfluoroalkylsulfonamido alkyl)amine. The invention also relates to polyfluoroalkylsulfonamido alkyl halide intermediate used to make the aforementioned mixture.
US08729136B2 Diarylalkanes as potent inhibitors of binuclear enzymes
The present invention implements a strategy that combines an enzyme inhibition assay with a chemical dereplication process to identify active plant extracts and the particular compounds—diarylalkanes and/or diarylalkanols within those extracts that specifically inhibit binuclear enzyme function. Included in the present invention are compositions of matter comprised of one or more of diarylalkanes and/or diarylalkanols, which inhibit the activity of binuclear enzymes, particularly tyrosinase and which prevent melanin overproduction. The present invention also provides a method for inhibiting the activity of a binuclear enzyme, particularly tyrosinase and a method for preventing and treating diseases and conditions related to binuclear enzyme function. The present invention further includes a method for preventing and treating melanin overproduction and diseases and conditions of the skin related thereto. The method for preventing and treating diseases and conditions related to binuclear enzyme function and melanin overproduction is comprised of administering to a host in need thereof an effective amount of a composition comprising one or more diarylalkanes and/or diarylalkanols synthesized and/or isolated from one or more plants together with a pharmaceutically acceptable carrier.
US08729134B2 Aryl di-substituted propenone compounds
Compounds of formula (I): wherein R1 and R4 are OR7, R2 and R3 are H or OR7, with the proviso that when R2 is OR7 then R3 is H, and when R3 is OR7 then R2 is H; R5 and R6 are independently H, OH, or OR7 with the proviso that when R5 is OH, R6 is not OH; R7 is alkyl, aryl or arylalkyl; the drawing “” represents either a single or a double bond; or pharmaceutically acceptable salt(s) or prodrug(s) thereof. These compounds are useful of treatment, prophylaxis, amelioration, or defense against and/or prevention of hormonal dependent conditions, cancer, and diseases and conditions associated with oxidant stress.
US08729133B2 Method for treating cancer
This invention relates to a process of treating/affecting cancers that are particularly susceptible to radiation therapy by administering to a host in need of such treatment an amino acid and radiation therapy.
US08729129B2 Neural tourniquet
Disclosed is a method of reducing bleed time in a subject by activation of the cholinergic anti-inflammatory pathway in said subject. The cholinergic anti-inflammatory pathway can be activated by direct or indirect stimulation of the vagus nerve. The cholinergic anti-inflammatory pathway can also be activated by administering an effective amount of cholinergic agonist or acetylcholinesterase inhibitor to the subject.
US08729127B2 Administration of 6-[3-(1-adamantyl)-4-methoxyphenyl]-2-naphthoic acid for the treatment of dermatological disorders
Dermatological disorders having an inflammatory or proliferative component are treated with pharmaceutical compositions containing on the order of 0.3% by weight of 6-[3-(1-adamantyl)-4-methoxyphenyl]-2-naphthanoic acid (adapalene) or salt thereof, formulated into pharmaceutically acceptable media therefor, advantageously topically applicable gels, creams or lotions.
US08729124B2 Use of EPA and DHA in secondary prevention
The invention is directed to a method for preventing cerebral damage in patients having symptoms of atherosclerosis of arteries supplying the brain by administering to the patient a therapeutically effective amount of a composition comprising eicosapentaenoic acid (EPA), docosahexaenoic acid (DHA) or a combination thereof.
US08729123B2 Nutrition containing fat blend
The invention relates to the use of long chain polyunsaturated fatty acids for the manufacture of a nutritional composition for feeding infants of a mother who suffered from a metabolic disorder during pregnancy and to a corresponding composition. Said composition comprises a n-3 polyunsaturated fatty acid fraction containing at least 0.1 wt % docosahexaenoic acid (DHA) based on total weight of the lipid, at least 0.01 wt % n-3 docosapentaenoic acid (DPAn-3) based on total weight of the lipid, and at least 0.01 wt. % eicosapentaenoic acid (EPA) based on total weight of the lipid, wherein the sum of DHA, DPAn-3 and EPA is below 1 wt. % of total lipid.
US08729121B2 Curing accelerator and method of making
A sterilized cyanoacrylate adhesive composition including a cyanoacrylate composition and a cure speed enhancer, wherein the sterilized cyanoacrylate adhesive composition does not cure upon sterilization. A kit for applying the sterilized cyanoacrylate adhesive composition, including the cyanoacrylate adhesive composition and an applicator. A method of making the sterilized cyanoacrylate adhesive composition, by adding a cure speed enhancer to a cyanoacrylate adhesive composition and sterilizing the composition. A method of applying the sterilized cyanoacrylate adhesive composition to tissue by applying the sterilized cyanoacrylate adhesive composition as a liquid, and quickly curing the sterilized cyanoacrylate adhesive composition. A method of sealing tissue by applying the sterilized cyanoacrylate adhesive composition as a liquid to tissue to be sealed, quickly curing the sterilized cyanoacrylate adhesive composition, and sealing the tissue.
US08729119B2 Serotonin reuptake inhibitors
In one aspect, the invention relates to compounds of formula I: where X, Y, R1, R2, R3, R4, R4, and n are as defined in the specification, or a pharmaceutically acceptable salt thereof. The compounds of formula I are serotonin reuptake inhibitors. In another aspect, the invention relates to pharmaceutical compositions comprising such compounds; methods of using such compounds; and process and intermediates for preparing such compounds.
US08729118B2 Use of dithiine derivatives in crop protection and the protection of materials
The present invention relates to the use of new and known dithiine derivatives for controlling unwanted microorganisms, more particularly phytopathogenic fungi, in crop protection, in the household and hygiene sector and in the protection of materials, and also to new dithiine derivatives, to processes for preparing them, to their use, and to crop protection compositions comprising these new dithiine derivatives.
US08729111B2 Compounds for treating proliferative disorders
Disclosed are compounds and methods of using compounds of the invention for treating a subject with a proliferative disorder, such as cancer, and methods for treating disorders responsive to Hsp70 induction and/or natural killer induction. Also, disclosed are pharmaceutical compositions comprising compounds of the invention and a pharmaceutically acceptable carrier.
US08729109B2 3-(4-(5-phenyl-1 ,2,4-oxadiazol-3-yl)phenoxy)propan-2-ol derivatives as sphingosine-1phosphate receptors modulators
The present invention relates to novel oxadiazole derivatives, processes for preparing them, pharmaceutical compositions containing them and their use as pharmaceuticals as modulators of sphingosine-1-phosphate receptors.
US08729103B2 Silent desensitizers of neuronal nAChR and methods of use thereof
One aspect of the present invention relates to heterocyclic compounds that are ligands for nicotinic acetylcholine receptors. A second aspect of the invention relates to the use of a compound of the invention for modulation of a mammalian nicotinic acetylcholine receptor.
US08729099B2 Pharmaceutically active piperidine derivatives
Compounds of formula (I): wherein R represents various substituent groups, are useful as inhibitors of glucosylceramide synthase.
US08729098B2 Tertiary 8-hydroxyquinoline-7-carboxamide derivatives and uses thereof
New tertiary 8-hydroxyquinoline-7-carboxamide derivatives of general formula (I) and pharmaceutically acceptable salts thereof are disclosed. These compounds are useful as antifungal agents. Specifically, these compounds were tested against Tricophyton Rubrum, Tricophyton Mentagrophytes, Aspergillus Niger and Scopulariopsis Brevicaulis. These compounds are also active against Candida species such as Candida Albicans and Candida Glabrata.
US08729097B2 Quinoline compounds as inhibitors of angiogenesis, human methionine aminopeptidase, and SIRT1, and methods of treating disorders
Described herein are methods of inhibiting methionine aminopeptidase or SirT1, inhibiting angiogenesis, and treating disorders (or symptoms thereof) associated with methionine aminopeptidase, SirT1 and/or angiogenesis, wherein a compound of the invention is administered to a subject.
US08729094B2 Liquid pharmaceutical formulations of palonosetron
The present invention relates to shelf-stable liquid formulations of palonosetron for reducing chemotherapy and radiotherapy induced emesis with palonosetron. The formulations are particularly useful in the preparation of intravenous and oral liquid medicaments.
US08729093B2 Soil treating agent or seed treating agent comprising quinoline compounds or salts thereof as active ingredient, or method for preventing plant diseases by using the same
A soil treating agent or a seed treating agent having excellent prevention effects against various plant pathogens (particularly against rice blast) is provided.A soil treating agent or seed treating agent, comprising one or more compounds of the general formula (Ia), (Ib) or (Ic): (wherein R1, R2: C1-C6 alkyl (may be substituted), aryl (may be substituted), heteroaryl (may be substituted), aralkyl (may be substituted) and the like; R3, R4: H, C1-C6 alkyl (may be substituted), halogen, C1-C6 alkoxy and the like; X: halogen, C1-C6 alkyl (may be substituted), C2-C6 alkenyl (may be substituted), C2-C6 alkynyl (may be substituted), aryl (may be substituted), heteroaryl (may be substituted), C1-C6 alkoxy and the like; Y: halogen, C1-C6 alkyl, C1-C6 alkoxy, OH; n: 0 to 4; m: 0 to 6) or salts thereof as an active ingredient.
US08729091B2 4-phenoxy-nicotinamide or 4-phenoxy-pyrimidine-5-carboxamide compounds
This invention relates to novel phenyl amide or pyridyl amide derivatives of the formula wherein A1, A2, B1, B2 and R1 to R11 are as defined in the description and in the claims, as well as pharmaceutically acceptable salts thereof. These compounds are GPBAR1 agonists and can be used as medicaments for the treatment of diseases such as type II diabetes.
US08729090B1 Compositions and methods for inhibiting collagen production
Embodiments of the invention include compositions effective for inhibiting collagen production and related methods. A preferred method comprises contacting at least one cell capable of producing collagen with a composition effective for inhibiting collagen production thereby. The composition comprises one or more of the compounds effective for inhibiting collagen production disclosed herein.
US08729085B2 Pharmaceutical composition
A preparation for oral administration comprising: a pregelatinized starch comprising N-[4-[4-(1,2-benzisothiazol-3-yl)-1-piperazinyl]-(2R,3R)-2,3-tetramethylene-butyl]-(1′R,2′S,3′R,4′S)-2,3-bicyclo[2,2,1]-heptanedicarboxyimide hydrochloride (lurasidone) represented by the formula (1) as an active ingredient; a water-soluble excipient; and a water-soluble polymeric binder, the preparation exhibiting an invariant level of elution behavior even when the content of its active ingredient is varied.
US08729082B2 Substituted imidazoquinoxalines
The present invention relates to substituted imidazoquinoxaline compounds of general formula (I) as inhibitors of Mps-1 Kinase or TTK, and being active against inflammation and cancer.
US08729078B2 Inhibitors of bruton's tyrosine kinase
This application discloses compounds according to generic Formula I: wherein all variables are defined as described herein, which inhibit Btk. The compounds disclosed herein are useful to modulate the activity of Btk and treat diseases associated with excessive Btk activity. The compounds are further useful to treat inflammatory and auto immune diseases associated with aberrant B-cell proliferation such as rheumatoid arthritis. Also disclosed are compositions containing compounds of Formula I and at least one carrier, diluent or excipient.
US08729077B2 Anti-viral compounds, compositions, and methods of use
Disclosed are compounds, stereoisomers, tautomers, or pharmaceutically acceptable salts thereof, their preparation, use, and compositions thereof for treating an infection mediated at least in part by a virus in the Flaviviridae family of viruses.
US08729075B2 Glucosylceramide synthase inhibition for the treatment of collapsing glomerulopathy and other glomerular disease
A method of treating a glomerular disease selected from the group consisting of mesangial proliferative glomerulonephritis, collapsing glomerulopathy, proliferative lupus nephritis, crescentic glomerulonephritis and membranous nephropathy in a subject comprises administering to the subject an effective amount of a glucosylceramide synthase inhibitor.
US08729072B2 Alkylated piperazine compounds
Alkylated piperazine compounds of Formula I are provided, including stereoisomers, tautomers, and pharmaceutically acceptable salts thereof, useful for inhibiting Btk kinase, and for treating immune disorders such as inflammation mediated by Btk kinase. Methods of using compounds of Formula I for in vitro, in situ, and in vivo diagnosis, and treatment of such disorders in mammalian cells, or associated pathological conditions, are disclosed.
US08729068B2 Tetrahydrobenzothiophene compound
The purpose is to provide a compound which has an intestinal phosphate transporter (NPT-IIb) inhibitory action and is useful as an active ingredient of an agent for treating and/or preventing hyperphosphatemia.A tetrahydrobenzothiophene compound of the following formula (I) has NPT-IIb inhibitory action and can be used as an agent for treating and/or preventing hyperphosphatemia: wherein, R1 represents —O-lower alkyl, -lower alkylene-phenyl, or the like; R2 and R3 are the same as or different from each other and represent H, lower alkyl, cycloalkyl, aryl, heteroaryl or the like, or, R2 and R3 may be combined with a nitrogen atom to which they bind to form 5- to 7-membered saturated cyclic amino; R4's are the same as or different from each other and represent halogen, lower alkyl; and n represents 0 to 2.
US08729067B2 Pharmacological treatment of cognitive impairment
Methods for treating an individual to improve cognitive function are provided. In the subject methods, an effective amount of a noncompetitive GABAA ionophore blocker is administered to the individual, resulting in an improvement in cognitive function of the host. The subject methods find use in a variety of different applications.
US08729066B2 1,2- bis-sulfonamide derivatives as chemokine receptor modulators
The present invention relates to novel bis-sulfonamide derivatives, processes for preparing them, pharmaceutical compositions containing them and their use as pharmaceuticals as modulators of chemokine receptors.
US08729065B2 Crystalline forms of a pharmaceutical compound
Described are crystalline forms of the pharmaceutical compound “[9S-(9α,10β,12α)]-5,16-Bis[(ethylthio)methyl]-2,3,9,10,11,12-hexahydro-10-hydroxy-9-methyl-1-oxo-9,12-epoxy-1H-diindolo[1,2,3-fg:3′,2′,1′-kl]pyrrolo[3,4-i][1,6]benzodiazocine-10-carboxylic acid methyl ester”, as well as methods for their use and preparation.
US08729063B2 3-aminopyrrolidine derivatives as modulators of chemokine receptors
The present invention relates to 3-aminopyrrolidine derivatives of the formula I: (wherein R1, R2, R3, R4, R5, R6, R7, R8, X, Y and X are as defined herein) which are useful as modulators of chemokine receptor activity. In particular, these compounds are useful as modulators of chemokine receptors and more specifically as a modulator of the CCR2 and/or CCR5 receptor. The compounds and compositions of the invention may bind to chemokine receptors, e.g., the CCR2 and/or CCR5 chemokine receptors, and are useful for treating diseases associated with chemokine, e.g., CCR2 and/or CCR5, activity, such as atherosclerosis, restenosis, lupus, organ transplant rejection and rheumatoid arthritis.
US08729061B2 Pyrrolidine derivatives
The invention relates to a compound of formula (I) wherein A and R1 to R7 are defined as in the description and in the claims. The compound of formula (I) can be used as a medicament.
US08729060B2 Macrocyclic polyoxazole compounds and use thereof
The invention provides compounds of formula (I) wherein A, B, R1, F, G, n, n′ and the dotted line have any values defined herein, as well as salts thereof. The compounds have activity as anti-proliferative agents.
US08729053B2 Nuclear factor kappa B pathway inhibitor composition and use of same
An embodiment of the invention provides a pharmaceutical composition comprising a compound of formula (I) a pharmaceutically acceptable salt, prodrug, hydrate, or solvate thereof. Another embodiment of the invention provides a method of treating or preventing a condition associated with increased expression and/or activity of an NFκB pathway using same compounds. A further embodiment of the invention provides a method of diagnosing a condition in an individual using same compounds.
US08729045B2 Compositions and methods for short interfering nucleic acid inhibition of Nav1.8
The invention provides short interfering nucleic acids, either single-stranded or double-stranded, that cause RNAi-induced degradation of mRNA from the Nav1.8 sodium channel gene; to pharmaceutical compositions comprising such short interfering nucleic acids; recombinant vectors comprising such short interfering nucleic acids; a method for inhibiting translation of an mRNA; a method for inhibiting expression of a polypeptide; a method for blocking the membrane potential in a cell; a method for blocking the sodium current in a cell; and a method for inhibiting chronic pain.
US08729040B2 Cell line, system and method for optical control of secondary messengers
A variety of methods, devices and compositions are implemented for light-activated molecules. One such method is implemented for generating secondary messengers in a cell. A nucleotide sequence for expressing a chimeric light responsive membrane protein (e.g., rhodopsin) is modified with one or more heterologous receptor subunits {e.g., an adrenergic receptor (alpha1, Beta2)}. The light responsive membrane protein is expressed in a cell for producing a secondary messenger in response to light.
US08729037B2 Method and medicament for inhibiting the expression of a given gene
The present invention relates to the specific inhibition of expression of a target gene in mammals using a short double stranded RNA. The dsRNA is less than 49 nucleotides in length and has a nucleotide sequence which is complementary to at least a part of the target gene. The dsRNAs of the present invention are useful for treating diseases, for example, cancer, viral diseases or neurodegenerative diseases.
US08729036B2 Compositions for RNA interference and methods of use thereof
The present invention provides compositions for RNA interference and methods of use thereof. In particular, the invention provides single-stranded small interfering RNAs. Functional and genomic and proteomic methods are featured. Therapeutic methods are also featured.
US08729033B2 Therapeutic, dietary or cosmetic use of compounds with specific anti-apoptotic activity toward caspase-3, and compositions containing these compounds
This invention regards the use of zeaxanthin and/or rutin, as such or further combined with spermidine, as the active principle in a pharmaceutical, dietary, or cosmetic composition, acting to inhibit caspase-3 and therefore to control apoptosis by preventing programmed cell death.The indication for this composition according to the invention is primarily the treatment of scalp disorders characterized by excessive cellular turnover including chemotherapy-induced alopecia, alopecia areata, androgenetic alopecia and telogen effluvium.
US08729029B2 Peptide derivatives and use thereof as carriers for molecules in the form of conjugates
The invention relates to peptide derivatives (peptides and pseudo-peptides) and use thereof as vectors for molecules of interest. The invention also relates to conjugates containing a peptide derivative of the invention bound to a molecule of interest. The peptides and prodrug conjugates of the invention can be used to vectorise molecules of pharmaceutical or diagnostic interest, such as, for example, therapeutic molecules, imaging or diagnostic agents, or molecular probes, across cell membranes, and notably to promote their transport across the blood-brain barrier (BBB).
US08729026B2 Method for inhibiting autophagy of motor neurons
A method for inhibiting the autophagy of motor neurons in a subject is provided. The method comprises administrating to the subject an effective amount of an active ingredient selected from the group consisting of a compound of formula (I), a pharmaceutically acceptable salt of the compound, a pharmaceutically acceptable ester of the compound and combinations thereof: wherein A is a C1-C5 alkyl optionally having one or more unsaturated bonds and optionally being substituted by one or more substituents selected from a group consisting of —OH, ═O and C1-C3 alkyl; X is H, —OH, Y is O or S and can optionally combine with A to form a five-membered ring; and R1 is H or a substituted or unsubstituted C1-C20 alkyl, wherein one or more —CH2— of the C1-C20 alkyl are optionally being replaced by —NH— or —O—.
US08729023B2 Methods, compositions and articles of manufacture for contributing to the treatment of cancers
Methods, compositions and articles of manufacture for contributing to the treatment of cancers, including solid tumors, are disclosed. The methods, compositions and articles of manufacture can utilize an endothelin B agonist (ETB) to enhance the delivery and resulting efficacy of a chemotherapeutic agent.
US08729014B2 Specific HCV NS3 protease inhibitors
The present invention is directed to compounds, compositions and methods for treating or preventing viral infections, in particular, HCV in human patients or other animal hosts.
US08729010B2 Compositions and methods for enhancing drug delivery across and into ocular tissues
This invention provides compositions and methods for enhancing delivery of drugs and other agents across epithelial tissues, including into and across ocular tissues and the like. The compositions and methods are also useful for delivery across endothelial tissues, including the blood brain barrier. The compositions and methods employ a delivery enhancing transporter that has sufficient guanidino or amidino sidechain moieties to enhance delivery of a compound conjugated to the reagent across one or more layers of the tissue, compared to the non-conjugated compound. The delivery-enhancing polymers include, for example, poly-arginine molecules that are preferably between about 6 and 25 residues in length.
US08729009B2 Lysine compounds and their use in site- and chemoselective modification of peptides and proteins
The present invention concerns new thiolysine and selenolysine compounds that can be used as building blocks for peptides and proteins, providing ligation handles for site- and chemoselective modification of said peptides and proteins. In particular, the invention provides. In particular, the invention provides (the use of) the compounds 5-thiolysine (also referred to as δ-thiolysine); 4-thiolysine (also referred to as γ-thiolysine); 5-selenolysine (also referred to as δ-selenolysine) and 4-selenolysine (also referred to as γ-selenolysine). The positioning of the thiol or selenol group at the respective carbon atom allows for a very efficient intramolecular transfer reaction to take place after conjugation with a selected ligand, and the thiol or selenol group may subsequently be removed using reported procedures, thereby restoring the native lysine structure, or be used as an additional conjugation handle. The methodology is fast and gives well-defined material.
US08729008B2 Starch hydrolyzate solubilizer for metal ions
The invention relates to solubilizers for metal ions and poorly soluble metal compounds, containing an oxidation product of starch hydrolysate as a solubilizing agent, to a method for solubilizing metal ions and to the use of said solubilizer.
US08729006B2 Methods and compositions using sodium carboxymethyl cellulose as scale control agent
The present invention includes methods and compositions that employ sodium carboxymethyl cellulose as a scale inhibitor. According to the invention, scale inhibiting compositions are disclosed which include, sodium carboxymethyl cellulose and a polymer such as polymaleic acid, polyacrylic acid or mixtures, copolymers or terpolymers of the same and optionally may also include a phosphonate. The scale control composition may be incorporated into a detergent/cleaning composition.
US08729005B2 Hard surface cleaning compositions
An aqueous liquid acidic hard surface cleaning composition having a pH of about 2-4 which necessarily comprises: an acid constituent, which is preferably an organic acid constituent, and especially preferably acetic acid, at least one nonionic surfactant, and especially preferably wherein the nonionic surfactants are derived from Guerbet alcohols; an organic solvent constituent which comprises at least one glycol ether solvent, preferably a glycol ether solvent; a sequestering polymer constituent; optionally a cosurfactant constituent, including one or more anionic, cationic, amphoteric or zwitterionic surfactants; optionally one or more further constituents selected coloring agents, fragrances and fragrance solubilizers, viscosity modifying agents including one or more thickeners, pH adjusting agents and pH buffers including organic and inorganic salts, optical brighteners, opacifying agents, hydrotropes, abrasives, and preservatives, as well as other optional constituents known to the art; and the balance, water, wherein water comprises at least 80% wt. of the composition.
US08729002B2 Wet etchants including at least one etch blocker
Methods for preventing isotropic removal of materials at corners formed by seams, keyholes, and other anomalies in films or other structures include use of etch blockers to cover or coat such corners. This covering or coating prevents exposure of the corners to isotropic etch solutions and cleaning solutions and, thus, prevents higher material removal rates at the corners than at smoother areas of the structure or film. Solutions, including wet etchants and cleaning solutions, that include at least one type of etch blocker are also disclosed, as are systems for preventing higher rates of material removal at corners formed by seams, crevices, or recesses in a film or other structure. Semiconductor device structures in which etch blockers are located so as to prevent isotropic etchants from removing material from corners of seams, crevices, or recesses of a film or other structure at undesirably high rates are also disclosed.
US08728999B2 Method for improving the fuel efficiency of engine oil compositions for large low and medium speed engines by reducing the traction coefficient
The present invention is directed to a method for improving the fuel efficiency of large low and medium speed engine oil compositions by reducing the traction coefficient of the oil by formulating the oil using a blend consisting of one or more Group I base oils having a kinematic viscosity at 100° C. of from 2 to less than 12 mm2/s in combination with a Group IV base oil having a kinematic viscosity of at least 38, the difference in kinematic viscosity between the Group I and Group IV oils in the blend being at least 30 mm2/s in combination with a detergent.
US08728987B2 Filtering small nucleic acids using permeabilized cells
Filtering small nucleic acids using permeabilized cells and methods for using the filtering to detect genomic DNA accessibility are described.
US08728985B2 Display of disulfide linked dimeric proteins in filamentous phage
Methods are provided for the display of a complex homodimer protein on the surface of a bacteriophage particle and combinatorial synthetic libraries of such proteins displayed as a fusion polypeptide with filamentous phage pIX coat protein. Heterodimeric or more complex interchain bonded structure, such as disulfide-linked, multimeric proteins, may be displayed using the method of the invention.
US08728976B2 Printing paper for printing stereoscopic image, stereoscopic image printed matter, and method for providing stereoscopic image
Provided is printing paper for printing a stereoscopic image, including a light-transmitting image-receiving layer (12) and a linear polarizing layer (14), wherein a linear polarizing layer is patterned in a first domain and a second domain whose directions of polarizing axes are at an angle of 90° with respect to each other.
US08728974B2 Pollutant emission control sorbents and methods of manufacture and use
Sorbents for removal of mercury and other pollutants from gas streams, such as a flue gas stream from coal-fired utility plants, and methods for their manufacture and use are disclosed. Embodiments include brominated sorbent substrate particles having a carbon content of less than about 10%. Other embodiments include one or more oxidatively active halides of a nonoxidative metal dispersed on sorbent substrate particles mixed with activated carbon in an amount up to 30% by weight.
US08728972B2 High pore volume VPO catalyst for maleic anhydride production
Embodiments of the present invention disclose improved micro-pore catalyst structures containing catalytic material comprised of mixed oxides of vanadium and phosphorus and using such improved micro-pore catalyst structures for the production of maleic anhydride.
US08728971B2 Pressure control of the catalyst mixing vessel
The present invention relates to a process for preparing catalyst slurry in a catalyst slurry preparation system and supplying catalyst slurry to an ethylene polymerization loop reactor wherein an accurate control of the pressure within said catalyst slurry preparation system is provided. More particularly, the present invention provides a method for preparing a catalyst slurry and supplying said catalyst slurry to an ethylene polymerization loop reactor, said catalyst slurry comprising solid catalyst and a liquid hydrocarbon diluent, wherein said method comprises the steps of: (a) feeding concentrated catalyst slurry to a mixing vessel by means of a feeding device; (b) diluting said concentrated catalyst slurry in a suitable amount of said diluent in a mixing vessel thereby obtaining a diluted catalyst slurry having a concentration suitable for use in an ethylene polymerization reaction; wherein said mixing vessel is provided with at least one pressure regulating unit connected therewith and wherein said pressure regulating unit comprises a pulsation dampener; and (c) transferring said diluted catalyst slurry from said mixing vessel to said ethylene polymerization loop reactor; characterized in that the method comprises the step of maintaining said mixing vessel essentially free of a gaseous phase by regulating the pressure in said mixing vessel using said at least one pressure regulating unit comprising the pulsation dampener.
US08728967B2 High purity powders
This invention relates to high purity yttria or ytterbia stabilized zirconia powders comprising from about 0 to about 0.15 weight percent impurity oxides, from about 0 to about 2 weight percent hafnium oxide (hafnia), from about 6 to about 25 weight percent yttrium oxide (yttria) or from about 10 to about 36 weight percent ytterbium oxide (ytterbia), and the balance zirconium oxide (zirconia). Thermal barrier coatings for protecting a component such as blades, vanes and seal surfaces of gas turbine engines, made from the high purity yttria or ytterbia stabilized zirconia powders, have a density greater than 88% of the theoretical density with a plurality of vertical macrocracks homogeneously dispersed throughout the coating to improve its thermal fatigue resistance.
US08728966B2 Aluminum oxycarbide composition, production method therefor, and refractory material
It is an object to provide an aluminum oxycarbide composition capable of suppressing oxidation of Al4O4C during use to maintain advantageous effects of Al4O4C for a long time. In an aluminum oxycarbide composition comprising Al4O4C crystals, the Al4O4C crystals have an average diameter of 20 μm or more, based on an assumption that a cross-sectional area of each Al4O4C crystal during observation of the aluminum oxycarbide composition in an arbitrary cross-section thereof is converted into a diameter of a circle having the same area as the cross-sectional area. This aluminum oxycarbide composition can be produced by subjecting a carbon-based raw material and an alumina-based raw material to melting in an arc furnace and then cooling within the arc furnace.
US08728965B2 Method for producing porous material using antifreeze protein
Provided is a method for producing a porous material, wherein porosity can be controlled to 50% or higher by means of a freezing method, pore size can be controlled to 10 μm to 300 μm, and pore diameter distribution is uniform. The method is a method for producing a porous material, comprising freezing a mixture of water and a raw material comprising at least any of a ceramic material, a resin, a metal, and precursors thereof from a specific portion of the mixture to use ice crystals produced at the time as a pore source and then heat-treating a dry material obtained by removing the ice from the frozen material, wherein the mixture of a raw material and water or the frozen material comprises an antifreeze protein.
US08728961B2 Method of making a float glass convertible into a glass ceramic and float glass made thereby
A method is described for making a float glass convertible into a glass ceramic, by which a largely crystal fault-free glass can be produced. In this method the glass is cooled from a temperature (TKGmax), at which a crystal growth rate is at a maximum value (KGmax), to another temperature (TUEG), at which practically no more crystal growth occurs, with a cooling rate, KR, in ° C. min−1 according to: KR UEG KGmax ≥ Δ ⁢ ⁢ T UEG KGmax 100 · KG ⁢ ⁢ max , wherein ΔT=TKGmax−TUEG, and KGmax=maximum crystal growth rate in μm min−1. The float glass has a thickness below an equilibrium thickness, a net width of at least 1 m and has no more than 50 crystals with a size of more than 50 μm, especially no crystals with a size of more than 10 μm, per kilogram of glass within the net width.
US08728957B2 Thin film formation method and film formation apparatus
A thin film formation method to form a silicon film containing an impurity on a surface of an object to be processed in a process chamber that allows vacuum exhaust includes alternately and repeatedly performing a first gas supply process in which a silane-based gas composed of silicon and hydrogen is supplied into the process chamber in a state that the silane-based gas is adsorbed onto the surface of the object to be processed and a second gas supply process in which an impurity-containing gas is supplied into the process chamber, to form an amorphous silicon film containing an impurity. Accordingly, an amorphous silicon film containing an impurity having good filling characteristics can be formed even at a relatively low temperature.
US08728951B2 Method and system for ion-assisted processing
A method of processing a substrate includes performing a first exposure that comprises generating a plasma containing reactive gas ions in a plasma chamber and generating a bias voltage between the substrate and the plasma chamber. The method also includes providing a plasma sheath modifier having an aperture disposed between the plasma and substrate and operable to direct the reactive gas ions toward the substrate, and establishing a pressure differential between the plasma chamber and substrate region while the reactive gas ions are directed onto the substrate.
US08728949B2 Method for fabricating a semiconductor device
A method for forming a semiconductor device. A substrate having thereon at least one small pattern and at least one large pattern is provided. A sacrificial layer is deposited to cover the small pattern and the large pattern. A chemical mechanical polishing is performed to planarize the sacrificial layer. The sacrificial layer is then dry etched to a thickness that is smaller than a height of the small pattern and the large pattern, thereby revealing an oxide hard mask of the small pattern and the large pattern. The oxide hard mask is then selectively removed.
US08728948B2 Method of manufacturing semiconductor device
A method of manufacturing a semiconductor device is disclosed. The method may comprise: forming a gate stack on a substrate; depositing a first dielectric layer and a second dielectric layer sequentially on the substrate and the gate stack; and etching the second dielectric layer and the first dielectric layer sequentially with an etching gas containing helium to form a second spacer and a first spacer, respectively. According to the method disclosed herein, a dual-layer complex spacer configuration is achieved, and two etching operations where the etching gas comprises the helium gas are performed. As a result, it is possible to reduce damages to the substrate and also to reduce the process complexity. Further, it is possible to optimize a threshold voltage, effectively reduce an EOT, and enhance a gate control capability and a driving current.
US08728941B2 Semiconductor apparatus and manufacturing method of same
Disclosed is a thin-film transistor (10) manufacturing method that includes a process for forming a nitrate film (12x) that includes residual nickel (22) on a surface thereof, by bringing a nitric acid solution into contact with a polysilicon layer (11x); and a process for removing the nitrate film (12x) that includes residual nickel (22) from the polysilicon layer (11x) surface. With this surface treatment process, a polysilicon layer (11) with reduced concentration of a surface residual nickel (22) is provided, and a thin-film transistor (10) having excellent surface smoothness is attained.
US08728938B2 Method for substrate pretreatment to achieve high-quality III-nitride epitaxy
The present invention relates to a method for producing a modified surface of a substrate that stimulates the growth of epitaxial layers of group-III nitride semiconductors with substantially improved structural perfection and surface flatness. The modification is conducted outside or inside a growth reactor by exposing the substrate to a gas-product of the reaction between hydrogen chloride (HCl) and aluminum metal (Al). As a single-step or an essential part of the multi-step pretreatment procedure, the modification gains in coherent coordination between the substrate and group-III nitride epitaxial structure to be deposited. Along with epilayer, total epitaxial structure may include buffer inter-layer to accomplish precise substrate-epilayer coordination. While this modification is a powerful tool to make high-quality group-III nitride epitaxial layers attainable even on foreign substrates having polar, semipolar and nonpolar orientation, it remains gentle enough to keep the surface of the epilayer extremely smooth. Various embodiments are disclosed.
US08728937B2 Method for producing semiconductor chips using thin film technology
For semiconductor chips using thin film technology, an active layer sequence is applied to a growth substrate, on which a reflective electrically conductive contact material layer is then formed. The active layer sequence is patterned to form active layer stacks, and reflective electrically conductive contact material layer is patterned to be located on each active layer stack. Then, a flexible, electrically conductive foil is applied to the contact material layers as an auxiliary carrier layer, and the growth substrate is removed.
US08728932B2 Contact for memory cell
A contact for memory cells and integrated circuits having a conductive layer supported by the sidewall of a dielectric mesa, memory cells incorporating such a contact, and methods of forming such structures.
US08728929B2 Pre-soldered leadless package
The invention relates to a method of manufacturing a semiconductor device, the method comprising: i) providing a substrate carrier comprising a substrate layer and a patterned conductive layer, wherein the patterned conductive layer defines contact pads; ii) partially etching the substrate carrier using the patterned conductive layer as a mask defining contact regions in the substrate layer; iii) providing the semiconductor chip; iv) mounting said semiconductor chip with the adhesive layer on the patterned conductive layer such that the semiconductor chip covers at least one of the trenches and part of the contact pads neighboring the respective trench are left uncovered for future wire bonding; v) providing wire bonds between respective terminals of the semiconductor chip and respective contact pads of the substrate carrier; vi) providing a molding compound covering the substrate carrier and the semiconductor chip, and vii) etching the backside (S2) of the substrate carrier to expose the molding compound in the trenches. The invention further relates to a semiconductor device manufactured with such method, and to a printed-circuit board comprising such semiconductor device. The invention enables a reduced minimum bondpad pitch. An embodiment of the invention has a by-design-wettable terminal side at the perimeter of the device. This latest mentioned feature enables automated board inspection w.r.t. board mounting quality.
US08728928B2 Method for producing an electrode made with molybdenum oxide
A method for producing a solar cell having a substrate, having an inner face, wherein said inner face is designed to receive a conductive element based on molybdenum, wherein the method comprises forming several layers based on molybdenum on the substrate, at least one of the layers being enriched in molybdenum oxide, wherein the layers are formed by a magnetron sputtering method, and wherein the layer enriched with molybdenum oxide is obtained by injecting oxygen, ozone or a mixture of gas containing oxygen in atomic form during the formation of the molybdenum-based conductive element.
US08728923B2 Manufacturing method of semiconductor device
A manufacturing method of a semiconductor device having an ohmic electrode is disclosed. The manufacturing method includes: forming a metal thin film on a rear surface of a semiconductor substrate; forming an ohmic electrode by laser annealing by irradiating the metal thin film with laser beam; and dicing the semiconductor substrate into chips by cutting at a dicing region of the semiconductor substrate. In forming the ohmic electrode, laser irradiation of the metal thin film is performed on a chip-by-chip basis while the dicing region is not being irradiated with the laser beam.
US08728921B2 Method for fabricating semiconductor components having lasered features containing dopants
A method for fabricating semiconductor components includes the steps of providing a semiconductor substrate having a circuit side, a back side and integrated circuits and circuitry on the circuit side; thinning the substrate from the back side to a selected thickness to form a thinned substrate; applying a dopant to the back side of the thinned substrate; and laser processing the back side of the thinned substrate to form a plurality of patterns of lasered features containing the dopant. The dopant can be selected to modify properties of the semiconductor substrate such as carrier properties, gettering properties, mechanical properties or visual properties.
US08728915B2 Wafer laser-making method and die fabricated using the same
A wafer laser-marking method is provided. First, a wafer having a first surface (an active surface) and a second surface (a back surface) opposite to each other is provided. Next, the wafer is thinned. Then, the thinned wafer is fixed on a non-UV tape such that the second surface of the wafer is attached to the tape. Finally, the laser marking step is performed, such that a laser light penetrates the non-UV tape and marks a pattern on the second surface of the wafer. According to the laser-marking method of the embodiment, the pattern is formed by the non-UV residuals left on the second surface of the wafer, and the components of the glue residuals at least include elements of silicon and carbon.
US08728914B2 Workpiece cutting method
Fractures (17a, 17b) are generated from modified regions (7a, 7b) to front and rear faces (12a, 12b) of a object to be processed (1), respectively, while an unmodified region (2) is interposed between the modified regions (7a, 7b). This can prevent fractures from continuously advancing in the thickness direction of a silicon substrate (12) when forming a plurality of rows of modified regions (7). By generating a stress in the object (1), the fractures (17a, 17b) are connected to each other in the unmodified region (2), so as to cut the object (1). This can prevent fractures from meandering in the rear face (12b) of the object (1) and so forth, whereby the object (1) can be cut accurately along a line to cut the object (5).
US08728913B2 Method for transferring a layer from a donor substrate onto a handle substrate
The invention relates to a method for transferring a layer from a donor substrate onto a handle substrate wherein, after detachment, the remainder of the donor substrate is reused. To get rid of undesired protruding edge regions that are due to the chamfered geometry of the substrates, the invention proposes to carry out an additional etching process before detachment occurs.
US08728911B2 Optical device wafer processing method
An optical device wafer processing method for processing an wafer having an epitaxy substrate and an optical device layer formed on the front side of the epitaxy substrate through a buffer layer. The buffer layer is to be broken in the condition where the optical device layer is bonded through a bonding metal layer to a transfer substrate. The method includes a buffer layer breaking step of applying a pulsed laser beam having a wavelength having transmissivity to the epitaxy substrate and having absorptivity to the buffer layer from the back side of the epitaxy substrate to the buffer layer, thereby breaking the buffer layer. The buffer layer breaking step includes a first laser beam applying step of completely breaking the buffer layer corresponding to an optical device area and a second laser beam applying step of incompletely breaking the buffer layer corresponding to a peripheral marginal area.
US08728910B2 Expandable film, dicing film, and method of producing semiconductor device
To provide an olefinic expandable substrate and a dicing film that exhibits less contamination characteristics, high expandability without necking, which cannot be achieved by conventional olefinic expandable substrates. In order to achieve the object, an expandable film comprises a 1-butene-α-olefin copolymer (A) having a tensile modulus at 23° C. of 100 to 500 MPa and a propylenic elastomer composition (B) comprising a propylene-α-olefin copolymer (b1) and having a tensile modulus at 23° C. of 10 to 50 MPa, wherein the amount of the component (B) is 30 to 70 weight parts relative to 100 weight parts in total of components (A) and (B).
US08728909B2 Method for forming the semiconductor cell
A semiconductor cell includes first trenches defining fin type active regions within the semiconductor substrate and adjacent to each other, second trenches disposed at one side and the other side of the first trenches, adjacent to the first trench and including fin type active regions, a first oxide layer formed on each of surfaces of the first trenches, and a second oxide layer formed on each of surfaces of the second trenches and having a thicker thickness than the first oxide layer. Although the critical dimension of the fin is increased, the gate drivability can be improved.
US08728907B2 Methods for fabricating an integrated circuit arrangement comprising isolating trenches and a field effect transistor
A memory circuit arrangement and a fabrication method are disclosed. The memory circuit arrangement has a memory cell area. The memory cell area contains memory cell transistors, one column of which are selected using a triple gate area selection transistor. The transistor has gate area that extends into isolating trenches. The isolating trenches isolate the memory cell in different columns of the memory cell array.
US08728904B2 Method of forming isolation structure in semiconductor substrate
A variety of isolation structures for semiconductor substrates include a trench formed in the substrate that is filled with a dielectric material or filled with a conductive material and lined with a dielectric layer along the walls of the trench. The trench may be used in combination with doped sidewall isolation regions. Both the trench and the sidewall isolation regions may be annular and enclose an isolated pocket of the substrate. The isolation structures are formed by modular implant and etch processes that do not include significant thermal processing or diffusion of dopants so that the resulting structures are compact and may be tightly packed in the surface of the substrate.
US08728901B2 Method for fabricating a damascene self-aligned ferroelectric random access memory (F-RAM) with simultaneous formation of sidewall ferroelectric capacitors
A method for fabricating a non-volatile, ferroelectric random access memory (F-RAM) device is described. In one embodiment, the method includes forming an opening in an insulating layer over a surface of a substrate, and forming bottom electrode spacers proximal to sidewalls of the opening. Next, a ferroelectric dielectric layer is formed in the opening over the surface of the substrate and between the bottom electrode spacers, and a pair of top electrodes is formed within the opening comprising first and second side portions displaced laterally from respective ones of the bottom electrode spacers by the ferroelectric dielectric layer.
US08728900B2 Integrating the formation of I/O and core MOS devices with MOS capacitors and resistors
An integrated circuit structure includes a semiconductor substrate, and a first and a second MOS device. The first MOS device includes a first gate dielectric over the semiconductor substrate, wherein the first gate dielectric is planar; and a first gate electrode over the first gate dielectric. The second MOS device includes a second gate dielectric over the semiconductor substrate; and a second gate electrode over the second gate dielectric. The second gate electrode has a height greater than a height of the first gate electrode. The second gate dielectric includes a planar portion underlying the second gate electrode, and sidewall portions extending on sidewalls of the second gate electrode.
US08728899B2 Mixed valent oxide memory and method
Memory devices and methods of forming include a mixed valent oxide located between a first electrode and a second electrode. Implantation of a metal below a surface of one of the electrodes allows formation of the mixed valent oxide with a direct interface to the electrode. An intermetallic oxide can be subsequently formed between the mixed valent oxide and the electrode by annealing the structure.
US08728894B2 Method for fabricating an NMOS transistor
A method for fabricating an NMOS transistor includes providing a substrate; forming a gate dielectric layer structure on the substrate and forming a gate electrode on the gate dielectric layer structure. The method further includes performing a fluorine ion implantation below the gate dielectric layer and an annealing process in an atmosphere comprising hydrogen or hydrogen plasma. The method also includes forming a source region and a drain region on both sides of the gate electrode before or after the fluorine ion implantation.
US08728892B2 Adaptive fin design for FinFETs
A method of designing a standard cell includes determining a minimum fin pitch of semiconductor fins in the standard cell, wherein the semiconductor fins are portions of FinFETs; and determining a minimum metal pitch of metal lines in a bottom metal layer over the standard cell, wherein the minimum metal pitch is greater than the minimum fin pitch. The standard cell is placed in an integrated circuit and implemented on a semiconductor wafer.
US08728891B2 Method for producing contact openings in a semiconductor body and self-aligned contact structures on a semiconductor body
Contact openings are produced in a semiconductor body by forming a plurality of self-aligned structures on a main surface of a semiconductor body, each self-aligned structure filling a trench formed in the semiconductor body and extending above and onto the main surface. Adjacent ones of the self-aligned structures have spaced apart sidewalls which face each other. A spacer layer is formed on the sidewalls of the self-aligned structures. Openings are formed in the semiconductor body between adjacent ones of the self-aligned structures while the spacer layer is on the sidewalls of the self-aligned structures. Each opening has a width and a distance to the sidewall of an adjacent trench which corresponds to a thickness of the spacer layer. Self-aligned contact structures can also be produced on a semiconductor body, with or without using the spacer layer.
US08728888B2 Manufacturing method of semiconductor storage device
In a manufacturing method, gate electrode materials and a hard-mask material are deposited above a substrate. First mandrels are formed on the hard-mask material in a region of cell array. A second mandrel is formed on the hard-mask material in a region of a selection gate transistor. First sidewall-masks are formed on side-surfaces of the first mandrels. A second sidewall-mask is formed on a side-surface of the second mandrel. An upper side-surface of the second sidewall-mask is exposed. A sacrificial film is embedded between the first sidewall-masks. A sacrificial spacer is formed on the upper side-surface of the second sidewall-mask. A resist film covers the second mandrel. An outer edge of the resist film is located between the first mandrel closest to the second mandrel and the sacrificial spacer. The first mandrels are removed using the resist film as a mask. And, the sacrificial film and spacer are removed.
US08728887B2 Method for fabricating capacitor of semiconductor device
A method for fabricating a capacitor of a semiconductor device includes sequentially forming an etch-stop layer and a mold layer over a substrate, sequentially forming a support layer and a hard mask pattern over the mold layer, forming a storage node hole by etching the support layer and the mold layer using the hard mask pattern as an etch barrier, forming a barrier layer on the sidewall of the mold layer inside the storage node hole, etching the etch-stop layer under the storage node hole, forming a storage node inside the storage node hole, and removing the hard mask pattern, the mold layer, and the barrier layer.
US08728885B1 Methods of forming a three-dimensional semiconductor device with a nanowire channel structure
One method herein includes forming a plurality of spaced-apart trenches that extend at least partially into a semiconducting substrate, wherein the trenches define a fin structure comprised of first and second layers of semiconducting material, wherein the first layer of semiconducting material is selectively etchable relative to the substrate and the second layer of semiconducting material, forming a sacrificial gate structure above the fin, wherein the gate structure includes a gate insulation layer and a gate electrode, forming a sidewall spacer adjacent the gate structure, performing an etching process to remove the sacrificial gate structure, thereby defining a gate cavity, performing at least one selective etching process to selectively remove the first layer of semiconducting material relative to the second layer of semiconducting material within the gate cavity, thereby defining a space between the second semiconducting material and the substrate, and forming a final gate structure in the gate cavity.
US08728880B2 Graphene electronic device and method of fabricating the same
A graphene electronic device includes a graphene channel layer on a substrate, a source electrode on an end portion of the graphene channel layer and a drain electrode on another end portion of the graphene channel layer, a gate oxide on the graphene channel layer and between the source electrode and the drain electrode, and a gate electrode on the gate oxide. The gate oxide has substantially the same shape as the graphene channel layer between the source electrode and the drain electrode.
US08728875B2 Ballasted polycrystalline fuse
A polycrystalline fuse includes a first layer of polycrystalline material on a substrate and a second layer of a silicide material on the first layer. The first and second layers are shaped to form first and second terminal portions of a first width joined along a length of the fuse by a fuse portion of a second width narrower than the first width. First and second contacts are connected to the first and second terminal portions respectively. The silicide material being discontinuous in a terminal region of the second layer along the length of the fuse.
US08728874B2 Method and apparatus for low inductive design pattern
Provided is an interleaved or wavy spatial arrangement of the micro-vias providing the electrical pathways for the power and ground leads are described. The spatial arrangement increases the coupling pairs between power and ground vias or leads. This spatial arrangement is maintained even as the micro-vias transition across a plane from a direction of travel. Thus, the charge from the decoupling capacitor is able to more efficiently be delivered as the inductances are minimized through this design.
US08728872B2 Manufacturing process and heat dissipating device for forming interface for electronic component
A method includes preparing a bonding surface of a heat dissipating member, applying flux to the bonding surface of the heat dissipating member, and removing excess flux from the bonding surface so that minimal flux is provided. The method also includes preparing a die surface of an electronic device package, applying flux to the die surface, and removing excess flux from the die surface so that minimal flux is provided. The method further includes positioning a preform solder component on the die surface, positioning the heat dissipating member over the die surface and the preform solder component such that the flux layer of the bonding surface is in contact with the preform solder component, and reflowing the solder component using a reflow oven. A heat spreader is also described for use in the process.
US08728871B2 Method for fabricating electronic device package
A chip package is disclosed. The package includes a carrier substrate, at least two semiconductor chips, a fill material layer, a protective layer, and a plurality of conductive bumps. The carrier substrate includes a grounding region. The semiconductor chips are disposed overlying the grounding region of the carrier substrate. Each semiconductor chip includes at least one signal pad and includes at least one grounding pad electrically connected to the grounding region. The fill material layer is formed overlying the carrier substrate and covers the semiconductor chips. The protective layer covers the fill material layer. The plurality of conductive bumps is disposed overlying the protective layer and is electrically connected to the semiconductor chips. A fabrication method of the chip package is also disclosed.
US08728868B2 Semiconductor substrate and method for manufacturing semiconductor device
Provided is a method for manufacturing a semiconductor device, which prevents waste generation from being caused peeling of films and prevents failure of peeling from being caused by waste due to peeling of films. A first semiconductor substrate is used which has a structure in which a peeling layer is not formed in a section subjected to a first dividing treatment, so that the peeling layer is not exposed at the end surface of a second semiconductor substrate when the second semiconductor substrate is cut out of the first semiconductor substrate. In addition, a supporting material is provided on a layer to be peeled of the second semiconductor substrate before the second semiconductor substrate is subjected to a second dividing treatment.
US08728867B2 Semiconductor device, manufacturing method of semiconductor device, and power source device
A manufacturing of a semiconductor device includes forming one of a layer with a first metal and the layer with a second metal on one of a semiconductor chip mounting area of a support plate and a back surface of the semiconductor chip; forming the other of the layer with the first metal and the layer with the second metal on an area corresponding to a part of the area, in which one of the layer with the first metal and the layer with the second metal, of the other one of the semiconductor chip mounting area and the back surface of the semiconductor chip; and forming a layer which includes an alloy with the first metal and the second metal after positioning the semiconductor chip in the semiconductor chip mounting area to bond the semiconductor chip with the semiconductor chip mounting area.
US08728866B2 Method for manufacturing semiconductor device
A method for manufacturing a semiconductor device comprises: forming a circuit pattern and a first metal film on a first major surface of a body wafer; forming a through-hole penetrating the body wafer from a second major surface of the body wafer and reaching the first metal film; forming a second metal film on a part of the second major surface of the body wafer, on an inner wall of the through-hole, and on the first metal film exposed in the through-hole; forming a recess on a first major surface of a lid wafer; forming a third metal film on the first major surface of the lid wafer including inside the recess of the lid wafer; with the recess facing the circuit pattern, and the first metal film contacting the third metal film, joining the lid wafer to the body wafer; and dicing the joined body wafer and lid wafer along the through-hole.
US08728865B2 Microelectronic packages and methods therefor
A method of making a microelectronic assembly can include molding a dielectric material around at least two conductive elements which project above a height of a substrate having a microelectronic element mounted thereon, so that remote surfaces of the conductive elements remain accessible and exposed within openings extending from an exterior surface of the molded dielectric material. The remote surfaces can be disposed at heights from said surface of said substrate which are lower or higher than a height of the exterior surface of the molded dielectric material from the substrate surface. The conductive elements can be arranged to simultaneously carry first and second different electric potentials: e.g., power, ground or signal potentials.
US08728861B2 Fabrication method for ZnO thin film transistors using etch-stop layer
A method is provided for fabricating a thin film transistor. A plurality of layers is deposited on a substrate. The plurality of layers includes a conductive gate contact layer, a gate insulator layer, an undoped channel layer, an etch-stop layer, and a conductive contact layer. The etch-stop layer is positioned between the conductive contact layer and the undoped channel layer. A portion of the conductive contact layer is selectively removed while removal of a portion of the undoped channel layer is prevented by the etch-stop layer during the selective removal. A portion of the etch-stop layer is selectively removed and an exposed portion of the etch-stop layer is converted from a conductor to an insulator by oxidizing the exposed portion of the etch-stop layer in air. A portion of remaining layers of the plurality of layers is selectively removed to form the thin film transistor.
US08728859B2 Small footprint phase change memory cell
An example embodiment disclosed is a method for fabricating a phase change memory cell. The method includes forming a non-sublithographic via within an insulating substrate. The insulating substrate is embedded on the same layer as a first metalization layer (Metal 1) of a semiconductor wafer, and includes a bottom and a sidewall. A sublithographic aperture is formed through the bottom of the non-sublithographic via and extends to a buried conductive material. The sublithographic aperture is filled with a conductive non-phase change material. Furthermore, phase change material is deposited within the non-sublithographic via.
US08728853B2 Solid-state image sensing device and method of manufacturing the same
By selectively anisotropically etching a stack film formed to cover a plurality of photodiodes and a gate electrode layer of a MOS transistor, the stack film remains on each of the plurality of photodiodes to form a lower antireflection coating and the stack film remains on a sidewall of the gate electrode layer to form a sidewall. Using the gate electrode layer and the sidewall as a mask, an impurity is introduced to form a source/drain region of the MOS transistor. After the impurity was introduced, an upper antireflection coating is formed at least on a lower antireflection coating. At least any of the upper antireflection coating and the lower antireflection coating is etched such that the antireflection coatings on the two respective photodiodes are different in thickness from each other.
US08728837B2 Enhancing uniformity of slab region thickness in optical components
A method of forming an optical device includes generating a device precursor having a layer of a light-transmitting medium on a base. The method also includes forming an etch stop on the layer of light-transmitting medium. An active medium is grown on the etch stop and on the light-transmitting medium such that the light-transmitting medium is between the base and the grown active medium. The grown active medium is etched down to the etch stop so as to define a ridge in the active medium. The ridge of active medium defines a portion of a component waveguide that will guide a light signal through an active component on the device.
US08728836B2 Method for preventing electrostatic breakdown, method for manufacturing array substrate and display substrate
An embodiment of the disclosed technology provides a method for preventing electrostatic breakdown during the manufacturing process of the array substrate. The method comprises: when forming a conductive pattern of a substrate, connecting conductive lines for forming the conductive pattern with a closed conductive ring on a same layer as the conductive lines in a peripheral region of the substrate, and wherein when electrostatic charges are generated over the metal line, the electrostatic charges are led to the closed conductive ring.
US08728833B2 Light emitting device using a thermally activated coating and method of manufacturing
An improved method for encapsulating LEDs in a polymer coat is described. A substrate houses an LED, and a polymer layer is brought into proximity with the substrate and LED. The polymer layer is melted over the substrate, encapsulating the LED onto the substrate.
US08728832B2 Semiconductor device dielectric interface layer
Embodiments related to methods for forming a film stack on a substrate are provided. One example method comprises exposing the substrate to an activated oxygen species and converting an exposed surface of the substrate into a continuous monolayer of a first dielectric material. The example method also includes forming a second dielectric material on the continuous monolayer of the first dielectric material without exposing the substrate to an air break.
US08728830B2 Manufacturing method of magneto-resistive element
The present invention provides a manufacturing method of a magneto-resistive element capable of obtaining a higher MR ratio, in a method of forming a metal oxide layer (e.g., MgO layer) by oxidation treatment of a metal layer (e.g., Mg layer). An embodiment of the present invention includes the steps of; providing a substrate having a first ferromagnetic layer; fabricating a tunnel barrier layer on the first ferromagnetic layer; and forming a second ferromagnetic layer on the tunnel barrier layer. The step of fabricating the tunnel barrier layer includes; the steps of; depositing a first metal layer on the first ferromagnetic layer; oxidizing the first metal layer; depositing a second metal layer on the oxidized first metal layer; and performing heating treatment on the oxidized first metal layer and the second metal layer at a temperature at which the second metal layer boils.
US08728821B2 Transgenic photosynthetic microorganisms
Provided herein is a transgenic bacteria engineered to accumulate carbohydrates, for example disaccharides. Also provided is a photobioreactor for cultivating photosynthetic microorganisms comprising a non-gelatinous, solid cultivation support suitable for providing nutrients and moisture to photosynthetic microorganisms and a physical barrier covering at least a portion of the surface of the cultivation support. Devices for the large scale and continuous cultivation of photosynthetic microorganisms incorporating photobioreactors and methods of use are disclosed. Also disclosed are methods of producing fermentable sugar from photosynthetic microorganisms using a photobioreactor of the invention.
US08728820B2 Method of nucleic acid recombination
The invention provides a method for inserting a single stranded replacement nucleic acid into a target nucleic acid, the method comprising the steps of: a) generating a single stranded replacement nucleic acid from a double stranded nucleic acid, wherein the double stranded nucleic acid is adapted at one or both of its 5′ ends such that preferential degradation of one strand and/or strand separation generates the single stranded replacement nucleic acid, wherein the single stranded replacement nucleic acid comprises a 5′ region that is identical to sequence on the target nucleic acid, a 3′ region that is identical to sequence on the target nucleic acid and optionally a replacement region between the 5′ and 3′ regions that is not identical to sequence on the target nucleic acid, b) exposing the target nucleic acid to the single stranded replacement nucleic acid under conditions suitable for recombination to occur between the single stranded replacement nucleic acid and the target nucleic acid, and c) selecting a target nucleic acid whose sequence has been altered by inclusion of said single stranded replacement nucleic acid. Other methods for modifying target nucleic acids are also provided.
US08728811B2 Process for producing cytotoxic lymphocyte
The present invention relates to a method for preparing a cytotoxic lymphocyte characterized in that the method comprises the step of carrying out at least one of induction, maintenance and expansion of a cytotoxic lymphocyte in the presence of fibronectin, a fragment thereof or a mixture thereof.
US08728810B2 Methods for modifying cell surface glycans
Methods and compositions for modifying glycans (e.g., glycans expressed on the surface of live cells or cell particles) are provided herein.
US08728807B2 Self-assembling multicellular bodies and methods of producing a three-dimensional biological structure using the same
Structures and methods for tissue engineering include a multicellular body including a plurality of living cells. A plurality of multicellular bodies can be arranged in a pattern and allowed to fuse to form an engineered tissue. The arrangement can include filler bodies including a biocompatible material that resists migration and ingrowth of cells from the multicellular bodies and that is resistant to adherence of cells to it. Three-dimensional constructs can be assembled by printing or otherwise stacking the multicellular bodies and filler bodies such that there is direct contact between adjoining multicellular bodies, suitably along a contact area that has a substantial length. The direct contact between the multicellular bodies promotes efficient and reliable fusion. The increased contact area between adjoining multicellular bodies also promotes efficient and reliable fusion. Methods of producing multicellular bodies having characteristics that facilitate assembly of the three-dimensional constructs are also provided.
US08728805B2 Methods and compositions for treatment of bone defects with placental cell populations
Provided herein are osteogenic placental adherent cells (OPACs), methods of using OPACs and OPAC populations, and methods of culturing, proliferating, expanding, or differentiating the OPACs. Further provided herein are methods of using the OPACs to formulate implantable or injectable compositions suitable for administration to a subject. Still further provided herein are methods for treating bone defects with OPACs and compositions comprising OPACs. Also provided herein are methods of using OPACs in the treatment and management of multiple myeloma, e.g., reducing the progression of, halting the progression of, or improving, one or more symptoms of multiple myeloma in an individual having multiple myeloma, comprising administering a plurality of OPACs to the individual.
US08728791B2 Microorganism having the improved gene for hydrogen generation capability, and process for producing hydrogen using the same
The present invention provides a microorganism which possesses the formate dehydrogenase gene and hydrogenase gene and contains an exogenous transcription activator gene for formate hydrogen lyase system, characterized in that said microorganism shows the transcription activator for formate hydrogen lyase system highly expressed therein and shows an improved function to generate hydrogen from formic acid, and a process for producing hydrogen using the microorganism.Utilization of the microorganism of the present invention enables the hydrogen production from an organic substrate to be accomplished on a practical, commercial scale. The hydrogen to be produced by the present invention, which is free of carbon monoxide being causative to poisoning of the electrode catalyst for fuel cells, is useful as a fuel for fuel cells.
US08728787B2 Fungal laccases and uses thereof
Novel laccases from Cerrena sp. WR1 and Lentinus sp. and uses thereof.
US08728783B2 Photobioreactor
Provided herein is a transgenic bacteria engineered to accumulate carbohydrates, for example disaccharides. Also provided is a photobioreactor for cultivating photosynthetic microorganisms comprising a non-gelatinous, solid cultivation support suitable for providing nutrients and moisture to photosynthetic microorganisms and a physical barrier covering at least a portion of the surface of the cultivation support. Devices for the large scale and continuous cultivation of photosynthetic microorganisms incorporating photobioreactors and methods of use are disclosed. Also disclosed are methods of producing fermentable sugar from photosynthetic microorganisms using a photobioreactor of the invention.
US08728779B2 Processing biomass
Biomass (e.g., plant biomass, animal biomass, microbial, and municipal waste biomass) is processed to produce useful products, such as food products and amino acids.
US08728778B2 Microbial strains and processes for the manufacture of biomaterials
DNA constructs and genetically engineered microbial strains constructed using these DNA constructs, which produce a nuclease enzyme with specificity for DNA and/or RNA, are provided. These strains secrete nuclease into the periplasm or growth medium in an amount effective to enhance productivity and/or recovery of polymer, and are particularly suited for use in high cell density fermentation processes. These constructs are useful for modifying microbial strains to improve production and recovery processes for polymers such as intracellular proteins, such as enzymes, growth factors, and cytokines; for producing polyhydroxyalkanoates; and for producing extracellular polysaccharides, such as xanthan gum, alginates, gellan gum, zooglan, hyaluronic acid and microbial cellulose.
US08728776B2 Bacterial strains and variants thereof that can degrade polylactic acid, and uses of same
The invention relates to strains of bacteria of the genus Ochrobactrum, that can degrade polylactic acid. The invention also relates to an enzyme that can degrade polylactic acid, characterized in that it is produced by said bacteria strains according to the invention. The invention also relates to the applications of said bacteria strains and said enzyme that can degrade polylactic acid.
US08728773B2 Fermentative production of organic compounds using substances containing dextrin
Process for the production of at least one organic compound having at least 3 C atoms or having at least 2 C atoms and at least 1 N atom by fermentation. In particular, the process comprises milling a starch feedstock, thus obtaining a millbase which comprises at least part of the nonstarchy solid constituents of the starch feedstock; suspending the millbase in an aqueous liquid and liquefying the millbase present in the aqueous liquid in the presence of at least one starch-liquefying enzyme, obtaining an aqueous dextrin-containing medium (1) which comprises at least a part of the nonstarchy solid constituents of the starch feedstock; and using the aqueous dextrin-containing medium (1) in a fermentation for culturing a microorganism which is capable of overproducing the organic compound; wherein enzymes which hydrolyze the dextrins to monosaccharides are being added in an amount of less than 0.001% by weight based on the total weight of the starch feedstock employed, or not at all.
US08728769B2 Xylanases, nucleic acids encoding them and methods for making and using them
The invention relates to xylanases and to polynucleotides encoding the xylanases. In addition, methods of designing new xylanases and methods of use thereof are also provided. The xylanases have increased activity and stability at increased pH and temperature.
US08728768B2 Polypeptides having isoamylase activity and methods of use
The present invention relates to isolated polypeptides having isoamylase activity derived from Dyella japonica and isolated polynucleotides encoding the polypeptides. The invention also relates to nucleic acid constructs, vectors, and host cells comprising the polynucleotides as well as methods of producing and using the polypeptides. The invention also relates to the use of said polypeptide having isoamylase activity for producing glucose syrup, fructose syrup, maltose syrup or maltitol.
US08728766B2 Method of adding a DBR by primer extension
Aspects of the present invention include methods and compositions for determining the number of individual polynucleotide molecules originating from the same genomic region of the same original sample that have been sequenced in a particular sequence analysis configuration or process. In these aspects of the invention, a degenerate base region (DBR) is attached to the starting polynucleotide molecules that are subsequently sequenced (e.g., after certain process steps are performed, e.g., amplification and/or enrichment). The number of different DBR sequences present in a sequencing run can be used to determine/estimate the number of different starting polynucleotides that have been sequenced. DBRs can be used to enhance numerous different nucleic acid sequence analysis applications, including allowing higher confidence allele call determinations in genotyping applications.
US08728762B2 Fermentative production of organic compounds
The invention relates to a method for producing at least one organic compound comprising at least 3 C-atoms or at least 2 C-atoms and at least 1 N-atom by fermentation. Said method comprises the following steps: i) a starch source is ground in order to obtain a grinding material which contains at least one part of the non-starch containing solid components of the starch source; ii) the grinding material is suspended in an aqueous liquid in an amount such that the dry mass content in the suspension is at least 45 wt. %, iii) the starch component is hydrolysed in the grinding material by liquefying and optionally, subsequently, sweetening, in order to obtain an aqueous medium M, which contains the hydrolysed starch components and at least one part of the non-starch containing solid components of the starch source, is obtained; and iv) the aqueous substance M obtained in step iii) is used for fermentation in order to cultivate a micro-organism which is capable of over-producing the organic compound; the suspension obtained in step ii) is heated in step iii) by introducing vapor into the suspension, in order to obtain temperatures greater than the pasting temperature of the starch obtained in the grinding materials.
US08728760B2 Microcin H47 plasmid selection system
The present invention relates generally to stabilized expression plasmid systems. The stabilized expression plasmid systems comprise an expression vector that includes a plasmid maintenance system (PMS) and, optionally, one or both of a polynucleotide encoding a selected antigen under control of a promoter, and a polynucleotide encoding a selectable marker under control of a promoter. The use of the mchI protein as a selectable marker is found in preferred embodiments of the invention.
US08728758B2 Methods of monitoring and analyzing metabolic activity profiles diagnostic and therapeutic uses of same
A method of measuring a metabolic activity (MA) of a cell is provided. The method comprising independently measuring in an extracellular environment of the cell, time-dependent acidification profiles due to secretion of: (i) non-volatile soluble metabolic products; (ii) non-volatile soluble metabolic products and volatile soluble metabolic products; and (iii) volatile soluble metabolic products; wherein at least one of the time dependent acidification profiles is indicative of the metabolic activity of the cell. Also provided are clinical methods which make use of the assay.
US08728756B2 Promoting axon regeneration in the adult CNS through control of protein translation
Survival of, or axon regeneration in a lesioned mature central nervous system (CNS) neuron is promoted by (a) contacting the neuron with a therapeutically effective amount of an exogenous activator of protein translation; and (b) detecting the resultant promotion of the survival of, or axon regeneration in the neuron.
US08728754B1 Use of proteins isolated from Pseudomonas to control molluscs
Provided herein are proteins derived from Pseudomonas sp., particularly Pseudomonas protegens, compositions comprising said proteins and their use in controlling molluscidal activity.
US08728749B2 Detection of LCAT activity
The present disclosure relates to methods for detecting and measuring the activity of lecithin:cholesterol acyltransferase (LCAT) in solution (e.g. serum, plasma, cell culture media, aqueous solution) with fluorescent sterol substrates. The present disclosure also relates to a method for evaluating efficacy of a therapeutic agent for stimulating LCAT and for determining endogenous LCAT activity in a patient. Also disclosed are kits that are used to carry out the aforementioned methods and methods.
US08728747B2 Alteration of cell membrane for new functions
Methods and compositions are provided for the persistent modification of cell membranes with exogenous proteins so as to alter the function of the cell to achieve effects similar to those of gene therapy, without the introduction of exogenous DNA. DNA sequences, the proteins and polypeptides embodying these sequences are disclosed for modulating the immune system. The modulations include down-regulation, up-regulation and apoptosis.
US08728739B2 RBM3 in colorectal cancer prognostics
The present invention provides means, such as a method, for determining whether a mammalian subject having a colorectal cancer belongs to a first or a second group, wherein the prognosis of subjects of the first group is better than the prognosis of subjects of the second group. The method comprises the steps of: evaluating an amount of RBM3 protein or RBM3 mRNA molecule in at least part of a sample earlier obtained from the subject and determining a sample value corresponding to the evaluated amount; comparing said sample value with a predetermined reference value; andif said sample value is higher than said reference value, concluding that the subject belongs to the first group; and if said sample value is lower than or equal to said reference value, concluding that the subject belongs to the second group.
US08728737B2 Attaching a stem-loop oligonucleotide to a double stranded DNA molecule
The present invention concerns preparation of DNA molecules, such as a library, using a stem-loop oligonucleotide. In particular embodiments, the invention employs a single reaction mixture and conditions. In particular, at least part of the inverted palindrome is removed during the preparation of the molecules to facilitate amplification of the molecules. Thus, in specific embodiments, the DNA molecules are suitable for amplification and are not hindered by the presence of the palindrome.
US08728735B2 Method for the simultaneous determination of blood group and platelet antigen genotypes
RBC and platelet (Plt) alloimmunization requires antigen-matched blood to avoid adverse transfusion reactions. Some blood collection facilities use unregulated Abs to reduce the cost of mass screening, and later confirm the phenotype with government approved reagents. Alternatively, RBC and Plt antigens can be screened by virtue of their associated single nucleotide polymorphisms (SNPs). We developed a multiplex PCR-oligonucleotide extension assay using the GenomeLab SNPStream platform to genotype blood for a plurality of blood group antigen-associated SNPs, including but not limited to: RhD (2), RhC/c, RhE/e, S/s, K/k, Kpa/b, Fya/b, FY0, Jka/b, Dia/b, and HPA-1a/b.
US08728733B1 Methyl-CpG island-associated genome signature tags
Disclosed is a method for analyzing the organismic complexity of a sample through analysis of the nucleic acid in the sample. In the disclosed method, through a series of steps, including digestion with a type II restriction enzyme, ligation of capture adapters and linkers and digestion with a type IIS restriction enzyme, genome signature tags are produced. The sequences of a statistically significant number of the signature tags are determined and the sequences are used to identify and quantify the organisms in the sample. Various embodiments of the invention described herein include methods for using single point genome signature tags to analyze the related families present in a sample, methods for analyzing sequences associated with hyper- and hypo-methylated CpG islands, methods for visualizing organismic complexity change in a sampling location over time and methods for generating the genome signature tag profile of a sample of fragmented DNA.
US08728731B2 Mutations associated with cystic fibrosis
The present invention provides novel mutations identified in the cystic fibrosis transmembrane conductance regulator (CFTR) gene that can be used for a more accurate diagnosis of cystic fibrosis (CF) and CF related disorders. Methods for testing a sample obtained from a subject to determine the presence of one or more mutations in the CFTR gene are provided wherein the presence of one or more mutations indicates that the subject has CF or a CF related disorder, or is a carrier of a CFTR mutation.
US08728728B2 Methods and compositions for multiplex PCR
The present invention provides methods, compositions, kits, systems and apparatus that are useful for multiplex PCR of one or more nucleic acids present in a sample. In particular, various target-specific primers are provided that allow for the selective amplification of one or more target sequences. In one aspect, the invention relates to target-specific primers useful for the selective amplification of one or more target sequences associated with cancer or inherited disease. In some aspects, amplified target sequences obtained using the disclosed methods, kits, systems and apparatuses can be used in various downstream processes including nucleic acid sequencing and used to detect the presence of genetic variants.
US08728727B2 Diagnosis of hereditary spastic paraplegias (HSP) by detection of a mutation in the KIAA1840 gene or protein
The invention relates to an ex vivo method of diagnosing or predicting an hereditary spastic paraplegias (HSP), in a subject, which method comprises detecting a mutation in the KIAA1840 gene or protein (spatacsin), wherein said mutation is indicative of an hereditary spastic paraplegias (HSP).
US08728723B2 Method for simultaneously detecting an antigen of, and an antibody against, an infectious microorganism
The invention relates to a method for detecting, in vitro, an infection with a microorganism, such as the hepatitis C virus, in a biological sample, by simultaneously detecting an antigen of this microorganism and the antibodies against this same antigen, and also to the reagents and kits implementing this method.
US08728720B2 Arbitrary pattern direct nanostructure fabrication methods and system
Methods of producing a nanostructure in a target film are provided. The method includes selectively irradiating at least one focusing element of a near-field focusing array that is in near-field focusing relationship with a target film in a manner sufficient to produce a nanostructure from the target film. Also provided are systems for practicing methods of the invention, as well as objects produced thereby.
US08728716B2 Resin pattern, method for producing the pattern, method for producing MEMS structure, method for manufacturing semiconductor device, and method for producing plated pattern
There is provided that a method for producing a resin pattern, and the method includes at least the steps (1) to (7) in this order; (1) a coating step of coating a photosensitive resin composition on a substrate; (2) a solvent removal step of removing the solvent from the applied photosensitive resin composition; (3) an exposure step of patternwise exposing the photosensitive resin composition from which the solvent has been removed, to an active radiation; (4) a development step of developing the exposed photosensitive resin composition using an aqueous developer liquid; (5) an overcoating step of providing an overcoat layer on the developed photosensitive resin composition; (6) a heat-treating step of heat-treating the photosensitive resin composition on which the overcoat layer has been provided; and (7) a removal step of removing the overcoat layer.
US08728714B2 Methods for adhering materials, for enhancing adhesion between materials, and for patterning materials, and related semiconductor device structures
Methods for adhering materials and methods for enhancing adhesion between materials are disclosed. In some embodiments, a polymer brush material is bonded to a base material, and a developable polymer resist material is applied over the grafted polymer brush material. The resist material is at least partially miscible in the grafted polymer brush material. As such, the resist material at least partially dissolves within the grafted polymer brush material to form an intertwined material of grafted polymer brush macromolecules and resist polymer macromolecules. Adhesion between the developable polymer resist and the base material may be thereby enhanced. Also disclosed are related semiconductor device structures.
US08728711B2 Cleaning reticle, method for cleaning reticle stage, and method for manufacturing semiconductor device
In one embodiment, a method for cleaning a reticle stage of an extreme ultraviolet exposure apparatus is disclosed. The method can include pressing a particle catching layer of a cleaning reticle onto the reticle stage, and the cleaning reticle includes the particle catching layer formed on a substrate. The method can include peeling the cleaning reticle from the reticle stage. The method can include removing the particle catching layer from the substrate. I addition, the method can include forming a new particle catching layer on the substrate having the particle catching layer removed.
US08728710B2 Photo-imageable hardmask with dual tones for microphotolithography
Disclosed is a method of making polysiloxane and polysilsesquioxane based hardmask respond to radiations with positive tone and negative tone simultaneously. Unradiated films are insoluble in developers, showing positivity tone. Radiated films are insoluble in developers as well, showing negative tone. Only half-way radiated films are soluble in developers. The dual-tone photo-imageable hardmask produces splitted patterns. Compositions of dual-tone photo-imageable hardmask based on the chemistry of polysiloxane and polysilsesquioxanes are disclosed as well. Further disclosed are processes of using photo-imageable hardmasks to create precursor structures on semiconductor substrates with or without an intermediate layer.
US08728708B2 Photosensitive resin composition, oxime sulfonate compound, method for forming cured film, cured film, organic EL display device, and liquid crystal display device
Disclosed is a photosensitive resin composition comprising: (Component A) an oxime sulfonate compound represented by Formula (1); (Component B) a resin comprising a constituent unit having an acid-decomposable group that is decomposed by an acid to form a carboxyl group or a phenolic hydroxy group; and (Component C) a solvent wherein in Formula (1) R1 denotes an alkyl group, an aryl group, or a heteroaryl group, each R2 independently denotes a hydrogen atom, an alkyl group, an aryl group, or a halogen atom, Ar1 denotes an o-arylene group or an o-heteroarylene group, X denotes O or S, and n denotes 1 or 2, provided that of two or more R2s present in the compound, at least one denotes an alkyl group, an aryl group, or a halogen atom.
US08728706B2 Radiation-sensitive resin composition, method for forming resist pattern, polymer and polymerizable compound
A radiation-sensitive resin composition includes a first polymer including an acid-labile group, an acid generator to generate an acid upon exposure to radiation, and a second polymer including a fluorine atom and a functional group shown by a general formula (x). The second polymer has a fluorine atom content higher than a fluorine atom content of the first polymer. R1 represents an alkali-labile group. A represents an oxygen atom, —NR′—, —CO—O—# or —SO2—O—##, wherein the oxygen atom represented by A is not an oxygen atom bonded directly to an aromatic ring, a carbonyl group, or a sulfoxyl group, R′ represents a hydrogen atom or an alkali-labile group, and “#” and “##” indicates a bonding hand bonded to R1. -A-R1  (x)
US08728704B2 Donor substrates, laser induced thermal imaging methods using donor substrates and methods of manufacturing organic light emitting display devices using donor substrates
A donor substrate includes a base substrate, a light to heat conversion layer, a buffer layer and a transfer layer. The light to heat conversion layer may be disposed on the base substrate. The buffer layer may be disposed on the light to heat conversion layer. The buffer layer may include at least one porous layer having a plurality of pores. The transfer layer may be disposed on the buffer layer.
US08728703B2 Electrophotographic toner, method for producing toner, developer and image forming apparatus containing toner
An electrophotographic toner obtained from a dispersion or emulsion liquid of an oil phase containing a toner material in an aqueous medium, the toner including: a binder resin, wherein the toner material includes: (A) an epoxy resin prepolymer; (B) an adduct of a dihydric phenol with a polyalkylene oxide; (C) a phenolic compound, or an alcohol compound, or both thereof; and (D) a carboxylic acid compound, and wherein the binder resin includes a resin obtained by allowing the (A), the (B), the (C) and the (D) to react with each other.
US08728698B2 Carrier, developer, image forming method and process cartridge
The present invention is to provide a carrier and a developer, which have fewer occurrences of carrier adhesion and background smear, excellent granularity and longer durability. The carrier comprises the core material particles having magnetism and resin coating layer covering the core material particles, and wherein the weight average particle diameters is in the range of 22 m to 32 m, the proportion of the weight average particle diameters relative to the number average particle diameter is in the range of 1.00 to 1.20, the content of particles having a diameter of 20 m or smaller is 7% by mass, the content of carrier particles having a diameter of 36 m or smaller is in the range of 90% by mass to 100% by mass, and the proportion of the particle density of the core material particles is in the range of 85% to 100% of the true density of the core material particles.
US08728697B2 Carrier for electrostatic image developer, electrostatic image developer, toner cartridge, process cartridge, and image forming apparatus
A carrier for electrostatic image development includes: a carrier body including a core and a resin coating layer on the core; and first spherical silica particles having a volume-average particle diameter of from 50 nm to 300 nm that adhere to the surface of the carrier body at a ratio of from 0.001 parts by weight to 0.100 parts by weight relative to 100 parts by weight of the carrier body.
US08728696B2 Toner, image forming method, and process cartridge
A toner including a binder resin, a colorant, and a release agent is provided. The toner has a peak loss tangent (tan δ) value of 3 or more within a temperature range of 80 to 160° C. Here, the loss tangent (tan δ) is a ratio (G″/G′) of a loss elastic modulus (G″) to a storage elastic modulus (G′). The toner provides a good combination of low-temperature fixability, hot offset resistance, storage stability, coloring power and/or opacifying power, and gloss.
US08728695B2 Electrostatic charge image developing toner, electrostatic charge image developer, toner cartridge, developer cartridge, process cartridge, image forming apparatus, and image forming method
An electrostatic charge image developing toner includes toner particles containing a colorant, a binder resin, and a release agent; and an external additive, wherein the external additive contains inorganic particles which include a compound represented by Formula (1) below on the surfaces thereof: wherein in Formula (1), R1 and R8 each independently represents an alkyl group, R2 to R7 each independently represents an alkyl group or a substituted or unsubstituted phenyl group, and at least three groups of R2 to R7 each independently represents a substituted or unsubstituted phenyl group.
US08728692B2 Surface decorated particles
A surface decorated particle including a core particle phase having an outer surface; and fine inorganic particles on the outer surface of the core particle phase; wherein the fine inorganic particles have hydrophobic groups covalently bonded primarily on portions of surfaces of the fine inorganic particles positioned away from the core particle phase. Such surface decorated particles are obtainable by a method including stabilizing dispersed hydrophobic phase particles in an aqueous phase with fine inorganic particles having a relatively hydrophilic surface; and treating the stabilized dispersed hydrophobic phase particles with a hydrophobic group containing reactant to form hydrophobic groups covalently bonded to surfaces of the fine inorganic particles. In a particular embodiment, such surface decorated particles are obtainable by a limited coalescence process for preparing binder polymer particles using inorganic stabilizer particles that provide a plurality of surface bonding sites and reacting such sites with a plurality of alkoxy silane moieties that contains pendant hydrophobic groups.
US08728691B2 Image forming apparatus
An image forming apparatus includes a developer tank which houses two-component developer containing toner and carrier, and a supply unit which supplies the developer tank with supply developer containing toner and carrier, wherein, when the ratio of mass of inorganic fine particles attached to the carrier contained in the two-component developer to mass of the carrier contained in the two-component developer is A, and when the ratio of mass of inorganic fine particles attached to the carrier contained in the supply developer to mass of the carrier contained in the supply developer is B, the following Formula (1) is satisfied: 0.7
US08728690B2 Spirodilactam-doped charge transport layer for imaging device
A photoreceptor charge transport layer containing a spirodilactam and/or a lubricant has superior wear resistance.
US08728684B2 Reduced axial pressure in fuel cell stacks
Fuel cell stacks (20) include fuel cells (22) in which internal pressure on membranes (28), caused by adjacent cross points (19) or ribs (9, 17) of gas flow field plates (7, 33) is reduced by lowering the axial load holding the stack together, after an initial high axial load, that establishes minimal possible internal resistance, has been held for between a few hours and 20 hours. The need for robust axial load restraints is also reduced. Pressure of cross points (19) can also be spread by stiffening components or adding stiffeners.
US08728680B2 Method to enhance the durability of conductive carbon coating of PEM fuel cell bipolar plates
A fuel cell component includes an electrode support material made with nanofiber materials of Titania and ionomer. A bipolar plate stainless steel substrate and a carbon-containing layer doped with a metal selected from the group consisting of platinum, iridium, ruthenium, gold, palladium, and combinations thereof.
US08728679B2 Laminated exfoliated graphite composite-metal compositions for fuel cell flow field plate or bipolar plate applications
An electrically conductive laminate composition for fuel cell flow field plate or bipolar plate applications. The laminate composition comprises at least a thin metal sheet having two opposed exterior surfaces and a first exfoliated graphite composite sheet bonded to the first of the two exterior surfaces of the metal sheet wherein the exfoliated graphite composite sheet comprises: (a) expanded or exfoliated graphite and (b) a binder or matrix material to bond the expanded graphite for forming a cohered sheet, wherein the binder or matrix material is between 3% and 60% by weight based on the total weight of the first exfoliated graphite composite sheet. Preferably, the first exfoliated graphite composite sheet further comprises particles of non-expandable graphite or carbon in the amount of between 3% and 60% by weight based on the total weight of the non-expandable particles and the expanded graphite. Further preferably, the laminate comprises a second exfoliated graphite composite sheet bonded to the second surface of the metal sheet to form a three-layer laminate. Surface flow channels and other desired geometric features can be built onto the exterior surfaces of the laminate to form a flow field plate or bipolar plate. The resulting laminate has an exceptionally high thickness-direction conductivity and excellent resistance to gas permeation.
US08728677B2 Fuel cell system and fuel cell degradation judgment method
Provided is a fuel cell system which can measure an air blow interval and consider an exceptional condition such as a high-potential-avoiding operation, thereby enabling an accurate judgment of degradation of an electrolyte. An actual air blow time interval is measured while estimating a theoretical air blow time interval when an increase of a hydrogen consumption amount corresponding to a cell voltage in the high-potential-avoiding operation according to an output current by using a relationship table which contains a record of a relationship between a hydrogen consumption amount consumed for maintaining the function of a fuel cell and the air supply time interval varying with the increase of the hydrogen consumption amount. The degradation of the electrolyte of the fuel cell is judged according to whether the measured actual air blow time interval is shorter than the theoretical air blow time interval corresponding to the hydrogen consumption amount.
US08728675B2 Fuel cell system
A fuel cell system is disclosed in which the oxidative degradation of an anode of a fuel cell during an operation stop period is restrained.The fuel cell system (39) of the invention comprises a fuel cell (1) configured to generate electric power by use of hydrogen contained in a fuel gas supplied to an anode (1a) and oxygen contained in an oxidizing gas supplied to a cathode (1c); and a combustor (4) configured to combust flammable gas, and is formed such that after stopping the power generation, the flammable gas is introduced into and kept in the cathode (1c) and when discharging the flammable gas from the cathode (1c), the flammable gas is combusted by the combustor (4).
US08728672B2 Fuel cell system
The moisture state of a fuel cell is determined without causing any variation in the supply state of the reactant gas to be supplied to the fuel cell. An output current control section temporarily performs a current sweep while maintaining the amount of oxidant gas to be supplied to the fuel cell. A resistance component calculation section calculates the resistance component in the fuel cell by using an output current value and an output voltage value of the fuel cell being that of when the current sweep is temporarily performed. A moisture content calculation section calculates the moisture content in the fuel cell by using the resistance component. A moisture content determination section determines whether or not the moisture content is equal to or lower than a dry state threshold value. A moisture content increasing processing section performs a moisture content increasing process when the moisture content is equal to or lower than the dry state threshold value.
US08728665B2 Negative electrode for lithium ion secondary battery and lithium ion secondary battery including the same
A negative electrode for a lithium ion secondary battery includes a negative electrode core member and a negative electrode mixture layer adhering to the negative electrode core member. The negative electrode mixture layer includes active material particles, a cellulose ether compound, and rubber particles. The cellulose ether compound has a degree of etherification of 0.25 or more and 0.7 or less and an average degree of polymerization of 20 or more and 1200 or less. The negative electrode mixture layer contains remaining particles including a water-insoluble portion of the cellulose ether compound and having a mean particle size of 1 μm or more and 75 μm or less. The bonding strength between the active material particles is 98 N/cm2 or more.
US08728662B2 Process for producing polyradical compound and battery cell
Disclosed is a polyradical compound which can be used as an electrode active material for at least one of a positive electrode and a negative electrode. The polyradical compound has a repeating unit represented by general formula (1) and is crosslinked using a bifunctional crosslinking agent having two polymerizing groups in the molecule represented by general formula (2), wherein R1 to R3 each independently represent hydrogen or methyl group; R4 to R7 each independently represent C1 to C3 alkyl group; X represents single bond, linear, branched or cyclic C1 to C15 alkylenedioxy group, alkylene group, phenylenedioxy group, phenylene group or structure represented by general formula (3); and R8 to R13 each independently represent hydrogen or methyl group, and k represents an integer of 2 to 5.
US08728661B2 Lithium anodes for electrochemical cells
Provided is an anode for use in electrochemical cells, wherein the anode active layer has a first layer comprising lithium metal and a multi-layer structure comprising single ion conducting layers and polymer layers in contact with the first layer comprising lithium metal or in contact with an intermediate protective layer, such as a temporary protective metal layer, on the surface of the lithium-containing first layer. Another aspect of the invention provides an anode active layer formed by the in-situ deposition of lithium vapor and a reactive gas. The anodes of the current invention are particularly useful in electrochemical cells comprising sulfur-containing cathode active materials, such as elemental sulfur.
US08728655B2 Secondary battery
A secondary battery including a case providing an inner space; at least one electrode assembly, the at least one electrode assembly including a stacked and wound positive electrode plate, negative electrode plate, and separator between the positive electrode plate and the negative electrode plate, and being disposed within the case and including a non-coating portion on an end thereof; a collector plate including alternately arranged crests and troughs, the non-coating portion of the electrode assembly being disposed on the crests and the crests being welded to the non-coating portion; a collector terminal coupled to one end of the collector plate, the collector terminal protruding upwardly from the case; and a cap plate on an upper portion of the case, the cap plate sealing the case.
US08728648B2 Battery pack of excellent structural stability
Disclosed is a battery pack including a battery module array having battery modules which are arranged in a lateral direction in two or more rows, each of the battery modules configured with battery cells or unit modules, each of which has two or more battery cells mounted therein, are stacked in a state in which the battery cells or the unit modules are erected vertically, a base plate on which the battery modules are stacked in a vertically erected state, a pair of main members provided at the front and rear of the battery module array, opposite ends of each of the main members being fastened to an external device, a pair of end plates disposed in contact with the front and rear of the battery module array such that the lower end of each of the end plates is fixed to the base plate, and supporting bars.
US08728646B2 Battery lock structure for electronic device
A battery lock structure of an electronic device includes a main body and a fastener. The main body includes a concave area for accommodating a battery, wherein the concave area includes a latch hole and a stopping member. The fastener is arranged on the battery and includes a main latch, an auxiliary latch and a resilient member. The main latch is slidably connected with the battery. The auxiliary latch is connected with the main latch, and slid simultaneously with the main latch relative to the battery. The resilient member is connected with the fastener at an end and connected with the battery at an opposite end, whereby providing a resilient force for the fastener to be secured within the concave area. When the battery is fully accommodated within the concave area, the main latch engages within the latch hole. When the main latch is off the latch hole, the stopping member stops the auxiliary latch, and the stopping member has a width sufficient to enable the main latch not to engage within the latch hole when the auxiliary latch is off the stopping member.
US08728642B2 Plug-in bussed electrical center for an energy storage system
An energy storage system comprising at least one energy storage module adapted to supply electrical energy to a hybrid vehicle. The energy storage module comprises an enclosure, at least one battery array located within the enclosure, and an energy storage controller module located within the enclosure and electrically connected to the battery array. A high voltage junction box is attached to a first end of the enclosure and having a plurality of high voltage connection terminals connected by a plug-in bussed electrical center configured to reduce the possibility of unintentional grounding or shorting, and decreases the safety risk to a technician during servicing. At least one of the high voltage connection terminals is configured to receive a high voltage conductor connected between the energy storage module and an inverter of the hybrid vehicle.
US08728633B2 Organic electroluminescent element, illuminator and display
An organic electroluminescent element containing an anode and a cathode having therebetween a light emitting layer, wherein the light emitting layer contains a guest compound having a substructure represented by the following Formula (A): wherein Ra represents alkyl, alkenyl, alkynyl, cycloalkyl, aromatic hydrocarbon, aromatic heterocyclic or heterocyclic, Rb and Rc represent hydrogen or a substituent, A1 represents a group of atoms which forms an aromatic hydrocarbon ring or an aromatic heterocycle, M represents Ir or Pt, and a host compound having the following Formula (1): wherein Ra1 represents alkyl, alkenyl, alkynyl, cycloalkyl or heterocyclic, R1, R2 and R5 each represent hydrogen or a substituent, and n1, n2 and n5 each represent 0 to 4.
US08728624B2 Fixing material comprising silane compound polymer and photonic device sealed body
A fixing material includes a silane compound polymer as the main component, the silane compound polymer being produced by condensing a silane compound mixture that includes at least one silane compound (1) shown by the following formula (1): R1Si(OR2)p(X1)3-p (wherein R1 represents a group including an ester structure or a cyanoalkyl group, R2 represents an alkyl group having 1 to 6 carbon atoms or the like, X1 represents a halogen atom, and p is an integer from 0 to 3), and at least one silane compound (2) shown by the following formula (2): Si(OR3)q(X2)4-q (wherein R3 represents an alkyl group having 1 to 6 carbon atoms, X2 represents a halogen atom, and q is an integer from 0 to 4). A sealed optical device includes an optical device that is sealed with a cured product of the fixing material. The fixing material produces a cured product that exhibits high hardness, excellent transparency and heat resistance, and rarely undergoes coloration even when subjected to high-energy light or heat for a long time.
US08728623B2 Hardcoats having low surface energy and low lint attraction
Articles such as optical displays and protective films, comprising a (e.g. light transmissive) substrate having a surface layer comprising the reaction product of a mixture comprising a hydrocarbon-based hardcoat composition and at least one perfluoropolyether urethane additive. The surface layer exhibits low lint attraction in combination with high contact angles. Hardcoat coating compositions comprising a perfluoropolyether urethane additive and a silicone (meth)acrylate additive are also described.
US08728621B2 Hard multilayer film formed body and method for manufacturing same
The present invention provides a hard multilayer film formed body which has an intermediate layer excellent in its adhesion to a base material and a DLC film which is a surface layer excellent in its wear resistance, prevents peeling from occurring between the DLC film and the intermediate layer, and is excellent in its wear resistance and a method for producing the same. A hard multilayer film formed body 1 consists of a multilayer film formed on a surface of a base material 2 consisting of a cemented carbide material or a ferrous material. The multilayer film has (1) a film, composed mainly of DLC, which is formed as a surface layer 5 of the multilayer film; (2) an intermediate layer 3, composed mainly of a metallic material, which is formed between the surface layer 5 and the base material 2; and (3) a stress relaxation layer 4, composed mainly of carbon, which is formed between the intermediate layer 3 and the surface layer 5. The stress relaxation layer 4 is a graded layer whose hardness increases continuously or stepwise from a side of the intermediate layer 3 toward a side of the surface layer 5.
US08728616B2 Polymer sheet and method for producing same
A polymer sheet according to at least one embodiment of the present invention is a polymer sheet whose main component is oriented nanocrystals of a polymer, and which satisfies the following conditions (I), (II), and (III): (I) having a crystallinity of not less than 70%; (II) having a tensile strength at break of not less than 100 MPa and a tensile modulus of not less than 3 GPa; and (III) having an average thickness of not less than 0.15 mm. According to at least one embodiment of the present invention, it is possible to provide a polymer sheet excelling in properties such as mechanical strength, heat tolerance, and transparency, particularly a polymer sheet having excellent properties such as mechanical strength, heat tolerance, and transparency in general-purpose plastics such as polypropylene.
US08728615B2 Transparent conductive film and method of fabricating the same, transparent conductive base material, and light-emitting device
A new transparent conductive laminated thin film is provided which not only has a high transmittance of light in the visible region and a low surface resistance (6-500Ω/□), but also combines high transmittances of light in the visible region of short wavelengths of 380-400 nm and the near-ultraviolet region of shorter wavelengths of 300-380 nm. The transparent conductive film has a lamination structure that the surfaces of the metallic thin film 11 are coated with the transparent oxide thin films 10 and 12. Each of the transparent oxide thin film 10 and 12 is an amorphous oxide thin film chiefly composed of gallium, indium, and oxygen or composed of gallium and oxygen, and the gallium content of each transparent oxide thin film ranges from 35 at. % to 100 at. % with respect to all metallic atoms.
US08728613B2 Identification documents including anti-counterfeiting features providing tamper evidence
An identification document which includes anti-counterfeiting features to provide tamper evidence in response to counterfeiting attempts includes an image receiving area having one or more fixed or variable items of information. In one embodiment, a pattern of material is embedded into the image receiving layer in the vicinity of the one or more fixed or variable items of information in a predetermined configuration. The embedded pattern is comprised of a solvent insoluble material such that attempted delamination of the document using solvents results in visually detectable tampering.
US08728611B2 Stent
A stent comprises a metallic, relatively radiolucent carrier structure and at least one marker element which includes comparatively radiopaque material. The radiopaque material is completely enclosed by a cover layer of a material other than the radiopaque material, the cover layer including metal or a metal compound. The stent may be used to treat a patient.
US08728607B2 Ceramic honeycomb structure and its production method
A ceramic honeycomb structure comprising a ceramic honeycomb body having cell walls defined by a large number of longitudinally extending cells, and an outer peripheral wall formed on an outer peripheral surface of the ceramic honeycomb body, the outer peripheral wall being formed by coating longitudinally extending grooves defined by cell walls on the outer peripheral surface of the ceramic honeycomb body with a coating material, and the outer peripheral wall having higher hardness in its outer peripheral portion than in its inside portion in a thickness direction.
US08728604B2 Vehicle interior part
A vehicle interior part may include a base member, a foamed resin material covering a surface of the base member, and a surface cover member covering a surface of the foamed resin material and a periphery of the base member. The base member has a plurality of through holes that penetrates the same in a thickness direction thereof. The foamed resin material has a squeezed out portion that is squeezed out via the through holes formed in the base member. The squeezed out portion is adhered to the surface cover member.
US08728602B2 Multi-component adhesive system
Adhesive systems may be fabricated to include first and second solid adhesion structures. The first adhesion structure may require an applied normal preload force to achieve adhesion to a surface. The second solid adhesion structure may be separate from but joined to the first adhesion structure such that both adhesion structures are jointly adherable to the surface upon application of the normal preload force.
US08728601B2 Preform and container comprising reinforcing elements
The present invention relates to a plastic preform (1) for a container comprising at least one elongate protrusion (10, 12, 13, 14, 15) and/or groove (20), which is obtained by injection molding. The present invention further relates to a container blow-molded from such a preform comprising at least one longitudinal protrusion (32, 33, 34) and/or groove and having a continuous inner and outer surface.
US08728599B2 Articles comprising a hydrate-inhibiting silicone coating
This disclosure details methods and techniques for inhibiting natural gas hydrate formation in gas conduits. In one embodiment, an article is provided which comprises (a) a gas conduit defining a gas flow channel; (b) an interior surface of the gas conduit; (c) a hydrate inhibiting coating on the interior surface, wherein the coating comprises: (i) component A, a one- or two-part room temperature vulcanizable polyorganosiloxane composition comprising a surface-treated filler, a condensation catalyst, and a crosslinking agent; and any reaction products thereof; and optionally (ii) component B, a hydrate release-enhancing proportion of at least one polyorganosiloxane comprising one or more silanol or alkoxy-silyl groups and comprising from about 10 weight percent to about 85 weight percent of at least one hydroxy-terminated or alkoxy-terminated polyoxyalkylenealkyl radical; and optionally (iii) any reaction products thereof.
US08728596B2 Flexible packaging materials and methods of making and using same
The presently disclosed and claimed inventive concept(s) relates generally to packaging materials for packaging articles. The packaging materials may include a substratum with a printed pattern disposed thereon that provides a grass-like appearance, and/or the packaging materials may include a plurality of individual strips, strands, or units of material bondably connected thereto.
US08728592B2 Container from fibre based board and a method for producing such a container
The present invention relates to a container made from fiber based board wherein the container comprises a sleeve and a bottom, said bottom comprises polymer coated board, at least one side of said sleeve is uncoated and the sleeve is formed by sealing two edges of the sleeve together along a side seam. The invention further relates to a method for producing said container.
US08728591B2 Polymer film, phase difference film, polarizing plate, liquid crystal display device, retardation inducing agent, and merocyanine-based compound
Disclosed is a polymer film excellent in performance of inducing Rth. The polymer film comprises at least one species of a compound represented by the formula (I) and a compound represented by the formula (I′):
US08728585B2 Method for enhancing adhesion of thin film
To provide a method for enhancing adhesion of a thin film, and a method for enhancing adhesion of a thin film provided with a surface function caused by the shape. Adhesion of a thin film can be enhanced by irradiating the surface of a parent material with a laser beam at a fluence in the vicinity of the machining threshold, scanning the irradiated part while overlapping to form a grating structure, and then forming a solid thin film in the grating structure. When the grating structure formed on the parent material is left on the solid thin film, adhesion of the thin film is enhanced and surface functions, e.g. reduction of friction and wear caused by the shape, suppression of adhesion of fine particles, and cell sensibility, can be exhibited in conjunction with the function of the solid thin film.
US08728581B2 Embossed carpet backing
Disclosed is a floor covering having an embossed polyurethane foam backing layer and methods of making and using same. A bottom surface of the backing layer can be thermo-embossed with a predetermined pattern, and the bottom surface of the backing layer can define at least one fluid pathway in communication with a side edge of the floor covering. The floor covering can also have a layer of skid-resistant material connected to the bottom surface of the backing layer.
US08728580B2 Method of manufacturing film with coating
The tip of a downstream lip is disposed so as to be further separated from a web as compared to the tip of an adjacent lip, and downstream and upstream spacers are placed so that the a liquid discharge port length interposed between two downstream spacers is shorter than that of a liquid discharge port interposed between two upstream spacers. The method includes coating coating-liquid to the surface of the web from a slot die, which is formed so that tips of the downstream spacers are placed at the same position as a tip of the adjacent lip or further protrude so as to be closer to the web than the tip of the adjacent lip; depressurizing the cover inside that is provided on the upstream side of the slot die during the coating; and drying the coating-liquid that is coated to the surface of the web.
US08728579B2 Transparent inorganic-organic hybrid materials via aqueous sol-gel processing
A sol to form an inorganic-organic hybrid coating having a thick highly transparent hard coating is described. The hybrid coating is formed from a combined aqueous sol with least one hydrolyzable silane and at least one hydrolyzable metal oxide precursor where the only organic solvents present are those liberated upon hydrolysis of the silanes and metal oxide precursors. In one embodiment an inorganic-organic hybrid coating is formed by combination of a sol, prepared by the hydrolysis of tetraethoxysilane and γ-glycidoxypropyltrimethoxysilane with an excess of water, and a sol, prepared by the hydrolysis of titanium tetrabutoxide and γ-glycidoxypropyltrimethoxysilane with a deficiency of water. A plastic substrate can be coated with the combined sol and the combined sol gelled to a thickness of at least 5 μm with heating to less than 150° C.
US08728578B2 Chemical synthesis for graphene sheets greater than 1 μm in length
A method for preparing a graphene sheet greater than 1 μm in length that includes: combining graphitic oxide with a solvent having a ratio of from about 50:50 to about 80:20 deionized water and ethanol to form a graphitic oxide solution; mixing a solution of NaBH4 and deionized water and the graphitic oxide solution to form a mixture having a concentration of from 10 mmolar to 20 mmolar NaBH4; depositing the mixture on a substrate to form a sheet; and heating the mixture at a temperature of from 25° C. to 85° C. for from 3 to 30 minutes.
US08728571B2 Fabrication method for functional surface
Provided is a fabrication method for a functional surface that has self-cleaning ability and superhydrophilic anti-reflective property, which includes a) arranging a plurality of beads having a sphere shape on a surface of a transparent substrate; b) forming a predetermined inter-bead gap by etching the plurality of beads; c) forming a surface unevenness on the surface of the substrate by etching the substrate using the plurality of the beads having the predetermined gap as an etching mask; d) removing the plurality of the beads from the surface of the substrate; and e) forming a photocatalytic layer or a compound layer having a surface tension of 18 to 28 N/m on the surface of the substrate formed with the surface unevenness.
US08728565B2 Method for inhibiting generation of copper sulfide
The present invention provides a method for inhibiting production of copper sulfide in an electrical insulating oil inside an oil-filled electrical apparatus, including adding a benzotriazole compound not having a long-chain alkyl group when the oil-filled electrical apparatus is an open-type oil-filled electrical apparatus, or adding a benzotriazole compound having a long-chain alkyl group when the oil-filled electrical apparatus is a closed-type oil-filled electrical apparatus.
US08728562B2 Implantable leads with a unitary silicone component
A method of manufacturing a medical electrical lead includes molding a lead body pre-form, stringing an electrode onto the pre-form and overmolding the pre-form with a polymer to form a lead body portion. The pre-form has a proximal end, a distal end and at least one lumen extending between the proximal and distal ends. At least one asymmetric region of the pre-form has a transverse cross-section that has a non-circular outer dimension. The overmolding causes the asymmetric region to become substantially circular.
US08728561B2 Edible film compositions for processing of meat products
Novel film compositions are described for use in the processing of meat products, particularly as sausage casings and wrappers for hams and other cured meat products. By combining gelatin and other hydrocolloid film forming polymer materials, edible film compositions are achieved by solution casting which exhibit superior and desirable properties for adhering to the meat product and presenting a shining, appealing surface.
US08728557B2 Fruit-juice-containing black tea beverage packed in a container and method for producing same
Disclosed is a new fruit-juice-containing black tea beverage that, without performing enzyme treatment such as tannase treatment, and moreover even if a large quantity of fruit juice is added, can suppress time degradation. The fruit-juice-containing black tea beverage packed in a container containing 10-90 mass % of fruit juice is characterized by containing 10-24 ppm of theogallin, and by the ratio (theogallin/K) of contained theogallin to contained potassium being 0.015-0.100.
US08728555B1 Apparatus and method for searing, branding, and cooking
An apparatus and method for continuously searing and/or branding the surface of and continuously cooking a food product wherein the cooking oven is different from the searing and/or branding apparatus. At least a portion of the vapor product produced in the searing and/or branding apparatus is preferably delivered into the oven such that the food product is cooked in an environment comprising the vapor product from the searing and/or branding apparatus. The vapor extraction rate from the searing and/or branding apparatus is also preferably controlled to maintain a desired vapor product temperature in or flowing from the searing and/or branding apparatus.
US08728553B2 Vitamin containing product
A method for maintaining, optimizing, strengthening or promoting cardiovascular health of an individual, wherein an amount of menaquinone has been added to a food product such that the level of menaquinone is 5 to 5000 μg per 100 g of food product.
US08728552B2 Process for the preparation of a hydrolysate
A process of preparing a hydrolysate of an edible solid substrate is provided. The edible solid substrate may be of animal or plant origin including edible animal meat, fish meat, shellfish meat or an edible plant or a combination thereof. The process includes preparing a fermented koji from a protein containing material and a carbohydrate, mixing the fermented koji with a selected edible solid substrate to form a mixture and hydrolysing the mixture in an environment with less than 2% salt content, preferably in a substantially salt-free condition. In another embodiment, the fermented koji may be prepared from an edible solid substrate itself. The hydrolysate may be further subjected to a moromi fermentation stage to obtain a food composition. Alternatively, a culture of a lactic acid bacteria may be inoculated to the mixture prior to the hydrolysis, which therefore eliminates the moromi fermentation and accelerated the process.
US08728551B2 Method for producing fish-slice-like paste product
A method for producing a fish product according to the present invention includes: a step of combining and mixing at least 0.01 percent by weight up to but not including 0.05 percent by weight of a protein cross-linking enzyme with ground fish meat to prepare a first ground-fish-meat material paste; a step of combining and mixing at least 0.05 percent by weight up to but not including 1.0 percent by weight of the protein cross-linking enzyme with ground fish meat to prepare a second ground-fish-meat material paste; a step of laminating a first paste consisting of the first ground-fish-meat material paste, and a second paste consisting of the second ground-fish-meat material paste, to make a laminated material; and a step of heating the laminated material.
US08728549B2 Compositions and methods for treating skin conditions
Provided are skin treatment compositions comprising an Oenothera biennis oil in an amount of about 1% v/v to about 30% v/v, a vitamin B12 in an amount of about 0.07% m/v to about 1.0% m/v, and a vitamin E in an amount of about 4% m/v to about 12% m/v. Also provided are methods for treating eczema in a mammal through the application of the skin treatment compositions to an area on the mammal's skin that contains one or more signs of eczema.
US08728543B2 Methods of treating idiopathic thrombocytopenic purpura with compositions comprising extracts of Astragalus membranaceus
The teachings provided herein generally relate to the preparation and uses of compositions comprising extracts of Astragalus membranaceus. The extracts are prepared from Astragalus membranaceus and can comprise, for example, an acid-modified arabinogalactan protein composition having an arabinose:galactose ratio ranging from about 3.5:1 to about 5.0:1, from about 5% to about 10% rhamnose, from about 15% to about 20% galactose, and from about 10% to about 15% glucose. The compositions can be used in the treatment of idiopathic thrombocytopenic purpura and the formulation of medicaments for such treatments.
US08728540B2 Stable aqueous solutions of silane quat ammonium compounds
Textiles coated with aqueous compositions of silane quaternary ammonium compounds and alkali metal bicarbonates are provided with deodorization properties and protection from allergens, irritants, molds, dust mites, bacteria, and fungi.
US08728535B2 Nutritional supplement for use under physiologically stressful conditions
In one embodiment of the present invention, a pharmaceutically-acceptable single-dosage formulation consists essentially of about 500 mg of vitamin C; about 400 IUs of vitamin D3; about 125 IUs of vitamin E; about 25 mg of vitamin B1; about 3.4 mg of vitamin B2; about 35 mg of niacin; about 35 mg of vitamin B6; about 1.25 mg of folic acid; about 70 mcg of vitamin B12; about 5 mg of pantothenic acid; about 75 mcg of biotin; about 35 mg of magnesium; about 35 mg of zinc; about 1 mg of copper; about 125 mcg of selenium; about 150 mcg of chromium; about 10 mg of alpha lipoic acid; about 35 mg of co-enzyme Q-10; about 400 mcg of lutein; about 125 mcg of lycopene; and at least one or more excipients.
US08728534B2 Method for stimulating a host immune system
A method of manipulating allogeneic cells for use in allogeneic cell therapy protocols is described. The method provides a composition of highly activated allogeneic T-cells which are infused into immunocompetent cancer patients to elicit a novel anti-tumor immune mechanism called the “Mirror Effect”. In contrast to current allogeneic cell therapy protocols where T-cells in the graft mediate the beneficial graft vs. tumor (GVT) and detrimental graft vs. host (GVH) effects, the allogeneic cells of the present invention stimulate host T-cells to mediate the “mirror” of these effects. The mirror of the GVT effect is the host vs. tumor (HVT) effect. The “mirror” of the GVH effect is the host vs. graft (HVG) effect. The effectiveness and widespread application of the anti-tumor GVT effect is limited by the severe toxicity of the GVH effect. In the present invention, the anti-tumor HVT effect occurs in conjunction with a non-toxic HVG rejection effect. The highly activated allogeneic cells of the invention can be used in methods to stimulate host immunity. The method includes a complete HLA mis-matched setting in patients that have not had a prior bone marrow transplant or received chemotherapy and/or radiation conditioning regimens.
US08728530B1 Anionic micelles with cationic polymeric counterions compositions thereof
The invention relates to a polymer-micelle complex. The polymer-micelle complexes include a negatively charged micelle that is electrostatically bound to a water-soluble polymer bearing a positive charge. The polymer does not comprise block copolymer, latex particles, polymer nanoparticles, cross-linked polymers, silicone copolymer, fluorosurfactant, or amphoteric copolymer. The compositions do not form a coacervate, and do not form a film when applied to a surface.
US08728526B2 Coacervate microparticles useful for the sustained release administration of therapeutic agents
The present invention relates to novel microparticles formed using a coacervation process, methods of forming the microparticles, and methods of using the microparticles for the sustained release administration of therapeutic agents.
US08728523B2 Transdiscal administration of specific inhibitors of pro-inflammatory cytokines
The present invention relates to injecting a high specificity cytokine antagonist into a diseased intervertebral disc.
US08728522B2 Pharmaceutical compositions for treating or preventing pain
Methods and compositions are provided which comprise effective amounts of one or more analgesics, such as hydrocodone or acetaminophen, and an antiemetic, such as promethazine, to treat a subject, including reducing or eliminating an adverse effect associated with the analgesics.
US08728521B2 Physically/molecularly distributed and/or chemically bound medicaments in empty, hard capsule shells
The present invention incorporates medicaments in the empty hard capsule shells (body and cap). The medicament is either physically/molecularly distributed and/or chemically bound to the polymer matrix of the capsule shell composition. Other medicaments in the form of drug-loaded matrices (powders, granules, beads, pellets, mini-tablets, and mini-capsules) can be filled in the drug-loaded empty, hard capsule shells. The same capsule dosage form contains medicaments in the core matrix and in the shell.
US08728513B2 Silver containing wound dressing
A wound dressing having anti-microbial activity comprises a first fiber capable of bonding with silver (1) cations. The wound dressing comprises a blend of the first fiber to which silver (1) cations are bonded and a second fiber which is substantially free from silver. The wound dressing comprises from 0.01 to 5.0 percent by weight of silver (1) cations, based on the weight of fiber.
US08728509B2 Implant depots to deliver growth factors to treat osteoporotic bone
The present invention relates to the design and composition of a depot implant for optimal delivery of growth factors to treat osteoporotic bone, in that such depot implant is constructed to be in a cylinder (rod) or sphere shape and have a natural or synthetic polymer scaffold with or without impregnated calcium phosphate particles. The density of the depot is higher than a typical BMP sponge carrier to facilitate it's implantation and slower release of the growth factor. The scaffold is such that it has adequate porosity and pore size to facilitate growth factor seeding and diffusion throughout the whole of the bone structure resulting in increased bone mineral density in the osteoporotic bone. In addition, the shape of the depot implant allows for delivery through a cannula or large bore needle.
US08728507B2 Non-systemic control of parasites
Use of agonists and antagonists of the nicotinergic acetylcholine receptors of insects for the non-systemic control of parasitic insects, such as fleas, lice and flies, on humans and on animals.
US08728505B2 Synergistically active mixtures of α,ω-amino alcohol enantiomers, preparation thereof and use thereof in insect- and mite-repellent formulations
What are described are insect- and mite-repellent compositions comprising at least 2 enantiomers selected from the group of 1-[(S)-sec-butyloxycarbonyl]-2-(S)-(2-hydroxyethyl)piperidine (referred to hereinafter as S,S), 1-[(R)-sec-butyloxycarbonyl]-2-(R)-(+)-(2-hydroxyethyl)piperidine (referred to hereinafter as R,R), 1-[(S)-sec-butoxycarbonyl]-2-(R)-(+)-(2-hydroxyethyl)piperidine (referred to hereinafter as S,R), 1-[(R)-sec-butyloxycarbonyl]-2-(S)-(+)-(2-hydroxyethyl)piperidine (referred to hereinafter as R,S), excluding the racemate mixture thereof, a process for preparation thereof and the use thereof in insect- and mite-repellent formulations.
US08728504B2 Process for solubilizing hydrophobic active ingredients in aqueous medium
A process for solubilizing hydrophobic active ingredients in aqueous medium, which comprises using, as an assistant, at least one hyperbranched polymer (A) which is obtainable by reacting at least one hyperbranched polymeric compound having at least one primary or secondary amino group per molecule (a), selected from (a1) hyperbranched polyamides and (a2) hyperbranched polyureas, with (b) at least one mono-, di- or oligosaccharide.
US08728502B2 Black effect pigment
This disclosure is directed to a black effect pigment and a method of forming said pigment. The pigment comprises a platy substrate coated with SnO2 and/or SnO2 hydrates and Fe3O4 with an optional coating of metal oxides such as SiO2, TiO2, ZrO2 and ZnO2. The deposition of the SnO2 and/or SnO2 hydrates onto the substrate improves the adhesion and prepares the substrate surface for deposition of the iron oxides onto the platy surface, especially mica surfaces. While the pigment may be used in such applications as coating, powder coating, printing ink, plastic, ceramic material, glass, cosmetic formulation, laser marking pigment, pigment composition or dry preparation, the pigment is especially suitable for cosmetic applications.
US08728497B2 Oil-in-water emulsion of mometasone and propylene glycol
Novel pharmaceutical compositions of mometasone or a pharmaceutically acceptable derivate thereof in the form of an oil-in-water emulsion, notably a cream. The composition has excellent stability and therapeutic effect. The compositions contain mometasone in micronised form, propylene glycol and water and the weight ratio between the propylene glycol and water contained in the oil-in-water emulsion is from 1:1 to about 1:3.
US08728494B2 Sprayable gel-type skin/mucosa-adhesive preparation and administration system using the preparation
The present invention provides a sprayable gel-type skin/mucosa-adhesive preparation comprising a gel formulation which contains an active pharmaceutical ingredient in a gel base material comprising a skin/mucosa-adhesive agent and an administration system comprising the preparation.
US08728493B2 Polymer based compositions and conjugates of non-steroidal anti-inflammatory drugs
Provided herein are water-soluble polymer conjugates and polymer-based compositions of non-steroidal anti-inflammatory drugs. Also provided are methods for synthesizing and administering such conjugates and compositions.
US08728492B2 Malaria vaccine compositions and constituents which elicit cell mediated immunity
Malaria vaccines based on polyepitope constructs that elicit cell-mediated immunity against a broad spectrum of malaria parasites and which cover the majority of HLA alleles are provided. Epitopes in the polyepitope constructs are from regions of the Plasmodium falciparum circumsporozoite protein (CSP) known to contain CD4 and CD8 T cell epitopes, and include both epitopes from highly variable and highly conserved regions of CSP.
US08728491B2 Transcutaneous delivery of therapeutic agents
The present invention provides materials and methods to facilitate the transcutaneous delivery of therapeutic agents. In some embodiments, agonists of tight junctions are used in compositions to facilitate the uptake of therapeutic agents from the skin. In a particular embodiment, the present invention provides immunogenic compositions comprising a tight junction agonist and an antigen. In a particular embodiment, the present invention provides vaccine compositions comprising a tight junction agonist and an antigen.
US08728483B2 Multivalent fibronectin based scaffold domain proteins
The present invention relates to multivalent polypeptides comprising at least two fibronectin scaffold domains connected via a polypeptide linker. The invention also relates to multivalent polypeptides for use in diagnostic, research and therapeutic applications. The invention further relates to cells comprising such proteins, polynucleotide encoding such proteins or fragments thereof, and to vectors comprising the polynucleotides encoding the innovative proteins.
US08728481B2 Agents that engage antigen-presenting cells through dendritic cell asialoglycoprotein receptor (DC-ASGPR)
The present invention includes compositions and methods for making and using anti DC-ASGPR antibodies that can, e.g., activate DCs and other cells.
US08728480B2 Methods for the treatment of tumors expressing TAT123 or naturally occurring variants thereof
The present invention is directed to compositions of matter useful for the diagnosis and treatment of tumor in mammals and to methods of using those compositions of matter for the same.
US08728478B2 Immunogenic Escherichia coli heat stable enterotoxin
The present invention relates to methods and compositions for the treatment and prevention of diarrhea and diarrheal related diseases and disorders in both animals and humans. In some embodiments, the invention relates to the treatment of said diarrhea and diarrheal related diseases and disorders with a vaccine. In still further embodiments, the invention relates to the treatment of constipation using the disclosed methods and compositions.
US08728477B2 Nucleotide and protein sequences of an antibody directed against an epitope common to human acidic and basic ferritins, monoclonal antibodies or antibody-like molecules comprising these sequences and uses thereof
The present invention is directed to monoclonal, chimeric or humanized, antibodies or antibody-like molecules that recognize an epitope common to human acidic and basic isoferritins. The anti-ferritin antibodies or antibody-like molecules can be used in pharmaceutical compositions for immunotherapy or radioimmunotherapy to target various cancer cells in a mammal. A method for delivering anti-ferritin antibodies or antibody-like molecules to cancerous lymph cells, pancreatic cells, lymphatic endothelium cells, and liver cells is also disclosed, as well as methods for treating pancreatic cancer, hepatocellular carcinomas, Kaposi's sarcoma and Hodgkin's lymphoma.
US08728475B2 Methods for treating inflammatory bowel disease
Methods of treating inflammatory disorders, such as rheumatoid arthritis, by modulating TWEAK and TNF-α are disclosed, as are other methods.
US08728472B2 Antibodies that bind selectively to P25 and uses therefor
The present invention relates to a monoclonal antibody or fragment thereof, which binds specifically to the cyclin-dependent kinase 5 (Cdk5) activating protein, p25 and not to p35, a hybridoma cell line producing the monoclonal antibody, and methods for the detection and/or isolation of p25, p25 fragments or homologs thereof from biological material. The invention further relates to the use of the monoclonal antibody or fragment thereof for detection and treatment of neuronal disorders and cancers.
US08728469B2 Method of treating rheumatoid arthritis using anti-IL-20 antibodies
A method for treating IL-20 induced inflammation. An antagonist to IL-20 is administered to treat inflammation and associated diseases. The antagonist can be an antibody that binds to IL-20 or its receptor or a soluble receptor that binds to IL-20. Examples of such diseases are adult respiratory disease, psoriasis, eczema, contact dermatitis, atopic dermatitis, septic shock, multiple organ failure, inflammatory lung injury, bacterial pneumonia, inflammatory bowel disease, rheumatoid arthritis, asthma, ulcerative colitis and Crohn's disease.
US08728467B2 Methods comprising serratia peptidase for inhibition of osteomyelitis
Physiologically acceptable anti-biofilm compositions comprising Serratia peptidase and optionally one or more of bromelain, papain and a fibrinolytic enzyme. Additional components can include antimicrobials, antibiotics, antifungals, herbals, chelating agents, lactoferrin and related compounds, minerals, surfactants, binders, and fillers useful for the inhibition and treatment of gastrointestinal biofilms in humans. Physiologically acceptable anti-biofilm compositions containing these enzymes are useful in the inhibition, reduction and/or treatment of biofilms such as in the ear, vagina, joints, bones, gut, surgical sites and other locations, and are useful for the inhibition, reduction and/or treatment of associated systemic symptoms caused by biofilm associated microorganisms.
US08728464B2 Method for stimulating osteogenesis
Nanostructures such as nanowires, nanosprings, nanorods, and nanoparticles, when maintained in contact with a source of bone cells, enhance the proliferation of the bone cells and integration bone into the nanostructures. The nanostructures may or may not be coated with a metal or metal oxide coating and preferably are textured. Such coated or non-coated nanostructures may be utilized on the surface of bone implants to enhance osseointegration of the implants.
US08728460B2 Biological soil nutrient system
A biological soil nutrient system that combines beneficial soil fungi and bacteria in a growth promoting nutrient medium, embedded in an inorganic porous ceramic particle for direct delivery during soil aerification to the rhizosphere of adventitious plants, including sports turf, landscape and agricultural applications.
US08728458B2 Combination anti-HIV vectors, targeting vectors, and methods of use
Recombinant lentiviral vectors containing at least: a lentiviral backbone comprising essential lentiviral sequences for integration into a target cell genome; a nucleic acid encoding a CCR5 RNAi; and an expression control element that regulates expression of the nucleic acid encoding the CCR5 RNAi element, are provided by this invention. In an alternative aspect, the vector also contains polynucleotides encoding TRIM5 alpha and HIV TAR decoy sequences along with gene expression regulation elements such as promoters operatively linked to the polynucleotides. The vectors are combined with packaging plasmid and envelope plasmids and optionally conjugated to cell-specific targeting antibodies. Diagnostic and therapeutic methods for using the compositions are further provided herein.
US08728453B2 Combinatorial polymeric compositions for drug delivery
The present invention is directed towards the synthesis of polymeric drug delivery compositions which would address some of the important and difficult to realize aspects of polymer based drug delivery systems by being, biocompatible, stable, capable of achieving desired drug loading, and safe from accidental release while being non-toxic, easy to fabricate and safe for the environment.
US08728449B2 Water-soluble film article having salt layer, and method of making the same
Water-soluble articles such as films, which can be made resistant to contact with small amounts of water, and methods of making the same are disclosed. The water-soluble article is formed from a water-soluble film-forming composition, such as polyvinyl alcohol, and includes a salt that is distributed more closely to at least one of the surfaces than throughout its thickness.
US08728448B2 Use of agglomerated hydroxyethylcellulose in pharmaceutical, personal care and household care applications
The present invention is related to the use of glyoxal-free compositions, more particularly, to the use of hydroxyethylcellulose agglomerated with low molecular weight hydroxyethylcellulose in consumer products, in particular, pharmaceutical, personal care (excluding oral care compositions), as well as household care applications.
US08728444B2 Formulation comprising nicotine and a cation exchange resin
A method of producing a nicotine delivery product includes preparing a first mixture including nicotine, a cation exchange resin and water by mixing the constituent components of the first mixture. The method optionally includes removing up to 85 wt. % of the water contained in the first mixture to form a second mixture. A mixture selected from the first and second mixtures is combined with further components to produce a nicotine delivery product.
US08728439B2 System and method for indirectly measuring calcium ion efflux
A system and method for indirectly measuring calcium ion efflux from a cell of a subject by using manganese ions as a surrogate marker for calcium is disclosed. Manganese ion efflux is measured with a MEMRI T1-mapping method while the calcium-sodium exchanger is inhibited.
US08728437B2 Sulfate process
A sulfate process for producing titania from a titaniferous material (as herein defined) including a step of leaching said titaniferous material with a leachant to form a process solution that includes an acidic solution of titanyl sulfate and iron sulfate, wherein said sulfate process further includes a filtration step comprising filtering said leachant to at least substantially remove titanyl sulfate particles from said leachant prior to supplying said leachant to said leach step.
US08728435B2 High specific surface/reducibility catalyst/catalyst support compositions comprising oxides of zirconium, cerium and lanthanum and of yttrium, gadolinium or samarium
Catalyst/catalyst support composition essentially consist of oxides of zirconium, cerium and lanthanum and of another rare earth selected from among yttrium, gadolinium and samarium, having a proportion of the lanthanum oxide and the oxide of the other rare earth of at least 15% and specific surfaces of at least 40 m2/g and at least 15 m2/g after calcination respectively for 4 hours at 1,000° C. and 10 hours at 1,150° C.; after calcination for 10 hours at 1,200° C. these have a surface of at least 7 m2/g and such surface is at least 5 m2/g for an embodiment in which after 10 hours of calcination at 1,150° C. the composition is in the form of a pure solid solution.
US08728433B2 Processing of monolayer materials via interfacial reactions
A method of forming and processing of graphene is disclosed based on exposure and selective intercalation of the partially graphene-covered metal substrate with atomic or molecular intercalation species such as oxygen (O2) and nitrogen oxide (NO2). The process of intercalation lifts the strong metal-carbon coupling and restores the characteristic Dirac behavior of isolated monolayer graphene. The interface of graphene with metals or metal-decorated substrates also provides for controlled chemical reactions based on novel functionality of the confined space between a metal surface and a graphene sheet.
US08728428B1 Recovering a caustic solution via calcium carbonate crystal aggregates
Techniques for converting a portion of a carbonate to hydroxide include receiving an alkaline carbonate solution that includes between 0.1M (moles per liter of solution) to 4.0M hydroxide and between 0.1M to 4.1M carbonate; reacting, in a slaking process, quicklime (CaO) and a low carbonate content fluid to yield a slurry of primarily slaked lime (Ca(OH)2); and reacting the Ca(OH)2 slurry and the alkaline carbonate solution to grow calcium carbonate (CaCO3) crystal aggregates of 0.0005 mm3 to 5 mm3 in volume in a fluidized-bed reactive crystallizer.
US08728425B2 Method and an apparatus for performing an energy efficient desulphurization and decarbonisation of a flue gas
A method for performing an energy efficient desulphurization and decarbonization of a flue gas comprising sulphur oxides and carbon dioxide includes (a) starting a reaction between an electropositive metal and the sulphur oxides and the carbon dioxide of said flue gas; (b) reducing the sulphur oxides and the carbon dioxide of said flue gas simultaneously in an exothermic reaction with an electropositive metal and thereby generating reduced gaseous carbon products and solid reaction products while cooling; (c) extracting the solid reaction products of the reducing step (a) in a solvent to generate a first suspension comprising suspended carbon containing reaction products and sulphur containing reaction products; (d) oxidizing the first suspension obtained in step (b) to generate a second suspension comprising suspended carbon containing reaction products and oxidized sulphur containing reaction products; and (e) separating the oxidized sulphur containing reaction products from the suspended carbon containing reaction products.
US08728422B2 System intended to reduce the amount of NOx in the exhaust gases of a motor vehicle
System to reduce the amount of NOx in exhaust gases of a vehicle. The system includes a storage space 1 containing an agent, a SCR catalytic converter 5, an injection module 6c to inject the agent upstream of the converter, a heat exchanger 2 containing a porous matrix, a shutter or injector 11 to control the flow rate of the agent to the exchanger, a valve 12 between the storage space and exchanger, to transfer thermal energy to gases during the starting period. The shutter or injector controls the flow of agent into the exchanger during the starting period to raise its temperature, and is closed when gases have reached a certain temperature. The valve regulates exchanger pressure during a period at operating temperature and conveys the agent to storage space when the exchanger pressure is higher than storage space pressure.
US08728418B2 Method for producing metal hydroxide fine particle
An object of the present invention is to provide a method for producing a metal hydroxide fine particle, which can produce metal hydroxide fine particles with favorable crystallinity and small particle sizes. The present invention provides a method for producing a metal hydroxide fine particle by reacting a metal ion with a hydroxide ion in a solvent, which includes a mixing and reacting step of supplying the metal ion, the hydroxide ion, and a silane coupling agent to a reaction field to mix and react the ions.
US08728417B2 Steam reforming furnace using porous burners
The present invention describes a steam reforming furnace for the production of hydrogen, which employs a set of porous burners interposed between the tubes to be heated, improving compactness of the furnace.
US08728415B2 Microtitration plate
A microtitration plate for use for automated polymerase chain reaction (PCR) is provided. The microtitration plate includes a frame which has a plate with a multiplicity of holes molded of a polypropylene or a polycarbonate. The microtitration plate further includes a multiplicity of receptacles made of the same polypropylene or polycarbonate. The receptacles are fixedly connected to the plate at the holes by fusing the same polypropylene or polycarbonate thereby directly molding the receptacles to the plate Each receptacle has a receiving portion protruding from the underside of the plate, and is accessible from the upper surface of the plate through apertures.
US08728411B2 Device for and method of isolating a fraction in a biological sample
A device and a method are provided for isolating a fraction in a biological sample. The fraction is bound to solid phase substrate to define a fraction-bound solid phase substrate. The device includes an input zone for receiving the biological sample therein and a second zone for receiving an isolation fluid therein. A force is provided that is generally perpendicular to gravity. The force is movable between a first position adjacent the input zone and a second position adjacent the isolation zone. The force captures the fraction-bound solid phase substrate and the fraction-bound solid phase substrate moves from the input zone to the isolation zone in response to the force moving from the first position to the second position.
US08728407B2 Holding device
A holding device disposed in a bio-detecting instrument for holding a test strip is provided, wherein the holding device comprises a first casing, a second casing, an ejecting member, and an elastic member. The second casing is assembled with the first casing to form an accommodating space, wherein the sensing terminals are extended into the accommodating space. The ejecting member comprises a push rod configured to be reciprocated in the accommodating space. The elastic member is configured to be compressed by driving the ejecting member to an ejecting position, where the push rod enters the accommodating space and is adapted to push the test strip outward without being contact with the sensing terminals, and the elastic member is configured to be released by drawing the push rod back from the accommodating space to an initial position.
US08728405B2 Chemical dispenser assembly
A chemical dispensing assembly having a base member, a flow chamber, a chemical chamber and a dispensing control conduit, wherein water or other liquid flows through the base member, into the flow chamber, through the dispensing control conduit and out the base member, wherein a small amount of saturated solution retained within the chemical chamber is drawn into the water flow, the chemical chamber being connected below the flow chamber and the volume of the chemical chamber being greater than the volume of the flow chamber. Preferably, the flow chamber is connected to the base member with a bayonet-type connection mechanism, and keys and key slots are provided such that only dedicated flow chambers can be connected to the base member.
US08728404B1 Vessel for regeneration of a catalyst including monitoring and monitoring and control of combustion completion
A vessel for regenerating used catalyst comprising at least one combustion zone provided with at least two walls (5a, 5b) between which the catalyst circulates as a moving bed, and provided with at least one conduit (4) for introducing at least one oxygen-containing gas entering the moving bed via one of the walls and leaving via another wall, characterized in that it also comprises a zone (FC) for monitoring and controlling the end of combustion located after the end of the flame front of the last combustion zone (Z2), provided with a conduit (11) for introducing an oxygen-containing monitoring and control gas and at least one means for measuring the temperature and/or the oxygen content in the gas and/or the catalyst leaving the monitoring and control zone.A separator (29) is located between the monitoring and control zone and the last combustion zone for preventing the passage of gas while allowing for the passage of the catalyst.
US08728401B2 Filter bag, pleatable filtration material therefore, and process of making same
The pleated filter bag, which can be used in a bag-house type dust collector, is elongated and has a longitudinal hollow center with an open end, and a pleated filter wall circumscribing the hollow center. The pleated filter wall has a felt such as PTFE fibers felted onto an apertured and pleatable scrim which can be made of metal, and having a permeability lower than a permeability of the scrim. A membrane of lower-permeability material, such as an E-PTFE membrane, covers the support felt on the outer side of the bag.
US08728399B2 Bioanalytical instrumentation using a light source subsystem
The invention relates to a light source for irradiating molecules present in a detection volume with one or more selected wavelengths of light and directing the fluorescence, absorbance, transmittance, scattering onto one or more detectors. Molecular interactions with the light allow for the identification and quantitation of participating chemical moieties in reactions utilizing physical or chemical tags, most typically fluorescent and chromophore labels. The invention can also use the light source to separately and simultaneously irradiate a plurality of capillaries or other flow confining structures with one or more selected wavelengths of light and separately and simultaneously detect fluorescence produced within the capillaries or other flow confining structures. In various embodiments, the flow confining structures can allow separation or transportation of molecules and include capillary, micro bore and milli bore flow systems. The capillaries are used to separate molecules that are chemically tagged with appropriate fluorescent or chromophore groups.
US08728398B2 Hydrodynamic isolation method and apparatus
The present invention is a flow cell and method for use in microfluidic analyses that presents highly discrete and small volumes of fluid to isolated locations on a two-dimensional surface contained within an open fluidic chamber defined by the flow cell that has physical dimensions such that laminar style flow occurs for fluids flowing through the chamber. This process of location specific fluid addressing within the flow cell is facilitated by combining components of hydrodynamic focusing with site specific cell evacuation. The process does not require the use of physical barriers within the flow cell or mechanical valves to control the paths of fluid movement.
US08728397B2 Substance detection device utilizing a cyclone particle separator
A substance detection device, including a chemical substance analyzer, including an ion mobility spectrometer (IMS), a desorber, a conduit, and a membrane. The membrane extends across a cross-section of the conduit, and the membrane is positioned to have a desorber side in gas communication with the desorber and an analysis side opposite the desorber side. The substance detection device can be configured to direct a portion of a chemical substance to the desorber through the conduit so that at least a portion of the entrained chemical substance is transferred to the membrane by interacting with the desorber side of the membrane. The membrane is adapted to diffuse at least a portion of the chemical substance transferred to the membrane through the membrane to the analysis side. The device also includes a particle separator including a protuberance extending into a collection chamber of the particle separator.
US08728394B2 Device for sterilizing container closures
A device for sterilizing container closures includes a transport device which transports the container closures along a predetermined transport path (P), an enclosure, which surrounds the transport device at least in sections, and a light source, which applies ultraviolet radiation (S) to the container closures during the transport thereof along the transport path (P). The light source is disposed outside the enclosure, and the ultraviolet radiation is conducted into the interior of the enclosure.
US08728392B2 Method of using an amine compound as anticorrosive for a boiler
The method of using an amine compound represented by the formula NH2—(CH2)m—O—(CH2)n—OH, where each of m and n is an integer of 1 to 3, as a boiler anti-corrosive.
US08728385B2 Sidewall and bottom electrode arrangement for electrical smelting reactors and method for feeding such electrodes
Metallurgical reactors having cooling capability and electrode feed capability are disclosed. The reactors may include a shell having a sidewall and a bottom, where the shell is adapted to contain a molten material. The reactors may include at least one consumable electrode protruding through an opening of the shell and into the molten material. The reactors may include a current contact clamp configured to conduct operating current to the electrode, where the current clamp is in contact with the electrode, and where the current clamp comprises at least one internal channel, wherein the internal channel is configured to circulate a cooling medium. The reactors may include an electric isolation ring disposed between the electrode and the opening of the shell, wherein the electric isolation ring is configured to sealingly engage the electrode and the opening so as to restrict flow of the molten material out of the shell.
US08728382B2 Forming a polycrystalline ceramic in multiple sintering phases
In one aspect of the invention, a method of forming polycrystalline diamond comprises providing a can assembly comprising a preform of diamond powder with a first catalyst, the preform being adjacent a solid substrate with a second catalyst. The pressure and/or temperature on the can assembly increases until the first catalyst and carbon from the diamond powder begin to form a melting composition within the preform. The pressure and temperature may be held substantially constant on the can assembly until the melting composition has catalyzed crystalline growth in at least a portion of the preform. The pressure and/or temperature are then increased on the assembly until the second catalyst within the substrate is released into the preform.
US08728377B2 Imprinting apparatus and imprinting method using the same
Disclosed is an imprinting apparatus and imprinting method using the same that prevent a process of forming a pattern on a substrate from being affected by flatness of a stage. The imprinting apparatus comprises a chamber unit in which a process of forming a pattern on a substrate is carried out; a stage for supporting the substrate on which a resin layer is formed; an installing member positioned above the stage and having a mold member attached to transform the resin layer so as to form the pattern on the substrate; and a first spraying unit for spraying fluid to separate the substrate supported by the stage from the stage, wherein the installing member moves the mold member in the direction getting near to the substrate separated from the stage so that the mold member and the resin layer are brought into contact with each other.
US08728376B2 Restoring and recycling railroad ties
A method for restoring and reusing railroad ties and the resulting product are disclosed. According to the method, otherwise spent wooden ties are sorted as to their apparent suitability for providing a core for reuse. Those found unsuitable are discarded, while those found to be suitable are milled or trimmed about their dimensions to define a suitable core. That core is banded about a transverse perimeter and then submitted to a reaction injection molding process by which urethane or other suitable polymers impregnate the various voids, holes and cracks in the core, bond with the bands, and define a finish unit having the overall size and configuration of previously known railroad ties. The encapsulated product is then capped or plugged at the ends to ensure that the resulting product is impervious to penetration by the environment.
US08728375B2 Method of resin sealing permanent magnets in laminated rotor core
A laminated rotor core (36) wherein permanent magnets (47) are inserted in respective magnet insertion holes (46) is disposed between and pressed by an upper die (37) and a lower die (29). The upper die (37) has resin reservoir pots (50) provided above the laminated rotor core (36) and at positions corresponding to the respective magnet insertion holes (46). Raw resin material put in the resin reservoir pots (50) is heated by the upper die (37). Subsequently, the resin material in a liquefied state is ejected from the resin reservoir pots (50) by plungers (52) that are inserted and moves vertically in the resin reservoir pots (50) and is directly filled in the magnet insertion holes (46). Consequently, the respective magnet insertion holes (46) are filled with the resin material more evenly and highly reliable products can be supplied at low cost.
US08728365B2 Methods for making construction material using enzyme producing bacteria
There is provided a method for producing construction material utilizing loose pieces of aggregate, enzyme producing bacteria, an amount of urea and an amount of calcium ions. A first solution is prepared which includes urease which is formed by enzyme producing bacteria. A second solution is prepared which includes urea and calcium ions. The first and second solutions are added to the loose aggregate. The calcium ions contribute to the formation of calcium carbonate wherein the calcium carbonate fills and bonds between at least some of the gaps between the loose pieces of aggregate forming a solid construction material.
US08728363B2 Thermoplastic resin foam and production process thereof
A die plate for a die block can have a coat-hanger-shaped flow path for extruding a molten foamable thermoplastic resin in a form of a sheet. The die plate can be on a downstream side of the coat-hanger-shaped flow path to make a flow rate of the molten foamable thermoplastic resin uniform in a width direction. The die plate can have a multiplicity of delivery apertures. The delivery apertures in opposite side regions can have a diameter greater than a diameter which the delivery apertures in a center region have. A die unit can include a die block, which has a multiple bifurcated manifold terminating in plural coat-hanger-shaped flow paths at downward ends thereof, and a like plural number of similar die plates as described above. A process for producing a wide thermoplastic resin foam can use the die unit.
US08728360B2 Apparatus and method for producing optical sheeting
[Object] An apparatus and a method for producing optical sheeting with which increased productivity can be achieved while maintaining the accuracy of produced optical sheeting.[Solution]An apparatus 1 for producing optical sheeting includes: a first rotating roll R1 configured to be heated; a second rotating roll R2; a first belt mold S1 that has molds for optical elements on a surface thereof, and is configured to turn around the first rotating roll and the second rotating roll; a sheet feeding means D2 configured to feed synthetic resin sheeting onto a surface of the first belt mold S1, a second belt mold S2 configured to be pressed against the first belt mold S1 and to turn with the turning of the first belt mold S1; and at least two pressure rolls R3 and R5 around which the second belt mold S2 is mounted and which is configured to press the second belt mold S2 against the first belt mold S1, wherein the pressure roll R5 is arranged at a position where the second belt mold S2 comes away from the first belt mold S1 and cooled at a surface thereof.
US08728357B2 Compound semiconductors and their application
Disclosed are new compound semiconductors which may be used for solar cells or as thermoelectric materials, and their application. The compound semiconductor may be represented by a chemical formula: InxMyCo4-m-aAmSb12-n-z-bXnQ′z, where M is at least one selected from the group consisting of Ca, Sr, Ba, Ti, V, Cr, Mn, Cu, Zn, Ag, Cd, Sc, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb and Lu; A is at least one selected from the group consisting of Fe, Ni, Ru, Rh, Pd, Ir and Pt; X is at least one selected from the group consisting of Si, Ga, Ge and Sn; Q′ is at least one selected from the group consisting of O, S and Se; 0
US08728355B2 Electrode and method for manufacturing the same
The invention relates to an electrode that can be formed by firing in air a conductive paste comprising a copper powder, a boron powder, an additional inorganic powder, a glass frit, and an organic medium, wherein the additional inorganic powder is selected from the group consisting of silica powder, indium tin oxide powder, zinc oxide powder, alumina powder, and mixture thereof.
US08728352B2 Electrical connection material and a solar cell including the same
An electrical connection material between conductors includes about 40 wt % to about 80 wt % of a urethane-modified acrylate resin, based on a total weight of the electrical connection material, the electrical connection material exhibiting, after curing, a tensile elongation of about 100% to about 500% and a yield point strain of about 10% to about 50% in a stress-strain curve.
US08728349B2 Lead-free X-ray shielding rubber composite
An overall lead-free X-ray shielding rubber compound material. The overall lead-free X-ray shielding rubber compound material uses rare earth mixture to replace lead, simultaneously adds metal tin and compounds thereof, metal tungsten and compounds thereof and bismuth and compounds thereof as shielding main materials and is further compounded with rubber to prepare the compound material which can realize the overall shielding and the complete lead-free property within the energy range of 40-170 kVp. When rare earth and bismuth materials are used, the way of combined use of two metal element inorganic compounds and unsaturated organic complexes is adopted, and the in-situ reaction and the compounding with a polymer matrix are carried out, thereby leading the shielding element disperse phase to form nano-micro-level dispersed particles.
US08728348B2 Microwave processing of feedstock, such as exfoliating vermiculite and other minerals, and treating contaminated materials
Ways of applying microwaves to feedstock to be processed are disclosed. One embodiment relates to heating inter-layer water in vermiculite to expand the vermiculite. Another embodiment relates to heating water in oil-contaminated materials and waste products, such as drilling cuttings, contaminated soils and certain types of animal by-products, to drive out oil. In some embodiments a microwave tunnel applicator has the microwaves applied from beneath the feedstock.
US08728346B2 Preparations of suspensions
A method for preparing a suspension of LDH particles comprises the steps of preparing LDH precipitates by coprecipitation to form a mixture of LDH precipitates and solution; separating the LDH precipitates from the solution; washing the LDH precipitates to remove residual ions; mixing the LDH precipitates with water; and subjecting the mixture of LDH particles and water from step (d) to a hydrothermal treatment step by heating to a temperature of from greater than 80° C. to 150° C. for a period of about 1 hour to about 144 hours to form a well dispersed suspension of LDH particles in water.
US08728345B2 Epoxy-containing polysiloxane oligomer compositions, process for making same and uses thereof
The present invention relates to stable, zero or low VOC epoxy-containing polysiloxane oligomer compositions that provide for a high degree of chemical resistance to compositions containing organic resins, while at the same time, maintaining or improving the flexibility of these organic resin-containing compositions, to processes for preparing epoxy-containing polysiloxane oligomer compositions, and to uses in coatings, sealants, adhesives, and composites containing the same.
US08728343B2 Positive electrode active material for lithium-ion battery and lithium-ion battery
Provided is a lithium ion battery wherein the content of an iron element contained in a positive electrode active material (measured with an ICP emission spectrophotometer) is 10 ppm or more, and magnetic materials having a size of 0.70 times or greater than the thickness of a separator layer are substantially not included in order to provide a lithium ion battery which has small voltage drop during a charge state or under storage at high temperatures.
US08728334B2 Dynamic gas flow control of touchless reactor cells
A protective chuck is disposed on a substrate with a gas layer between the bottom surface of the protective chuck and the substrate surface. The gas layer protects a surface region against a fluid layer covering the substrate surface. In some embodiments, the pressure fluctuation at the gas layers is monitored, and through the dynamic feedback, the gas flow rate can be adjusted to achieve a desired operation regime. The dynamic control of operation regime setting can also be applied to high productivity combinatorial systems having an array of protective chucks.
US08728330B2 Chemical liquid supply device and chemical liquid supply method
A chemical liquid supply device has a pump provided with a pump chamber which is expanded and contracted by an elastically deformable bellows, and chemical liquid in a chemical liquid container flows into the pump chamber through a suction channel. The chemical liquid in the pump chamber is discharged through a discharge channel to a discharge nozzle. A looped circulation channel for returning the chemical liquid supplied from the pump chamber to the pump chamber is connected to the pump, and provided with an expanding and contracting portion. A filter for filtering the chemical liquid which is returned to the pump chamber is provided to the circulation channel. Since flow resistance of the filter is not applied to the pump when the chemical liquid is discharged from a discharge nozzle, it's possible to discharge a specific amount of chemical liquid from the discharge nozzle with a high degree of accuracy.
US08728328B2 Methods for removing suspended solids from a gasification process stream
A method of removing suspended solids from a gasification process water stream includes, in an exemplary embodiment, providing a gasification process water stream containing process derived suspended solids, settling at least a portion of the suspended solids from the process water stream in a settling apparatus, providing a filter apparatus having a plurality of filter elements, and removing a portion of the settled solids from the settling apparatus. The method also includes precoating the filter elements with the settled solids removed from the settling apparatus, directing a portion of the process water stream from the settling apparatus to the filter apparatus, and filtering the portion of the process water stream directed from the settling apparatus through the precoated filter elements to remove suspended solids particles to form a filtrate.
US08728320B2 Lignin sorbent, lignin removal unit, biorefinery, process for removing lignin, process for binding lignin and renewable material
This invention relates to a lignin sorbent, a lignin removal unit, a biorefinery, a process for removing lignin, a process for binding lignin, and a renewable material. The lignin sorbent includes a substrate, and a lignin binding material dispersed with respect to the substrate. The lignin binding process includes the step of adding a lignin binding material to an input stream, and the step of converting the input stream into a renewable material.
US08728317B2 Device suitable for treating a fluid, as well as a method suitable for manufacturing such a device
A device which is suitable for treating a fluid comprises an elongated foil formed of a plurality of foil layers arranged in zigzag fashion, wherein a first foil layer is connected to a second foil layer at a first end. The second foil layer is connected to a third foil layer at a second end remote from the first end. Spacers are arranged between said foil layers. The spacer comprises a mesh-like layer, through which fluid can flow in the plane of the mesh-like layer as well as transversely to the plane of the mesh-like layer.