Document Document Title
US08710588B2 Implant free extremely thin semiconductor devices
A semiconductor device and a method of fabricating a semiconductor device are disclosed. In one embodiment, the method comprises providing a semiconductor substrate, epitaxially growing a Ge layer on the substrate, and epitaxially growing a semiconductor layer on the Ge layer, where the semiconductor layer has a thickness of 10 nm or less. This method further comprises removing at least a portion of the Ge layer to form a void beneath the Si layer, and filling the void at least partially with a dielectric material. In this way, the semiconductor layer becomes an extremely thin semiconductor-on-insulator layer. In one embodiment, after the void is filled with the dielectric material, in-situ doped source and drain regions are grown on the semiconductor layer. In one embodiment, the method further comprises annealing said source and drain regions to form doped extension regions in the semiconductor layer.
US08710582B2 Semiconductor device and method for manufacturing same
According to one embodiment, a method for manufacturing a semiconductor device includes: forming a plurality of trenches; forming a gate insulating film; burying a gate electrode; burying an insulating member; projecting the insulating member; forming a base layer; forming a mask film; forming a first semiconductor layer; forming a carrier ejection layer; forming a first electrode; and forming a second electrode. The projecting includes projecting the insulating member from the upper surface of the semiconductor substrate by removing an upper layer portion of the semiconductor substrate. The mask film is formed so as to cover the projected insulating member. The forming the first semiconductor layer includes forming a first semiconductor layer of the first conductivity type in an upper layer portion of the base layer by doping the base layer with impurity, the upper layer portion having a lower surface below an upper end of the gate electrode.
US08710581B2 Nonvolatile semiconductor memory device and method of manufacturing the same
A nonvolatile semiconductor memory device comprises: element isolation insulating films formed in a semiconductor substrate in a first direction; and element regions formed in a region sandwiched by the element isolation insulating film, with MONOS type memory cells. The MONOS type memory cell comprises: a tunnel insulating film disposed on the element region; a charge storage film disposed continuously on the element regions and the element isolation insulating films. The charge storage film comprises: a charge film disposed on the element region and having a certain charge trapping characteristic; and a degraded charge film disposed on the element isolation insulating film and having a charge trapping characteristic inferior to that of the charge film. The degraded charge film has a length of an upper surface thereof set shorter than a length of a lower surface thereof in a cross-section along the first direction.
US08710580B2 Semiconductor device and method of manufacturing the same
According to one embodiment, a semiconductor device includes first to n-th semiconductor layers (n is a natural number equal to or more than 2) being stacked in order from a surface of an insulating layer in a first direction perpendicular to the surface of the insulating layer, the first to n-th semiconductor layers extending in a second direction parallel to the surface of the insulating layer, the first to n-th semiconductor layers being insulated from each other, a common electrode connected to the first to n-th semiconductor layers in a first end of the second direction thereof, and a layer select transistor which uses the first to n-th semiconductor layers as channels and which selects one of the first to n-th semiconductor layers.
US08710576B2 High density vertical structure nitride flash memory
A charge trap type of memory having a memory channel with vertical and possibly horizontal components is described. The invention includes a new operation method of simultaneous hole and electron injection operation for high speed and high reliability non-volatile memories, as well as high-density non-volatile memories. Array implementations for high-density memory arrays and high-speed memory arrays and their fabrication methods are also described.
US08710575B1 Semiconductor device, integrated circuit and method of manufacturing an integrated circuit
A semiconductor device is formed in a semiconductor substrate comprising a first main surface and includes a control gate disposed in a lower portion of a first trench formed in the first main surface, a floating gate disposed in the first trench above the control gate and insulated from the control gate, a source region of a first conductivity type, a body region of a second conductivity type, and a drain region of the first conductivity type.
US08710570B2 Semiconductor device having vertical channel
A semiconductor device includes: bit lines each extending in a first direction; word lines each extending in a second direction, which crosses the first direction; pillars provided in a region between the bit lines and the word lines, wherein the pillars are each arranged along a third direction; and bit line contacts arranged along the third direction and alternately between the pillars and coupled to alternate bit lines.
US08710568B2 Semiconductor device having a plurality of elements on one semiconductor substrate and method of manufacturing the same
A semiconductor device includes a semiconductor substrate that includes a plurality of section having different thicknesses. The sections include a first section having a first thickness and a second section having a second thickness, the second section is the thinnest section among all the sections, and the first thickness is greater than the second thickness. A plurality of isolation trenches penetrates the semiconductor substrate for defining a plurality of element-forming regions in the first section and the second section. A plurality of elements is located at respective ones of the plurality of element-forming regions. The elements include a double-sided electrode element that includes a pair of electrodes separately disposed on the first surface and the second surface, and the double-sided electrode element is located in the second section.
US08710566B2 Techniques for forming a contact to a buried diffusion layer in a semiconductor memory device
Techniques for forming a contact to a buried diffusion layer in a semiconductor memory device are disclosed. In one particular exemplary embodiment, the techniques may be realized as a semiconductor memory device. The semiconductor memory device may comprise a substrate comprising an upper layer. The semiconductor memory device may also comprise an array of dummy pillars formed on the upper layer of the substrate and arranged in rows and columns. Each of the dummy pillars may extend upward from the upper layer and have a bottom contact that is electrically connected with the upper layer of the substrate. The semiconductor memory device may also comprise an array of active pillars formed on the upper layer of the substrate and arranged in rows and columns. Each of the active pillars may extend upward from the upper layer and have an active first region, an active second region, and an active third region. Each of the active pillars may also be electrically connected with the upper layer of the substrate.
US08710565B2 Semiconductor device and manufacturing method
The method of the present invention comprises forming a word line crossing with an active region on a semiconductor substrate; forming a diffusion layer region; forming a first insulating film as high as a bit line to be formed; etching the first insulating film, while using, as a mask, a pattern having a linear aperture extending to the active region on the first insulating film so as to form a groove pattern for exposing the surface of the semiconductor substrate; embedding a conductive film in the groove pattern; forming a mask pattern passing over a portion, in which a bit contact is formed, on the first insulating film; and removing the first insulating film and the conductive layer until the upper layer insulating film of the word line is exposed, while using the mask pattern as a mask so as to isolate a bit contact from another contact.
US08710562B2 CMOS image sensor
A CMOS image sensor includes a photodiode, a plurality of transistors for transferring charges accumulated at the photodiode to one column line, and a voltage dropping element connected to a gate electrode of at least one transistor among the plurality of transistors for expanding a saturation region of the transistor by dropping down a gate voltage inputted to the gate electrode of the at least one transistor.
US08710559B2 Solid-state imaging apparatus, method of manufacturing solid-state imaging apparatus, and electronic apparatus
A solid-state imaging apparatus includes a transfer gate electrode formed on a semiconductor substrate; a photoelectric conversion unit including an electric charge storage area that is formed from a surface side of the semiconductor substrate in a depth direction, a transfer auxiliary area formed of a second conductive type impurity area that is formed in such a manner as to partially overlap the transfer gate electrode, and a dark current suppression area that is a first dark current suppression area formed in an upper layer of the transfer auxiliary and formed so as to have positional alignment in such a manner that the end portion of the transfer auxiliary area on the transfer gate electrode side is at the same position as the end portion of the transfer auxiliary area; and a signal processing circuit configured to process an output signal output from the solid-state imaging apparatus.
US08710557B2 MOS transistor having combined-source structure with low power consumption and method for fabricating the same
The present invention discloses a MOS transistor having a combined-source structure with low power consumption, which relates to a field of field effect transistor logic devices and circuits in CMOS ultra-large-scaled integrated circuits. The MOS transistor includes a control gate electrode layer, a gate dielectric layer, a semiconductor substrate, a Schottky source region, a highly-doped source region and a highly-doped drain region. An end of the control gate extends to the highly-doped source region to form a T shape, wherein the extending region of the control gate is an extending gate and the remaining region of the control gate is a main gate. The active region covered by the extending gate is a channel region, and material thereof is the substrate material. A Schottky junction is formed between the Schottky source region and the channel under the extending gate. The combined-source structure according to the invention combines a Schottky barrier and a T-shaped gate, improves the performance of the device, and the fabrication method thereof is simple. Thus, a higher turn-on current, a lower leakage current, and a steeper subthreshold slope can be obtained, and the present application can be applied in the field of low power consumption and have a higher practical value.
US08710556B2 Semiconductor device comprising a Fin and method for manufacturing the same
The present application discloses a semiconductor device comprising a fin of semiconductive material formed from a semiconductor layer over a semiconductor substrate and having two opposing sides perpendicular to the main surface of the semiconductor substrate; a source region and a drain region provided in the semiconductor substrate adjacent to two ends of the fin and being bridged by the fin; a channel region provided at the central portion of the fin; and a stack of gate dielectric and gate conductor provided at one side of the fin, where the gate conductor is isolated from the channel region by the gate dielectric, and wherein the stack of gate dielectric and gate conductor extends away from the one side of the fin in a direction parallel to the main surface of the semiconductor substrate, and insulated from the semiconductor substrate by an insulating layer. The semiconductor device has an improved short channel effect and a reduced parasitic capacitance and resistance, which contributes to an improved electrical property and facilitates scaling down of the transistor.
US08710555B2 Semiconductor device having a triple gate transistor and method for manufacturing the same
In a semiconductor capable of reducing NBTI and a method for manufacturing the same, a multi-gate transistor includes an active region, gate dielectric, channels in the active region, and gate electrodes, and is formed on a semiconductor wafer. The active region has a top and side surfaces, and is oriented in a first direction. The gate dielectric is formed on the top and side surfaces of the active region. The channels are formed in the top and side surfaces of the active region. The gate electrodes are formed on the gate dielectric corresponding to the channels and aligned perpendicular to the active region such that current flows in the first direction. In one aspect of the invention, an SOI layer having a second orientation indicator in a second direction is formed on a supporting substrate having a first orientation indicator in a first direction.
US08710554B2 Biosensor kit
Disclosed are: a biosensor kit in which a biosensor utilizing a field effect transistor is not deteriorated during storage or transport; and a system for detecting a substance of interest, which is equipped with the biosensor chip. The biosensor kit comprises a biosensor chip which can measure a substance of interest quantitatively and a package which can hermetically seal the biosensor chip and is composed of a packaging material comprising a metal film. The biosensor chip can measure the substance quantitatively based on the value of a current generated in a field effect transistor when the substance is reacted with a molecule that can recognize the substance and is immobilized on a reaction field connected to the field effect transistor. The biosensor chip comprises the field effect transistor and a mounting substrate on which the field effect transistor is mounted.
US08710550B2 Semiconductor device with hetero-junction bodies
A semiconductor device includes a nitride semiconductor stack having at least two hetero junction bodies where a first nitride semiconductor layer and a second nitride semiconductor layer having a band gap wider than that of the first nitride semiconductor layer are disposed, and includes a drain electrode and, a source electrode disposed to the nitride semiconductor stack, and gate electrodes at a position put between the drain electrode and the source electrode and disposed so as to oppose them respectively in which the drain electrode and the source electrode are disposed over the surface or on the lateral side of the nitride semiconductor stack, and the gate electrode has a first gate electrode disposed in the direction of the depth of the nitride semiconductor stack and a second gate electrode disposed in the direction of the depth of the nitride semiconductor at a depth different from the first gate electrode.
US08710548B2 Semiconductor device and method for manufacturing the same
A semiconductor device includes a first semiconductor layer which is formed above a substrate, a Schottky electrode and an ohmic electrode which are formed on the first semiconductor layer to be spaced from each other and a second semiconductor layer which is formed to cover the first semiconductor layer with the Schottky electrode and the ohmic electrode exposed. The second semiconductor layer has a larger band gap than that of the first semiconductor layer.
US08710545B2 Latch-up free ESD protection
An ESD module having a first portion (FP) and a second portion (SP) in a substrate is presented. The FP includes a FP well of a second polarity type and first and second FP contact regions. The first FP contact region is of a first polarity type and the second FP contact region is of a second polarity type. The SP includes a SP well of a first polarity type and first and second SP contact regions. The first SP contact region is of a first polarity type and the second SP contact region is of a second polarity type. An intermediate portion (IP) is disposed in the substrate between the FP and SP in the substrate. The IP includes a well of the second polarity type. The IP increases trigger current and holding voltage of the module to prevent latch up during normal device operation.
US08710540B2 LED package with top and bottom electrodes
An LED package with an extended top electrode and an extended bottom electrode is formed from a first metal and a second metal. An LED is on an inner end of the first metal. An outer end of the first metal has been bent upward twice 90 degrees to form a top flat as an extended top electrode of the package. An outer end of the second metal has been bent downward twice 90 degrees to form a bottom flat as an extended bottom electrode of the package. The LED and a bonding wire may be encapsulated with glue.
US08710537B2 Radiation-emitting semiconductor chip and method for producing a radiation-emitting semiconductor chip
A radiation-emitting semiconductor chip includes: a carrier and a semiconductor body with a semiconductor layer sequence including an active region that generates radiation, a first semiconductor layer and a second semiconductor layer; wherein the active region is arranged between the first semiconductor layer and the second semiconductor layer; the first semiconductor layer is arranged on a side of the active region which faces away from the carrier; the semiconductor body comprises at least one recess which extends through the active region; the first semiconductor layer is electrically conductively connected to a first connection layer extending in the recess from the first semiconductor layer in a direction of the carrier; and the first connection layer is electrically connected to the second semiconductor layer via a protective diode.
US08710536B2 Composite high reflectivity layer
A high efficiency light emitting diode with a composite high reflectivity layer integral to said LED to improve emission efficiency. One embodiment of a light emitting diode (LED) chip comprises an LED and a composite high reflectivity layer integral to the LED to reflect light emitted from the active region. The composite layer comprises a first layer, and alternating plurality of second and third layers on the first layer, and a reflective layer on the topmost of said plurality of second and third layers. The second and third layers have a different index of refraction, and the first layer is at least three times thicker than the thickest of the second and third layers. For composite layers internal to the LED chip, conductive vias can be included through the composite layer to allow an electrical signal to pass through the composite layer to the LED.
US08710533B2 Multicolored light converting LED with minimal absorption
Light emitting systems are disclosed. More particularly light emitting systems that utilize wavelength converting semiconductor layer stacks, and preferred amounts of potential well types in such stacks to achieve more optimal performance are disclosed.
US08710532B2 White light emitting lamp and white LED lighting apparatus including the same
A semiconductor light emitting element emits ultraviolet light or blue light that impinges upon a light emitting cover portion including a blue phosphor, a green phosphor, a red phosphor and a deep red phosphor to emit white light by mixing the light emission colors from the phosphors. The deep red phosphor has a main emission peak in a longer wavelength region than a main emission peak of the red phosphor. The red phosphor includes at least one component selected from: a europium-activated SiAlON phosphor and a europium-activated CASN phosphor each having a predetermined composition. The deep red phosphor includes a manganese-activated magnesium florogermanate phosphor having a predetermined composition. The white light emitting lamp is excellent in both high luminance and high color rendering properties.
US08710530B2 Light emitted diode
The present invention relates to a light emitted diode (LED). The LED includes a metal mirror, a bonding substrate, a distributed bragg reflector (DBR), a buffer layer, and a LED epitaxial structure. The bonding substrate is arranged under the metal mirror. The DBR is arranged on the metal mirror. The buffer layer is arranged on the DBR. The LED epitaxial structure is arranged on the buffer layer.
US08710529B2 Light-emitting device package structure
A light-emitting device package structure includes a leadframe, a light-emitting device disposed on the leadframe, a plurality of wires electrically connecting the leadframe and the light-emitting device, and an encapsulant covering the light-emitting device, the wires and a part of the leadframe. The encapsulant has a gas space therein, and the gas space is disposed on the light-emitting device, wherein the gas space includes at least one gas.
US08710526B2 Thermal conductivity and phase transition heat transfer mechanism including optical element to be cooled by heat transfer of the mechanism
A thermal conductivity and phase transition heat transfer mechanism incorporates an active optical element. Examples of active optical elements include various phosphor materials for emitting light, various electrically driven light emitters and various devices that generate electrical current or an electrical signal in response to light. The thermal conductivity and phase transition between evaporation and condensation, of the thermal conductivity and phase transition heat transfer mechanism, cools the active optical element during operation. At least a portion of the active optical element is exposed to a working fluid within a vapor tight chamber of the heat transfer mechanism. The heat transfer mechanism includes a member that is at least partially optically transmissive to allow passage of light to or from the active optical element and to seal the chamber of the heat transfer mechanism with respect to vapor contained within the chamber.
US08710523B2 Device chip carriers, modules, and methods of forming thereof
The present invention provides novel methods of forming component carriers, component modules, and the carriers and modules formed therefrom which utilize thick film technology. In some embodiments, these methods are used to form lighting device chip carriers and modules. In further embodiments, these lighting device chip carriers and modules are used in LED applications.
US08710521B2 Organic light emitting display device and method of manufacturing the same
An organic light emitting display device including a plurality of sub pixels, each of the sub pixels including an emissive layer between a pixel electrode and a counter electrode; and a partition wall defining regions of the plurality of sub pixels, wherein the partition wall is not located between at least one pair of adjacent sub pixels of the plurality of sub pixels.
US08710520B2 Light emitting diode having multi-cell structure and method of manufacturing the same
Disclosed is a light emitting diode having a multi-cell structure including a number of unit cells. The light emitting diode is capable of reducing light loss of the light emitting diode surface and improving light efficiency by bonding pads to be formed for contact between mesa etching regions for forming an electrode of the existing n-type semiconductor layers and p-type semiconductor layers. The light emitting diode is also capable of controlling chip size and manufacturing chips of different sizes from each other even when going through the same chip manufacturing process as the related art.
US08710519B2 White light emitting diode (LED) lighting device
An alternating current (AC) white LED lighting device and a method for manufacturing the same are provided. The AC white LED lighting device consists of blue, violet or ultraviolet LED chips, blue afterglow luminescence materials A and yellow luminescence materials B. Wherein the weight ratio of the blue afterglow luminescence materials A to the yellow luminescence materials B is 10-70 wt %:30-90 wt %. Because of using afterglow luminescence materials, the light will be sustained when an excitation light source disappears, which can eliminate the influence of LED chips light output variation due to the AC fluctuation on the lighting device. And the problem of the heating of the chips also can be overcome. At the same time, the influence of temperature quenching effect and direction change of the AC current on the AC white LED lighting device is eliminated.
US08710516B2 Touch panel structure and manufacturing method thereof
A manufacturing method of a touch panel structure includes the following steps. A first conductive layer is formed on a mounting surface of a substrate, and the first conductive layer has multiple first electrodes. A first electrical-insulation layer is formed on the mounting surface of the substrate, and the first electrical-insulation layer covers each of the first electrodes of the first conductive layer. A second conductive layer is formed on the first electrical-insulation layer; the second conductive layer has multiple second electrodes, and each of the second electrodes crisscrosses each of the first electrodes. A second electrical-insulation layer is formed on the first electrical-insulation layer, and the second electrical-insulation layer covers each of the second electrodes of the second conductive layer.
US08710513B2 Light-emitting device package and method of manufacturing the same
A light-emitting device package and a method of manufacturing the light-emitting device package. The light-emitting device package includes a wiring substrate; a Zener diode mounted on a first region of the wiring substrate; a light-emitting device chip mounted on the first region and a second region of the wiring substrate; and a molding member for fixing at least a portion of the wiring substrate, wherein the Zener diode is embedded in the molding member.
US08710512B2 Optoelectronic semiconductor chip comprising a reflective layer
An optoelectronic semiconductor chip, comprising a first contact location (1) and a second contact location (2), and a reflective layer (3), which is directly electrically conductively connected to the second contact location. The reflective layer contains a metal that tends toward migration, and the reflective layer is arranged in such a way that a migration path (4) for the metal can form between the second and the first contact location. A means (6) which, during operation of the semiconductor chip, forms an electric field that counteracts the migration of the metal is provided at the semiconductor chip.
US08710510B2 High power insulated gate bipolar transistors
An insulated gate bipolar transistor (IGBT) includes a substrate having a first conductivity type, a drift layer having a second conductivity type opposite the first conductivity type, and a well region in the drift layer and having the first conductivity type. An epitaxial channel adjustment layer is on the drift layer and has the second conductivity type. An emitter region extends from a surface of the epitaxial channel adjustment layer through the epitaxial channel adjustment layer and into the well region. The emitter region has the second conductivity type and at least partially defines a channel region in the well region adjacent to the emitter region. A gate oxide layer is on the channel region, and a gate is on the gate oxide layer. Related methods are also disclosed.
US08710507B2 Semiconductor thin film, thin film transistor, method for manufacturing same, and manufacturing equipment of semiconductor thin film
A method for manufacturing a semiconductor thin film is provided which can form its crystal grains having a uniform direction of crystal growth and being large in size and a manufacturing equipment using the above method, and a method for manufacturing a thin film transistor. In the above method, by applying an energy beam partially intercepted by a light shielding element, melt and re-crystallization occur with a light-shielded region as a starting point. The irradiation of the beam gives energy to the light-shielded region of the silicon thin film so that melt and re-crystallization occur with the light-shielded region as the starting point and so that a local temperature gradient in the light-shielded region is made to be 1200° C./μm or more. In the manufacturing method, a resolution of an optical system used to apply the energy beam is preferably 4 μm or less.
US08710504B2 Flat display panel and method for forming the same
The present invention proposes to a flat display panel and a method for forming the same. The flat display panel includes a plurality of rows of scan lines, a plurality of columns of data lines and a plurality of blocking lines which are parallel and overlapped to the data lines. The plurality of blocking lines are placed at one side of pixel electrodes one on one and made of the same metallic layer with the plurality of scan lines. Each blocking line made of the same metallic layer with the scan line is wider than a corresponding data line, so that light not blocked by the data line is blocked by the wider blocking line.
US08710503B2 Organic light-emitting display and method of manufacturing the same
An organic light emitting display (OLED) device is disclosed. The OLED device includes a thin-film transistor (TFT), which includes a gate electrode; an active layer insulated from the gate electrode; source and drain electrodes insulated from the gate electrode and contacting the active layer; and an insulation layer interposed between the source and drain electrodes and the active layer; and an organic light-emitting element electrically connected to the TFT, wherein the insulation layer includes a first insulation sub-layer contacting the active layer; and a second insulation sub-layer formed on the first insulation sub-layer.
US08710501B2 Electro-optical device, method of manufacturing the same, and electronic apparatus
An electro-optical device includes a light-emitting layer provided with a white light-emitting element; and a reflective filter layer that is located at one side of the light-emitting layer and is provided with a reflective color filter.
US08710498B2 Display device and method of manufacturing the same
According to one embodiment, a method of manufacturing a thin-film transistor circuit substrate including forming an oxide semiconductor thin film above an insulative substrate, forming a gate insulation film and a gate electrode which are stacked on a first region of the oxide semiconductor thin film, and exposing from the gate insulation film a second region and a third region of the oxide semiconductor thin film, the second region and the third region being located on both sides of the first region of the oxide semiconductor thin film, forming an interlayer insulation film of silicon nitride including dangling bonds of silicon, the interlayer insulation film covering the second region and the third region of the oxide semiconductor thin film, the gate insulation film and the gate electrode, and forming a source electrode and a drain electrode.
US08710496B2 Organic light emitting diode device and fabrication method thereof
Disclosed are an organic light emitting diode device and a method of fabricating the organic light emitting diode device capable of achieving high light extraction efficiency even without a high-cost and complicated process. The organic light emitting diode device according to an exemplary embodiment of the present disclosure includes a substrate; a phase change thin film layer formed on the substrate and formed of a phase change material changeable from an amorphous state to a crystalline state or from a crystalline state to an amorphous state; and an anode electrode layer, an organic light emitting layer and a cathode electrode layer which are sequentially formed on the phase change thin film layer.
US08710493B2 Organic electroluminescent element
An organic electroluminescence device includes an anode, a cathode and layers between the anode and the cathode, the layers at least including a hole transporting layer, a first emitting layer, a second emitting layer and an electron transporting layer, in which the first emitting layer includes a first host material and a first luminescent material and the second emitting layer is continuously formed on the first emitting layer near the cathode and includes a second host material and a second luminescent material. The second host material is a monoazine derivative, a diazine derivative, or a triazine derivative. The first and second luminescent materials are different metal complexes.
US08710490B2 Semiconductor device having germanium active layer with underlying parasitic leakage barrier layer
Semiconductor devices having germanium active layers with underlying parasitic leakage barrier layers are described. For example, a semiconductor device includes a first buffer layer disposed above a substrate. A parasitic leakage barrier is disposed above the first buffer layer. A second buffer layer is disposed above the parasitic leakage barrier. A germanium active layer is disposed above the second buffer layer. A gate electrode stack is disposed above the germanium active layer. Source and drain regions are disposed above the parasitic leakage barrier, on either side of the gate electrode stack.
US08710488B2 Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
A first exemplary device has a substrate, a nanowire and a doped epitaxial layer surrounding the nanowire. The nanowire is configured to be both a channel to transmit wavelengths up to a selective wavelength. The first exemplary device may further have an active element to detect the wavelengths up to the selective wavelength transmitted through the nanowire. A second exemplary device has a substrate, a nanowire and one or more photogates surrounding the nanowire. The nanowire is configured to be both a channel to transmit wavelengths up to a selective wavelength. The second exemplary device may have an active element to detect the wavelengths up to the selective wavelength transmitted through the nanowire. The one or more photogates comprise an epitaxial layer.
US08710484B2 Method for manufacturing non-volatile memory device, non-volatile memory element, and non-volatile memory device
A manufacturing method for manufacturing, with a simple process, a non-volatile memory apparatus having a stable memory performance includes: (a) forming a stacking-structure body above a substrate by alternately stacking conductive layers comprising a transition metal and interlayer insulating films comprising an insulating material; (b) forming a contact hole penetrating through the stacking-structure body to expose part of each of the conductive layers; (c) forming variable resistance layers by oxidizing the part of each of the conductive layers, the part being exposed in the contact hole, and each of the variable resistance layers having a resistance value that reversibly changes according to an application of an electric signal; and (d) forming a pillar electrode in the contact hole by embedding a conductive material in the contact hole, the pillar electrode being connected to each of the variable resistance layers.
US08710482B2 Memory component and memory device
A memory component includes: a first electrode; a memory layer; and a second electrode in this order, wherein the memory layer includes a high resistance layer which includes tellurium (Te) as the chief component among anion components and is formed on the first electrode side; and an ion source layer which includes at least one kind of metal element and at least one kind of chalcogen element among tellurium (Te), sulfur (S) and selenium (Se) and is formed on the second electrode side.
US08710479B2 Semiconductor devices having multi-width isolation layer structures
According to example embodiments, there is provided a semiconductor device including a substrate and an isolation layer structure. The substrate includes an active region having an upper active pattern and a lower active pattern on the upper active pattern. The active region has a first aspect ratio larger than about 13:1 and a second aspect ratio smaller than about 13:1. The first aspect ratio is defined as a ratio of a sum of heights of the upper active pattern and the lower active pattern with respect to a width of the upper active pattern. The second aspect ratio is defined as a ratio of the sum of the heights of the upper active pattern and the lower active pattern with respect to a width of the lower active pattern. The isolation layer structure is adjacent to the active region.
US08710477B1 Radiation protective garment with forced ventilation and method
A radiation protective garment having three layers including an inner layer having a plurality of apertures defined therein, a radiation protective layer and an outer layer, such garment having internal stays for supporting the garment's weight above the shoulders of the wearer with such weight resting on the wearer's waist/pelvic area, with the structure and method including an air movement device disposed on the exterior of the garment for directing an air flow through an air entry aperture formed in the outer layer and radiation protective layer with such air flow then passing through the plurality of apertures formed in the inner layer to cool the wearer of the garment. The air movement device and method can also include an air cooling device.
US08710473B2 Droplet generation and detection device, and droplet control device
A droplet generation and detection device may include: a droplet generation unit for outputting a charged droplet; at least one droplet sensor including a magnetic circuit including a coil configured of an electrically conductive material, the magnetic circuit being disposed such that the charged droplet passes around the magnetic circuit, and a current detection unit for detecting current flowing in the coil and outputting a detection signal; and a signal processing circuit for detecting the charged droplet based on the detection signal.
US08710472B2 Target output device and extreme ultraviolet light source apparatus
A target output device may include: a main body for storing a target material; a nozzle unit, connected to the main body, for outputting the target material as a target; an electrode unit provided so as to face the nozzle unit; a voltage control unit that applies predetermined voltage between the electrode unit and the target material to generate electrostatic force therebetween for pulling out the target material through the nozzle unit; a pressure control unit that applies predetermined pressure to the target material; and an output control unit that causes the target to be outputted through the nozzle unit by controlling signal output timing of each of a first timing signal and a second timing signal, the first timing signal causing the voltage control unit to apply the predetermined voltage between the target material and the electrode unit at first timing, and the second timing signal causing the pressure control unit to apply the predetermined pressure to the target material at second timing.
US08710470B2 Wavelength and power scalable waveguiding-based infrared laser system
An infrared laser source system that combines laser emitters through an optical waveguide. Each emitter is coupled to a port of the optical waveguide and the waveguided signal is combined to provide a spatially combined laser source with a single common exit aperture. The materials used for waveguiding allow the propagation of wavelengths in the infrared. The system can be used for combining multiple laser emitters to increase the total output power and/or for combination of multiple emitters with different wavelength for increased spectral coverage out of the laser system.
US08710467B2 Multi charged particle beam writing apparatus and multi charged particle beam writing method
In accordance with one aspect of this invention, a multi charged particle beam writing apparatus includes an aperture member, in which a plurality of openings are formed, configured to form multi-beams by making portions of the charged particle beam pass through the plurality of openings; a plurality of blankers configured to perform blanking-deflect regarding beams corresponding to the multi-beams; a writing processing control unit configured to control writing processing with a plurality of beams having passed through different openings among the plurality of openings being irradiated on the target object at a predetermined control grid interval; and a dose controlling unit configured to variably control a dose of a beam associated with deviation according to a deviation amount when an interval between the plurality of beams irradiated is deviated from the control grid interval.
US08710465B2 Pattern data conversion for lithography system
A method and system for exposing a target according to pattern data in a maskless lithography machine generating a plurality of exposure beamlets for exposing the target. The method comprises providing input pattern data in a vector format, rendering and quantizing the input pattern data to generate intermediate pattern data, and re-sampling and re-quantizing the intermediate pattern data to generate output pattern data. The output pattern data is supplied to the lithography machine, and the beamlets generated by the lithography machine are modulated on the basis of the output pattern data.
US08710464B2 Specimen preparation device, and control method in specimen preparation device
Separation and the like of an excised specimen from a specimen are automatically performed. Marks for improving image recognition accuracy are provided in a region that becomes an excised specimen in a specimen and a region other than said region, or in a transfer means for transferring the excised specimen and a specimen holder capable of holding the excised specimen, and the relative movement of the excised specimen and the specimen, and the like are recognized with high accuracy by image recognition. In the sampling of a minute specimen using a focused ion beam, the detection of an end point of processing for separation of the excised specimen from the specimen, and the like are automatically performed. Thus, for example, unmanned specimen excision becomes possible, and preparation of a lot of specimens becomes possible.
US08710463B1 Illuminating waveguide fabrication method
A method for fabricating waveguides comprising nano-apertures for illumination of sub-resolution exposures is presented. In particular, the end of a waveguide, such as an optical fiber, is coated with a material, such as an electrically conducting metal or a semiconductor. This material is then selectively removed through a lithography process using photon exposure to create an aperture in the material at the end of the waveguide. Under normal conditions, if the aperture is smaller than the wavelength of light in the waveguide, there is little or no transmission through the aperture. However, with the appropriate selection of materials and aperture geometry, for example a metallic conducting coating and sub-wavelength “C-shaped” or “bow-tie” aperture, enhancement of the transmission of light through the aperture can be achieved, allowing effective illumination of sub-resolution spots using the nano-aperture. This can be used in a nanolithography system incorporating waveguide illuminators as well.
US08710461B2 Assembly for providing an aligned stack of two or more modules and a lithography system or a microscopy system comprising such an assembly
The invention relates to an assembly, preferably for use in a lithography system or a microscopy system, for providing an accurately aligned stack of two or more modules in a stacking direction. Each of the two or more modules comprises three support members. The assembly comprises a frame comprising three planar alignment surfaces which extend in the stacking direction and which are angularly off-set with respect to each other. In addition each of the three support members of each one of the two or more modules, when arranged in said frame, abuts against a corresponding one of the three alignment surfaces. The frame is provided with an opening between two of the three planar alignment surfaces for inserting a module in the assembly, said two planar alignment surfaces on either side of the opening are arranged at least partially facing said opening.
US08710455B2 Charged-particle beam lens
A charged-particle beam lens includes a plate-like anode, a plate-like cathode, and an insulator disposed between the anode and the cathode. The insulator, the anode, and the cathode have a passage portion through which a charged beam is passed. A high-resistance film is formed on an inner side of the insulator, the inner side forming the passage portion, or an outermost side of insulator, and the anode and the cathode are electrically connected together via the high-resistance film. The anode and the high-resistance film, and the cathode and the high-resistance film each contain the same metal or semiconductor element and have different resistant values. This suppresses electric field concentration due to an increase in resistance and poor connection at the interface between the anode and the cathode and the high-resistance film or at the interface between the electroconductive film and the high-resistance film, thus suppressing generation of discharge.
US08710454B2 High gradient lens for charged particle beam
Methods and devices enable shaping of a charged particle beam. A dynamically adjustable electric lens includes a series of alternating a series of alternating layers of insulators and conductors with a hollow center. The series of alternating layers when stacked together form a high gradient insulator (HGI) tube to allow propagation of the charged particle beam through the hollow center of the HGI tube. A plurality of transmission lines are connected to a plurality of sections of the HGI tube, and one or more voltage sources are provided to supply an adjustable voltage value to each transmission line of the plurality of transmission lines. By changing the voltage values supplied to each section of the HGI tube, any desired electric field can be established across the HGI tube. This way various functionalities including focusing, defocusing, acceleration, deceleration, intensity modulation and others can be effectuated on a time varying basis.
US08710453B2 Charged particle source with multiple selectable particle emitters
A charged particle source for a focused particle beam system such as a transmission electron microscope (TEM), scanning transmission electron microscope (STEM), scanning electron microscope (SEM), or focused ion beam (FIB) system is disclosed. The source employs a multiplicity of independently-addressable emitters within a small region which can be centered on the axis of the charged particle system. All of the emitters may be individually controlled to enable emission from one or more tips simultaneously. A mode with only one emitter activated corresponds to high brightness, while modes with multiple emitters simultaneously activated provides high angular intensities with lower brightness. Source lifetimes can be extended through sequential use of single emitters. A combined mechanical and electrical alignment procedure for all emitters is described.
US08710450B2 Tri-material dual-species neutron spectrometer
A system of the present invention is capable of detecting, imaging and measuring both neutrons and gamma rays. The system has three parallel plates each containing a plurality of detectors. Each plate has different detectors. The first plate has plastic scintillation detectors. The second plate has a plurality of stilbene scintillation detectors having pulse-shape discrimination (PSD) properties. The third plate has a plurality of inorganic detectors. The first plate and the second plate are used in connection to detect, image and measure neutrons. The second plate and the third plate are used in connection to detect, image, and measure gamma rays.
US08710449B2 Plasma panel based radiation detector
A position-sensitive radiation counting detector includes a first and a second substrate. A gas is contained within the gap between the substrates. A photocathode layer is coupled to the first substrate and faces the second substrate. A first electrode is coupled to the second substrate and a second electrode is electrically coupled to the first electrode. A first impedance is coupled to the first electrode and a power supply is coupled to at least one electrode. A first discharge event detector is coupled to one of the electrodes for detecting a gas discharge event in the electrode. The radiation counting detector further includes a plurality of pixels, each capable of outputting a gas discharge counting event pulse upon interaction with radiation received from the photocathode. Each gas discharge pulse is counted as having an approximately equal value.
US08710446B2 Imaging apparatus, control method thereof, and program
An imaging apparatus includes: a plurality of photoelectric converters each adapted to perform photoelectric conversion in response to receiving light, and output an electrical signal; a holding unit adapted to hold, for each of the plurality of photoelectric converters, a correction value for correcting photoelectric conversion characteristics of the photoelectric converter; and a correction unit adapted to correct each of the electrical signals output by the plurality of photoelectric converters, using the corresponding correction values, wherein the correction unit corrects each of the electrical signals based on the correction values, which have been increased or decreased in accordance with a prescribed pixel arrangement pattern, and the imaging apparatus comprises a determination unit adapted to evaluate correction results that are based on the correction values increased or decreased in accordance with the prescribed pattern, and determine a presence of a correction error in the correction values held in the holding unit.
US08710445B2 Apparatus and method for evaluating an activity distribution, and irradiation system
The invention relates to an apparatus for evaluating an activity distribution obtained in a moved target object by a beam that is generated by an irradiation device. Said apparatus comprises: a positron emission tomograph designed to record photons generated in the target object by the beam and generate measurement data representing points of origin of the photons; a movement detection device designed to generate a movement signal representing the movement of the target object; and an evaluation unit designed to associate the points of origin of the measured photons with positions in the target object with the help of the movement signal such that three-dimensional characteristics of the activity distribution actually generated in the target object can be evaluated by means of the photons generated by the beam. The invention further relates to an irradiation system and a method in which such an apparatus is used.
US08710439B2 Charged particle beam apparatus
Provided is a charged particle beam apparatus or charged particle microscope capable of observing an observation target sample in an air atmosphere or a gas environment without making significant changes to the configuration of a conventional high vacuum charged particle microscope. In a charged particle beam apparatus configured such that a thin film (10) is used to separate a vacuum environment and an air atmosphere (or a gas environment), an attachment (121) capable of holding the thin film (10) and whose interior can be maintained at an air atmosphere or a gas environment is inserted into a vacuum chamber (7) of a high vacuum charged particle microscope. The attachment (121) is vacuum-sealed and fixed to a vacuum partition of the vacuum sample chamber. Image quality is further improved by replacing the atmosphere in the attachment with helium or a light-elemental gas that has a lower mass than atmospheric gases such as nitrogen or water vapor.
US08710436B2 Ionization device, mass spectrometer including the ionization device, and image generation system including the ionization device
An ionization device includes a support configured to support a sample, a probe configured to determine a portion of the sample to be ionized, an irradiation unit configured to emit laser light and is disposed to irradiate with the laser light a liquid bridge portion between the sample and the probe, an extract electrode configured to extract ions obtained by ionizing the sample, a liquid supply unit configured to supply a liquid to a region of the sample, and voltage application units configured to generate an electric field between a portion of the probe that is in contact with the liquid bridge portion and the extract electrode.
US08710435B2 Sample chamber for laser ablation inductively coupled plasma mass spectroscopy
An improved sample chamber for laser assisted spectroscopy integrates valve mechanisms into the sample drawer, permitting the sample chamber to automatically bypass, purge and resume flow as the sample drawer is opened and closed to insert samples for processing. Integrating valve mechanisms into the sample drawer in this manner eliminates the need for external valves to be operated to bypass, purge and resume flow, thereby increasing system throughput and reducing system complexity.
US08710434B2 Mass spectrometry device and method using ion-molecule reaction ionization
A mass spectrometer that performs ion-molecule reaction ionization and accurately performs qualitative/quantitative analysis on a sample containing multi-components for a short time is achieved without an increase in the size of the device. A plurality of ion sources (3-1 to 3-4) are connected to each other in series. A controller/analyzer (6) controls supply of a voltage from a high voltage source (7) through a discharge needle (8) to any one or more of the ion sources (3-1 to 3-4). When the plurality of ion sources (3-1 to 3-4) operates, normal APCI is performed by an ion source that is close to a sample loading unit (1), and generated ions are discharged to the outside of the ion source by a discharge electrode (9). A residual neutral molecule that is not ionized is transferred by an extraction electrode (10) to an ion source located on the side of a mass spectrometer. Even when it is difficult for an ion source located at a single stage to detect a component, the component can be detected by a combination of the ion sources (3-1 to 3-4).
US08710432B2 Dual source mass spectrometry system
In or for a dual source mass spectrometer system (10) operable in a first mode with an LC source [LC/MS] (12) and in a second mode with a GC source [GC/MS] (18). The GC source input into an ion source chamber (22) for delivering the ionized output from the GC source to the mass spectrometer, a GC source unit (18) comprising a GC interface probe (30). The GC source unit is retractably mounted to take the GC interface probe from a retracted position in which it is disengaged from the mass spectrometer of the system, (whereby the system is operable in said first LC/MS mode) into a deployed position in which the GC interface probe is operatively connected to the ion source chamber of the mass spectrometer (whereby the system is operable in said second GC/MS mode). The GC interface probe has docking means (42, 46, 48) for releasable engagement with complementary docking means provided by a housing of the ion source chamber to allow operation with a GC ion source chamber in the second mode.
US08710423B2 Image pickup device with a plurality of pixels and an AD conversion circuit
An image pickup device may include an image pickup unit in which a plurality of pixels are arranged, the plurality of pixels outputting a first and second pixel signals, and an analog-to-digital (AD) conversion circuit that outputs a digital difference signal. The AD conversion circuit may include a delay circuit that has a plurality of delay devices, the delay circuit outputting a first and second lower phase signals, a latch unit that latches the first and second lower phase signals, a lower counting unit that generates a first and second lower count signals, the lower counting unit generating and outputting a lower difference signal, and a higher counting unit that generates a higher difference signal, subtracts a predetermined number from the higher difference signal, or adds the predetermined number to the higher difference signal, and outputs the higher difference signal after subtraction or addition processing.
US08710421B2 Solid-state imaging device and imaging apparatus
A solid-state imaging device according to the present invention includes a pixel cell having a photodiode, a charge detection unit, an amplification transistor, a transfer transistor which transfers a signal charge to the charge detection unit in accordance with a transfer control signal, and a reset transistor which resets the charge detection unit in accordance with a reset control signal; a signal processing circuit which receives a pixel reset potential of the charge detection unit, and a pixel signal potential corresponding to the signal charge transferred to the charge detection unit; a charge pump circuit which steps up or steps down a potential of at least one of the transfer control signal and the reset control signal in accordance with a driving clock signal; and a control logic circuit which causes the driving clock signal to be stopped during a pixel reading time period.
US08710419B2 High dynamic range pixel structure
A pixel structure comprises a photo-sensitive element PPD for generating charges in response to light and a charge conversion element FD. A first transfer gate TX is connected between the photo-sensitive element PPD and the charge conversion element. A charge storage element PG is connected to the photo-sensitive element PPD. The charge storage element PG has a higher charge storage density than the photo-sensitive element PPD. The charge storage element PG is located on the photo-sensitive element PPD side of the first transfer gate TX and is arranged to collect charges generated by the photo-sensitive element PPD during an integration period. The charge storage element can be a photo gate, photodiode or capacitor. Arrangements are provided with, and without, a potential barrier between the photo-sensitive element PPD and the charge storage element PG.
US08710417B2 Stable light source device
A stable light source device is provided with a light source, a pinhole constricting optical flux emitted from the light source, a first integrating element inside which optical flux from the pinhole is multiply reflected, a light detection sensor monitoring a light amount, a control section controlling the light source on the basis of the light amount monitored by the light detection sensor and making the light amount consistent, an aperture formed in the first integrating element and emitting light outside the first integrating element, a diffusion-transmission member disposed at a light emission side of the aperture, a branching section disposed at a light emission side of the diffusion-transmission member and branching incident light towards plural light emission portions, and neutral density filters provided at the light emission portions, transmitted light amounts thereof respectively differing such that light amounts at the light emission portions are respectively different.
US08710415B2 Lighting device with device for regulating the illumination according to the luminance of the illumination field and corresponding use
The device (1) for lighting an illumination field (2) includes a light source (5), adapted to illuminate the illumination field (2), a device for regulating the illuminance from the light source (7). The device for regulating the illuminance from the light source (7) is adapted to receive an actual luminance signal representing the actual luminance of the lighting field (2) and control the illuminance from the light source (5) as a function of the actual luminance signal and a luminance set point signal. The lighting device (1) comprises a device for determining the actual luminance (11) adapted to determine the actual luminance of the lighting field (2) and to create and transmit the actual luminance signal to the device for regulating the illumination from the light source (7).Application to devices for illuminating operating fields.
US08710414B2 Rotating sunlight/light beam for fractional/beneficial use
The Sun, a giant laser, being 93 million miles from Earth, is the greatest point-source light in existence. The light-rays emanating from the Sun as photons traveling 93 million miles in distance, is a nearly-perfectly collimated light form. The intent of this invention is to capture those highly collimated light-ray photons and gently redirect them in several directions, while greatly compounding them, and keeping them in a highly parallel form to be delivered to the final destination. This invention is supported by a second source light, in which the Sun's location is replaced by the HID element in a newly invented form for capturing and compounding the light-rays. Compounding for both sources can be as great as desired.
US08710413B2 Optical analysis device, optical analysis method and computer program for optical analysis
There is provided an optical analysis technique enabling the detection of the condition or characteristic of a particle to be observed contained at a low concentration or number density in a sample solution. The inventive optical analysis technique uses an optical system capable of detecting light from a micro region in a solution, such as an optical system of a confocal microscope or a multiphoton microscope, to detect the light from the light-emitting particle to be observed while moving the position of the micro region in the sample solution (while scanning the inside of the sample solution with the micro region), thereby detecting individually the light-emitting particle crossing the inside of the micro region to enable the counting of the light-emitting particle(s) or the acquisition of the information on the concentration or number density of the light-emitting particle.
US08710397B2 Electrode for a plasma torch
An electrode for a plasma torch and a plasma torch head comprise an elongated electrode holder with a front surface on the electrode tip and a hole arranged in the electrode tip along a central axis through the electrode holder, and an emission insert arranged in the hole such that an emission surface of the emission insert is exposed. The emission surface is set back relative to the front surface of the electrode holder. An electrode for a plasma torch and a plasma torch head also comprise an electrode socket and an electrode holder, the electrode socket having an internal thread, and the electrode holder having an external thread and an O-ring in a groove in the cylindrical outer surface. The electrode holder is screwed together with the electrode socket via the external thread and the internal thread and sealed by means of the O-ring.
US08710393B2 Method of bonding metallic members, and metallic bonded body
By respectively abutting first and second outer diameter sections of a second metallic member against first and second inner diameter sections of a first metallic member, and energizing the first metallic member and the second metallic member using a pair of electrodes while pressurizing both metallic members in an axial direction thereof, a first junction where the first inner diameter section and the first outer diameter section are bonded and a second junction where the second inner diameter section and the second outer diameter section are bonded are formed between both metallic members, and a gap in which the metals do not come into contact with each other is formed between both junctions over a predetermined axial length. Accordingly, a high joint strength can be secured using less energy for bonding.
US08710390B2 Interlock apparatus for vacuum circuit breaker
An interlock apparatus for a vacuum circuit breaker includes a pair of hindering units configured to allow or prevent a motion of a breaker body to an connection position or a disconnection position; a pair of first interlock bars configured to change a width of the breaker body to be greater than the predetermined spacing distance of the hindering units in a first position where the first interlock bars are spaced from each other, or to change the width of the breaker body to be smaller than the predetermined spacing distance; and a second interlock bar vertically movable to an up position and a down position, the up position for moving the first interlock bars to the first position, and the down position for returning the first interlock bars to the second position by being separated from the interposed position between the first interlock bars.
US08710389B2 Vacuum switch and electrode assembly therefor
An electrode assembly is provided for a vacuum switch, including a vacuum envelope, a fixed contact assembly including a fixed contact disposed within the vacuum envelope, and a movable contact assembly including a movable contact disposed within the vacuum envelope and movable between a closed position in electrical contact with the fixed contact and an open position spaced apart from the fixed contact. The electrode assembly includes at least one electrode bundle having a plurality of electrodes coupled to a corresponding one of the fixed contact assembly and the movable contact assembly. The electrodes extend from at or about a corresponding one of the fixed contact and the movable contact toward the closer of the first end of the vacuum envelope and the second end of the vacuum envelope.
US08710385B2 Reliability fire pressure switch
A down-hole fire pressure switch is disclosed having improved operating characteristics including improved reliability and higher pressure resistance.
US08710382B2 Keypad assembly for electronic devices
A key pad assembly includes a keypad and a shading sheet. The keypad includes a board and a plurality of supporting portions formed on and protruding from the board, each supporting portion has an end surface for pressing and sidewalls surrounding and connecting to the end surface and the board. The shading plate includes a base sheet attaching to and shading the board and a plurality of shading cavities formed on the base sheet, the shading cavities surrounds and shades the corresponding supporting portions while allowing light to pass through the end surface.
US08710375B2 Display device substrate, method for manufacturing the same, display device, method for forming multi-layer wiring, and multi-layer wiring substrate
The present invention provides a display device substrate that enables microfabrication of lines and is capable of reducing faulty connection and enhancing the reliability of display devices including the display device substrate, a method for producing the display device substrate, a display device, a method for forming a multilayer wiring structure, and a multilayer wiring board. The display substrate of the present invention includes an insulating substrate and includes at least one of a terminal area having a connection terminal to be connected to an external connection component and a peripheral circuit region having a peripheral circuit formed thereon, on the insulating substrate. The display device substrate includes an organic insulating film and an inorganic insulating film, and the inorganic insulating film is stacked directly on and above the organic insulating film such that an organic-inorganic film stacked body is formed.
US08710374B2 Printed wiring board with reinforced insulation layer and manufacturing method thereof
A printed wiring board is manufactured by a method in which a core substrate having an insulation substrate and a conductive circuit formed on the insulation substrate is provided. An inner insulation layer is formed on the core substrate, and a surface of the inner insulation layer is treated to form a roughened portion on the surface. An outer insulation layer including a reinforcing material is formed on the surface of the inner insulation layer having the roughened portion.
US08710372B2 Device to facilitate moving an electrical cable of an electric vehicle charging station and method of providing the same
Some embodiments include a device to facilitate moving an electrical cable of an electric vehicle charging station. Other embodiments of related systems and methods are also disclosed.
US08710371B2 Bend resistant cable
A bend resistant cable includes a stranded wire including a plurality of child stranded conductors each having a plurality of strands, the plurality of child stranded conductors being circumferentially disposed and stranded. A stranding direction of the plurality of strands of the child stranded conductors circumferentially adjacent to each other is different from each other.
US08710369B2 Horizontal cable manager
A cable manager includes a trough, defining a footprint, and a door. At least one side of the trough is formed from a plurality of finger-like projections extending forwardly from a support member. At least two of the projections include a hinge boss disposed at an outer end thereof and extending inwardly. At least one edge of the door has a hinge structure disposed therealong that includes an outer arm and an inner arm defining a hinge channel therebetween. The hinge bosses are disposed within the hinge channel such that the door is rotatably attached along at least one of its longitudinal edges to the trough. The door is rotatable to an open state without extending beyond the footprint of the trough.
US08710366B2 Terminal box assembly
A terminal assembly has a terminal box including a divider extending in a front-to-rear direction of the terminal box and dividing the terminal box into a first chamber and a second chamber, the first chamber being larger than the second chamber and a terminal block mounted in the first chamber configured to have a plurality of contacts mounted onto the terminal block.
US08710364B2 Multiple angle bend for high-voltage lines
The bend of the invention makes it possible to provide a great number of different direction changes in the configuration of gas-insulated high-voltage lines.The bend mainly uses an angled ferrule (11). associated with two angular rings (12), placed between the angled ferrule (11) and a straight section (13) of the line, each angular ring (12) forming a change of direction defined by a determined angular offset angle (α) between said two joining surfaces. Thus, the use of an angled ferrule (11), in combination with one or two angular rings (12) makes it possible to obtain the four angular offsets of 70°, 80°, 100°, 110°. These same angular rings (12), used with an angled ferrule having an angle of 140° makes it possible to obtain the angles of 120°, 130°, 150°, and 160°.Use for constructing gas-insulated high-voltage lines.
US08710363B2 Dye-sensitized solar cell, dye-sensitized solar cell module, and coating liquid for forming electrolyte layer
An object of the present invention is to provide a dye-sensitized solar cell comprising a solid electrolyte and having excellent thermostability, which has the excellent feature of retaining liquid so as to prevent an electrolyte solution from being exuded even under high temperature or pressurized conditions, and a dye-sensitized solar cell module using the same. Such dye-sensitized solar cell comprises: an electrode base material 10; a porous semiconductor layer 20 formed on the electrode base material 10 having a porous surface carrying a sensitized dye; a counter electrode 40, which is disposed so as to face the porous semiconductor layer 20; and an electrolyte layer 30 comprising a redox pair and cationic cellulose or a derivative thereof, which is formed between the electrode base material 10 and the counter electrode 40.
US08710357B2 Transparent conductive structure
A transparent conductive structure is disclosed, including a first transparent conductive layer and a second transparent conductive layer on the first transparent conductive layer, wherein the first transparent conductive layer has a textured structure including wave crests and wave troughs and the second layer has an asymmetric thickness on inclined planes of the wave crests or the wave troughs of the first transparent conductive layer.
US08710356B2 Photoelectric conversion module
A photoelectric conversion module, for example, a dye-sensitized solar cell, for generating electrical energy from light is disclosed. In one aspect, the module includes a light-receiving substrate, a first functional layer formed on the light receiving substrate and a first bus electrode formed on at least two edge regions of the light receiving substrate. The photoelectric conversion module may have a counter substrate having a second functional layer formed thereon and a second bus electrode formed on at least two edges of the counter substrate an electrolyte layer disposed between the first functional layer and the second functional layer. A first connection line may be electrically connected to the second bus electrode and a second connection line may be electrically connected to the first bus electrode is disclosed. Further, the first bus electrode may be electrically connected to the first functional layer and the second functional layer may be electrically connected to the second bus electrode.
US08710352B2 Concentrating photovoltaic system module with actuator control
An arrangement for use in a concentrating photovoltaic system including a module having plurality of solar cells are mounted on a first side, and a plurality of lenses are mounted on a second side. Drive motors are coupled to the module to enable it to track the sun during the course of the day. A sun sensor is attached to the housing to detect a predetermined timed pattern of light blocking to the sensor and for generating a control signal to the drive motors in response to such detection for moving the module to a predetermined fixed position.
US08710345B2 Performance apparatus, a method of controlling the performance apparatus and a program recording medium
A performance apparatus prevents a player's unintentional motion from being detected as a playing, thereby generating no sound, when the player plays a virtual musical instrument. The performance apparatus has a player operated stick, a ROM for storing layout information, which correlates plural areas set on a virtual plane to tone colors, respectively, an image sensor for continuously taking pickup images of a subject including the stick, and a CPU for calculating a difference image between a first pickup image and a second pickup image taken prior to the first pickup image and for detecting an operating position of the stick based on the difference image. A CPU refers to the ROM to specify a tone color correlated to the area corresponding to the operating position detected by the CPU. And a sound source generates a tone of the tone color specified by the CPU.
US08710344B2 Piano keyboard with key touch point detection
The present invention relates to an application for an iPad or similar electronic device having a touch screen. It provides a sensor to detect the touch position along the vertical length of a key as it is being engaged and to then offset the notes of the keyboard accordingly. This enables several octaves of notes to be accessed on the touch screen at any given time with a key size that is sufficient for real time playing. This arrangement further enables the incremental offset of the note pitches in a continuous manner to emulate the “string stretching” and “fretless neck” techniques of guitars and basses. The invention further provides a screen overlay to physically define the borders and travel of the on-screen keys thereby emulating the tactile feedback of real keys, again in a manner sufficient for real time playing.
US08710337B1 Tone enhancement bracket
A tone enhancement bracket retrofitted to a guitar. The bracket includes an upper plate, a lower plate, and at least one cylinder mounted therebetween. The bracket may be installed in plurality to the body of a guitar. Once installed, the bracket or brackets increase the velocity of sound produced by the guitar, thereby enhancing and improving the tonal effects thereof. The bracket also allows the retrofitted guitar to produce notes possessing a higher degree of clarity, definition, and sustenance with respect to the pre-retrofitted guitar.
US08710331B2 Plants and seeds of hybrid corn variety CH367819
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH367819. The invention thus relates to the plants, seeds and tissue cultures of the variety CH367819, and to methods for producing a corn plant produced by crossing a corn plant of variety CH367819 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH367819.
US08710330B2 Plants and seeds of hybrid corn variety CH979678
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH979678. The invention thus relates to the plants, seeds and tissue cultures of the variety CH979678, and to methods for producing a corn plant produced by crossing a corn plant of variety CH979678 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH979678.
US08710328B2 Variety corn line LIC7382
The present invention provides an inbred corn line designated LIC7382, methods for producing a corn plant by crossing plants of the inbred line LIC7382 with plants of another corn plant. The invention further encompasses all parts of inbred corn line LIC7382, including culturable cells. Additionally provided herein are methods for introducing transgenes into inbred corn line LIC7382, and plants produced according to these methods.
US08710323B1 Maize hybrid X08B748
A novel maize variety designated X08B748 and seed, plants and plant parts thereof, produced by crossing Pioneer Hi-Bred International, Inc. proprietary inbred maize varieties. Methods for producing a maize plant that comprises crossing hybrid maize variety X08B748 with another maize plant. Methods for producing a maize plant containing in its genetic material one or more traits introgressed into X08B748 through backcross conversion and/or transformation, and to the maize seed, plant and plant part produced thereby. This invention relates to the maize variety X08B748, the seed, the plant produced from the seed, and variants, mutants, and minor modifications of maize variety X08B748. This invention further relates to methods for producing maize varieties derived from maize variety X08B748.
US08710321B2 Plants and seeds of corn variety CV335662
According to the invention, there is provided seed and plants of the corn variety designated CV335662. The invention thus relates to the plants, seeds and tissue cultures of the variety CV335662, and to methods for producing a corn plant produced by crossing a corn plant of variety CV335662 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV335662 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV335662.
US08710319B2 Plants and seeds of corn variety CV092363
According to the invention, there is provided seed and plants of the corn variety designated CV092363. The invention thus relates to the plants, seeds and tissue cultures of the variety CV092363, and to methods for producing a corn plant produced by crossing a corn plant of variety CV092363 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV092363 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV092363.
US08710315B2 Plants and seeds of hybrid corn variety CH717591
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH717591. The invention thus relates to the plants, seeds and tissue cultures of the variety CH717591, and to methods for producing a corn plant produced by crossing a corn plant of variety CH717591 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH717591.
US08710312B1 Maize variety hybrid X03A157
A novel maize variety designated X03A157 and seed, plants and plant parts thereof, produced by crossing Pioneer Hi-Bred International, Inc. proprietary inbred maize varieties. Methods for producing a maize plant that comprises crossing hybrid maize variety X03A157 with another maize plant. Methods for producing a maize plant containing in its genetic material one or more traits introgressed into X03A157 through backcross conversion and/or transformation, and to the maize seed, plant and plant part produced thereby. This invention relates to the maize variety X03A157, the seed, the plant produced from the seed, and variants, mutants, and minor modifications of maize variety X03A157. This invention further is relates to methods for producing maize varieties derived from maize variety X03A157.
US08710310B2 Soybean cultivar CL0911444
The present invention is in the field of soybean variety CL0911444 breeding and development. The present invention particularly relates to the soybean variety CL0911444 and its progeny, and methods of making CL0911444.
US08710309B2 Soybean cultivar BY0811143
The present invention is in the field of soybean variety BY0811143 breeding and development. The present invention particularly relates to the soybean variety BY0811143 and its progeny, and methods of making BY0811143.
US08710306B2 Soybean cultivar CL1013665
The present invention is in the field of soybean variety CL1013665 breeding and development. The present invention particularly relates to the soybean variety CL1013665 and its progeny, and methods of making CL1013665.
US08710304B1 Soybean variety XB51J12
A novel soybean variety, designated XB51J12 is provided. Also provided are the seeds of soybean variety XB51J12, cells from soybean variety XB51J12, plants of soybean XB51J12, and plant parts of soybean variety XB51J12. Methods provided include producing a soybean plant by crossing soybean variety XB51J12 with another soybean plant, methods for introgressing a transgenic trait, a mutant trait, and/or a native trait into soybean variety XB51J12, methods for producing other soybean varieties or plant parts derived from soybean variety XB51J12, and methods of characterizing soybean variety XB51J12. Soybean seed, cells, plants, germplasm, breeding lines, varieties, and plant parts produced by these methods and/or derived from soybean variety XB51J12 are further provided.
US08710300B2 Expression of dirigent gene EG261 and its orthologs and paralogs enhances pathogen resistance in plants
The present invention provides for the identification and use of EG261, homologs of EG261, orthologs of EG261, and paralogs of EG261. Modulation of the expression of EG261 in plants can alter pathogen tolerance and/or resistance e.g. expression of EG261 can confer soybeans with enhanced tolerance and/or resistance to soybean cyst nematodes.
US08710298B2 Heat stable variants of plant adenosine diphosphate glucose pyrophosphorylase small subunit
The subject invention concerns polynucleotides encoding a small subunit of plant AGP having one or more mutations in the amino acid sequence wherein the mutation confers increased heat stability to the expressed AGP enzyme. Mutations in the N-terminus of the small subunit of heat labile plant AGP results in AGP enzymes that are significantly more heat stable compared to wild type AGP in that the mutant AGP retains significant levels of enzymatic activity following exposure to heat treatment. In one embodiment, the polynucleotide encodes a mutant small subunit of maize AGP. The subject invention also concerns methods for providing a plant with increased resistance to heat conditions. Plants with heat labile AGP can be transformed with a polynucleotide of the present invention. The subject invention also concerns these transformed plants and transgenic progeny thereof. The subject invention also concerns mutant polypeptides encoded by polynucleotides of the present invention.
US08710297B2 Glycosyltransferase promoter
A glycosyltransferase promoter and a recombinant nucleic acid, plant cell and transgenic plant containing thereof are provided. The promoter includes a nucleotide sequence as set forth in any one of SEQ ID NOs: 1˜7, a fragment having at least 10 contiguous bases of any one of SEQ ID NOs: 1˜7 or a combination thereof, or a nucleotide sequence having 90% or more identity to the nucleotide sequence as set forth in any one of SEQ ID NOs: 1˜7.
US08710292B2 Dehydratable hygiene articles
A dehydratable hygiene article contains a lower layer which is impermeable to aqueous liquids, an upper layer which is permeable to aqueous liquids, a core disposed between the lower and upper layer and absorbs aqueous liquids, and a trigger system containing a superabsorbent material which is capable of absorbing aqueous liquids with the formation of a hydrogel. After the trigger system has been activated, at least some of the aqueous liquid absorbed can be released from the superabsorbent material when it is present as a hydrogel. The hygiene article contains at most 50 wt. % of a further superabsorbent material. Based on the total weight of superabsorbent material and further superabsorbent material, which would be capable, after the trigger system has been activated, of at least partly absorbing, with the formation of hydrogel, the aqueous liquid which is at least partly released.
US08710291B2 Translucent internal graphics enhancement
Methods for forming a translucent window on the inner surface of a liquid impermeable breathable film outer cover of an absorbent product, such as a diaper, for viewing a water dispersible ink to indicate when an insult has occurred are disclosed. Additionally, absorbent products having a translucent window and a water dispersible ink are disclosed.
US08710290B2 Wound dressing with a discontinuous contact layer surface
A therapeutic device for promoting the healing of a wound in a mammal is disclosed. An exemplary device comprises a permeable structure having a plurality of depressions formed in a surface thereof. In use, the surface having the depressions is disposed adjacent a surface of the wound. A method of manufacturing a therapeutic device for promoting the healing of a wound in a mammal comprising the steps of providing a permeable substrate, and forming a plurality of depressions into a surface of the permeable substrate to provide the therapeutic device. A method of treating a wound comprises: providing a permeable structure comprising a plurality of randomly disposed fibers and having i) a plurality of wound surface contact elements disposed between end portions of the structure, and ii) a plurality of voids defined by the contact elements; and applying the permeable structure to at least one surface of the wound.
US08710289B2 Wound dressings
A dressing for covering a wound of a patient comprises a sheet member adapted to be adhered to the patient's skin in use to provide an airtight seal around the wound, wherein the skin-adhesion is provided by a hydrogel layer 10 on the skin-facing face of the sheet member, wherein the sheet member defines in use a substantially enclosed space 5 above the wound, and wherein a plurality of mutually spaced-apart flutter valves formed by holes 5 in a thin film 13 overlying an upper face of a layer 12 of the sheet member permit one-way air, blood and/or other fluid flow communication from the space 5 above the wound to the exterior of the dressing.
US08710288B2 Process to increase selectivity to ethylene in oxygenates-to-olefins conversions
A process for converting an oxygenate-containing feedstock to a product comprising olefins comprises including in the oxygenate-containing feedstock an amount of ammonia. The presence of the ammonia increases the product's ratio of ethylene to propylene.
US08710283B2 Isoselective polymerization of epoxides
The present invention provides novel bimetallic complexes and methods of using the same in the isoselective polymerization of epoxides. The invention also provides methods of kinetic resolution of epoxides. The invention further provides polyethers with high enantiomeric excess that are useful in applications ranging from consumer goods to materials.
US08710275B2 Catalysts and process for producing aldehydes
Modification of a unique supramolecular assembly of a pyridylphosphine ligand and a metal centered porphyrin complex is shown to give unprecedented selectivities to branched aldehydes via rhodium catalyzed hydroformylation of propylene and 1-octene. Use of magnesium in the porphyrin center provides the highest reported concentrations of iso-butyraldehyde and 2-methyl-octanal.
US08710273B2 Method for isomerisation of hop alpha-acids using heterogeneous alkaline earth metal based catalysts
The invention relates to a process for the production of iso-alpha-acids starting from hop alpha-acids in which an hop alpha-acid containing feed is contacted with a heterogeneous alkaline earth metal based catalyst, that essentially does not dissolve in the alpha-acid containing feed or in the iso-alpha-acid product phase, either in solvent-free conditions or in the presence of water, carbon dioxide, or an organic solvent or a mixture thereof. The resulting mixture is subjected to a temperature of at least 293 K, preferably under an inert atmosphere, for a time sufficient to effect high conversion of the alpha-acid reactant into the iso-alpha-acid product. The molar ratio of alpha-acid to earth alkaline metal (Mg, Ca, Sr, Ba) varies preferably between 0.2 and 20. After the isomerization process, the heterogeneous alkaline earth metal based catalyst can be quantitatively separated from the iso-alpha-acid product phase by liquid-solid separation techniques.
US08710271B2 Process for the production of an acylation catalyst
The present invention relates to a process for the preparation of a zeolitic material having a BEA framework structure comprising the steps of: (i) providing one or more zeolitic materials having a BEA framework structure, wherein the BEA framework structure comprises YO2 and X2O3, wherein Y is a tetravalent element, and X is a trivalent element; (ii) subjecting the one or more zeolitic materials provided in step (i) to a procedure for removing at least a portion of X, preferably tetrahedrally coordinated X, from the BEA framework structure; wherein the Y:X molar ratios of the one or more zeolitic materials provided in step (i) are respectively comprised in the range of from 1 to 50.
US08710267B2 Process for preparing MDA via the stage of the aminal
The invention relates to a process for preparing methylenediphenyldiamine (MDA), comprising the following steps a) to c): a) converting formaldehyde and aniline to aminal b) removing water from the aminal obtained in step a) to establish a water content of 0 to 5% by weight based on the aminal, and c) adding an acidic catalyst to the aminal having a water content of 0 to 5% by weight, which comprises using formaldehyde in step a) in the form of highly concentrated formaldehyde with a CH2O content of >50% by weight and preparing the formaldehyde by oxidative dehydrogenation from methanol.
US08710265B2 Switchable solvents and methods of use thereof
A solvent that reversibly converts from a nonionic liquid mixture to an ionic liquid upon contact with a selected trigger, e.g., contact with CO2, is described. In preferred embodiments, the ionic solvent is readily converted back to the nonionic liquid mixture. The nonionic liquid mixture includes an amidine or guanidine or both, and water, alcohol, or a combination thereof. Single component amine solvents that reversibly convert between ionic and non-ionic states are also described. Some embodiments require increased pressure to convert; others convert at 1 atmosphere.
US08710263B2 Organic semiconductor compound, semiconductor device, solar cell and producing method of organic semiconductor compound
There is provided a compound or the like which can become a material in which many functions are controllable. A first aspect of the present invention lies in an organic semiconductor compound, characterized in that an organic molecule which becomes a donor is formed by being salt-formed with an inorganic acid or an inorganic base and self assembly is accomplished. According to this configuration, there can be obtained an organic semiconductor compound by a simple technique. A second aspect of the present invention lies in an organic compound, characterized in that a compound containing a tetrathiafulvalene affinity region in a framework thereof and having a protic acid functional group is formed by being induced to a salt with ammonia or a salt with hydroxyamine. According to this configuration, there can be obtained an organic compound in which many functions are controllable.
US08710259B2 Method for producing vinyl acetate monomer
The invention relates to a method for producing vinyl acetate in a heterogeneously catalyzed, continuous gas-phase process by reacting ethylene with acetic acid and oxygen in a reactor, and a) separating the product gas flow essentially containing ethylene, vinyl acetate, acetic acid, water, carbon dioxide, and other inert gases, and b) feeding a circulating gas flow containing ethylene and CO2 back into the reactor, c) the circulating gas flow being compressed in a circulating gas compressor before being fed back into the reactor, and d) a partial flow of the circulating gas is branched off on the suction side or the pressure side of the circulating gas compressor and is fed to a CO2 scrubbing process, and e) is scrubbed in a water scrubber before the CO2 scrubbing process, characterized in that f) after the CO2 scrubbing process, the partial flow, together with ethylene as a propellant, is fed, by means of a jet compressor, to the circulating gas on the pressure side of the circulating gas compressor and downstream of the point where the partial flow is removed for CO2 scrubbing, and/or g) the bottom product from the water scrubber is fed directly to the pre-dehydration column.
US08710256B2 Process for the preparation of protected L-alanine derivatives
The present invention is directed to a novel process for the preparation of protected L-alanine derivatives, useful as intermediates in the synthesis of compounds useful as mu/delta opioid modulators.
US08710255B2 Sitagliptin intermediate compounds, preparation methods and uses thereof
Sitagliptin intermediate compounds of formula (f) and methods of preparation and use thereof are disclosed. Compounds of formula (f) are prepared by the following steps: compounds of formula (a) are subjected to electrophilic reaction with benzyl halides to form compounds of formula (b), which then react with compounds of formula (i) to form novel compounds of formula (e). Gignard agents formed from 2,4,5-trifluoro bromobenzene and magnesium metal react with compounds of formula (e) to afford compounds of formula (f), which are novel intermediates for the preparation of Sitagliptin intermediates of formula (g). Compounds of formula (f) are subjected to reduction by Pd/C, debenzylation, substitution of protecting group to form compounds of formula (g). Compounds of formula (a), (b), (i), (e), (f), and (g) have the following structures, in which R is protecting group of carboxyl and R2 is (substituted) hydrocarbyl.
US08710252B2 Hepodxilin analog enantiomers
The present invention relates to enantiomeric forms of hepoxilin analogs of Formula I-VIII, pharmaceutical compositions thereof, a method for the separation of said enantiomeric forms of hepoxilin analogs comprising applying said hepoxilin to a chiral phase HPLC column and eluting said hepoxilin with an alkane and alcohol solvent mixture. Said enantiomeric forms of hepoxilin analogs of Formula I-VIII were found to be useful in controlling the biological effects of PPAR mediated transcriptional control for the treatment of diseases such as cancer, thromboxane-mediated diseases and for modulating intracellular calcium concentration.
US08710251B2 Vapor phase decarbonylation process
A process is provided for the synthesis of furan and related compounds by vapor-phase decarbonylation of furfural and derivatives, using a palladium/metal aluminate catalyst. The use of such catalysts, which are inherently less acidic than alumina, results in improved lifetime and high productivity. The compounds so produced can be used as starting materials for industrial chemicals for use as pharmaceuticals, herbicides, stabilizers, and polymers such as polyether ester elastomers and polyurethane elastomers.
US08710250B2 Conversion of 5-(chloromethyl)-2-furaldehyde into 5-methyl-2-furoic acid and derivatives thereof
The present invention concerns the synthesis of 5-methyl-2-furoic acid, including ester, amide, and thioester derivatives of such from 5-(chloromethyl)-2-furaldehyde (CMF). The molecules so prepared are useful as intermediates for pharmaceutical, food, and fragrance molecules; as well as fuel or fuel additives.
US08710248B2 (−)-epigallocatechin gallate derivatives for inhibiting proteasome
A method of reducing tumor cell growth, the method including administering an effective amount of a compound having the formula:
US08710243B2 Estrogen receptor ligands
The invention provides a compound of formula(I) or a pharmaceutically acceptable ester, amide, solvate or salt thereof, including a salt of such an ester or amide, and a solvate of such an ester, amide or salt. The invention also provides the use of such compounds in the treatment or prophylaxis of a condition associated with a disease or disorder associated with estrogen receptor activity. Formula(I) wherein R1, R2, R3, R4, R5, R6, R7, R8, R9 and R10 are as defined in the specification.
US08710241B2 Crystalline form of zofenopril calcium
The present invention relates to a novel anhydrous crystalline form of zofenopril calcium of formula (I), chemically known as (4S)-1-[(2S)-3-(benzoylthio)-2-methylpropionyl]-4-(phenylthio)-L-proline calcium salt or hemi-calcium salt. The present invention further relates to a process for the preparation of the new crystalline form of zofenopril calcium, its use in pharmaceutical compositions and the use of the new crystalline form and compositions in the treatment of hypertension and various other diseases.
US08710240B2 1,3-diiodohydantoin compound and production method thereof
The present invention provides a means which can inhibit release of I2 in production or storage of 1,3-diiodohydantoin compound, and thereby solve decrease in purity of the compound and various problems caused by I2. The present invention provides a production method for 1,3-diiodohydantoin compound comprising a step to prepare a wet body containing a 1,3-diiodohydantoin compound, and (1) a step to dry the wet body by contacting the wet body with heated gas or (2) a step to lyophilize the wet body, a storage method for 1,3-diiodohydantoin compound comprising a step to store a 1,3-diiodohydantoin compound under a temperature condition of 15° C. or lower, and a 1,3-diiodohydantoin compound wherein content of released I2 is 1% by mass or less.
US08710239B2 Process for preparing synthetic intermediates of peripherally-selective inhibitors of dopamine-β-hydroxylase involving catalytic asymmetric hydrogenation
A process for preparing the S or R enantiomer of a compound of formula A, the process comprising subjecting a compound of formula B to asymmetric hydrogenation in the presence of a chiral catalyst and a source of hydrogen, wherein X is CH2, oxygen or sulphur; R1, R2 and R3 are the same or different and signify hydrogens, halogens, alkyl, alkyloxy, hydroxy, nitro, alkylcarbonylamino, alkylamino or dialkylamino group; and R4 is alkyl or aryl, wherein the term alkyl means hydrocarbon chains, straight or branched, containing from one to six carbon atoms, optionally substituted by aryl, alkoxy, halogen, alkoxycarbonyl or hydroxycarbonyl groups; the term aryl means a phenyl or naphthyl group, optionally substituted by alkyloxy, halogen or nitro group; and the term halogen means fluorine, chlorine, bromine or iodine.
US08710237B2 Small molecule inhibitors of kynurenine-3-monooxygenase
The present invention relates to compounds of formula Ia or Ib below and their tautomers and/or pharmaceutically acceptable salts and compositions and methods of uses thereof.
US08710235B2 Organic electroluminescence device
A compound is represented by the following formula (I): wherein N represents a nitrogen atom; C represents a carbon atom; Pt represents a platinum atom; Z1, Z4, Z5, and Z8 represent a carbon atom or a nitrogen atom; Z2, Z3, Z6, and Z7 represent a carbon atom, a nitrogen atom, an oxygen atom or a sulfur atom; Z11 and Z16 represent a carbon atom or a nitrogen atom; Z12, Z13, Z14, Z15, Z17, Z18, Z19, and Z20 represent a carbon atom, a nitrogen atom, an oxygen atom, or a sulfur atom; Y1 and Y2 represent a single bond, an oxygen atom, a sulfur atom, a nitrogen atom; A11 represents a divalent linking group; B1 and B2 represent a single bond or a divalent linking group.
US08710233B2 Vanilloid receptor ligands and use thereof for the production of pharmaceutical preparations
The present invention relates to novel vanilloid receptor ligands, to a process for the production thereof, to pharmaceutical preparations containing these compounds and to the use of these compounds for the production of pharmaceutical preparations.
US08710232B2 Imidazole derivatives used as TAFIa inhibitors
The present invention relates to compounds of formula (I) which are inhibitors of the activated thrombin-activatable fibrinolysis inhibitor. The compounds of formula (I) are suited for producing medicaments for the prevention and treatment of diseases accompanied by thromboses, embolisms, hypercoagulability or fibrotic changes.
US08710231B2 Inhibitor of casein kinase 1delta and casein kinase 1E
There is provided a novel oxazolone derivative having inhibitory activity against casein kinase 1δ and casein kinase 1ε. In addition, the present inhibitor inhibits casein kinase 1δ and casein kinase 1ε, and thus there is also provided a pharmaceutical agent useful for the treatment and/or prevention of diseases, with the pathological conditions of which the activation mechanism of casein kinase 1δ or casein kinase 1ε is associated. There is further provided a pharmaceutical agent useful for the treatment of, particularly, circadian rhythm disorder (including sleep disorder), central neurodegenerative disease, and cancer. An inhibitor of casein kinase 1δ and casein kinase 1ε comprising, as an active ingredient, an oxazolone derivative represented by the following general formula (1), a salt thereof, a solvate thereof, or a hydrate thereof: wherein X represents a halogen atom which is fluorine, chlorine, bromine or iodine.
US08710228B2 Cycloalkylamine substituted isoquinoline derivatives
The invention relates to 6-substituted isoquinoline derivatives of the formula (I) useful for the treatment and/or prevention of diseases associated with Rho-kinase and/or Rho-kinase mediated phosphorylation of myosin light chain phosphatase, and compositions containing such compounds.
US08710225B2 Thiocyanato or isothiocyanato substituted naphthalene diimide and rylene diimide compounds and their use as n-type semiconductors
Disclosed are thiocyanato or isothiocyanato substituted naphthalene diimide and rylene diimide compounds according to formula (I), use of these compounds as n-type semiconductors, methods of preparing these compounds, as well as various compositions, composites, and devices that incorporate these compounds.
US08710224B2 Heterocyclic compounds as CCR2B antagonists
Compounds of formula (I) Q-L-W—C(═X)—Z—P wherein Q is an amine of the formula —N(R1)(R2); L is an alkyl or heterocyclyl-alkyl linker; W is a 6- or 7-membered aliphatic ring comprising ring atoms Y1 and Y2 which are linked to groups L and C(X) respectively and Y1 and Y2 are independently selected from N and C; X is O, N, N—CN or S; Z is NR3; P is an optionally substituted monocyclic or bicyclic aryl or heteroaryl group; and pharmaceutically acceptable salts or solvates thereof, are useful in the treatment of C—C chemokine mediated conditions.
US08710205B2 Transcription factor
The present invention relates to a transcription factor found in filamentous fungi, especially in Aspergillii, DNA sequences coding for said factor, its transformation into and expression in fungal host organisms, and the use of said factor in such hosts for increasing the expression of a polypeptide of interest being produced by said host.
US08710203B2 Therapeutic human anti-IL-1R1 monoclonal antibody
Antibodies that interact with interleukin-1 receptor type 1 (IL-1R1) are described. Methods of treating IL-1 mediated diseases by administering a pharmaceutically effective amount of antibodies to IL-1R1 are described. Methods of detecting the amount of IL-1R1 in a sample using antibodies to IL-1R1 are described.
US08710200B2 Engineered nucleic acids encoding a modified erythropoietin and their expression
Provided are formulations, compositions and methods for delivering biological moieties such as modified nucleic acids into cells to modulate protein expression. Such compositions and methods include the delivery of biological moieties, and are useful for production of proteins.
US08710199B2 Signal activated molecular delivery
Provided herein are signal activatable molecular constructs for enzyme-assisted delivery of molecules and related components, such as a sensor domain, compositions, methods and systems.
US08710198B2 Caprazene as a novel compound and derivatives thereof, and caprazol as a novel compound and derivatives thereof
Caprazene is provided which is the compound represented by the following formula (I) wherein Me stands for methyl group, and a 5″-N-alkoxycarbonyl or 5″-N-aralkyloxycarbonyl derivative thereof, and wherein said compound has the 1H-NMR and 13C-NMR data as set forth in Table 15.
US08710197B2 High pressure refolding of protein aggregates and inclusion bodies
The present disclosure provides an effective method for the refolding of denatured proteins in solution so that properly folded, biologically active protein in solution is recovered in high yield. The refolding takes place at pressures between about 0.25 kbar to about 3.5 kbar, advantageously at about 1.5 kbar to about 3 kbar. Typically a chaotropic agent is present at a concentration which is not effective for denaturing protein at atmospheric pressure, and optionally, oxidation-reduction reagents can be incorporated in the refolding solution so that native intramolecular disulfide bonds can be formed where that is desired. The method is applicable to substantially all proteins, especially after solubilization and/or denaturation of insoluble protein aggregates, inclusion bodies, or abnormal oligomeric (soluble) aggregates.
US08710195B2 Fluorescent proteins
A fluorescent protein (bFP) having chemiluminescence activity is a complex composed of the apoprotein of a calcium-binding photoprotein, coelenteramid or an analog thereof, and calcium ions or divalent or trivalent ions that can be substituted for the calcium ions. In the complex, the ratio of the number of molecules of the apoprotein to that of the coelenteramid is 1:1 and the ratio of the number of molecules of the apoprotein to that of the divalent or trivalent ions is 1:1 to 1:4. The fluorescent protein is used as a marker because it catalyzes luminescence of coelenterazine and has fluorescence capability. Removal of calcium ions etc. from this fluorescent protein (bFP) having luminescence activity provides a novel fluorescent protein (gFP). Mixing this gFP with the coelenterazine provides a calcium-binding photoprotein, which emit light instantaneously, enabling use as a marker.
US08710193B2 Antibody recognizing turn structure in amyloid β
Provided is a therapeutic method exclusively targeting an amyloid β protein (Aβ) having a specific turn structure of Aβ. Specifically provided is an antibody which specifically recognizes an amyloid β having a turn structure at amino acids positions 22 and 23. Also provided are a medicinal composition comprising, as the active ingredient, an antibody specifically recognizing a toxic conformer of amyloid β, an assay kit for a toxic conformer of amyloid β, a diagnostic for Alzheimer's disease, etc.
US08710192B2 PCSK9 antagonists
The present invention provides antibody antagonists against proprotein convertase subtilisin/kexin type 9a (“PCSK9”) and methods of using such antibodies.
US08710189B2 Anti-FGFR3 antibodies and methods using same
The invention provides FGFR3 antibodies, and compositions comprising and methods of using these antibodies.
US08710188B2 Factor IXa crystals, related complexes and methods
The present invention relates to factor IXa complexes and crystals thereof as well as methods for identifying inhibitors of factor IXa.
US08710179B2 Compositions and methods for concentrating and depleting microorganisms
Methods for concentrating microorganisms in a liquid sample or depleting microorganisms therefrom, utilizing polymeric compounds having affinity to microbial cells that are composed of a plurality of positively charged amino acid residues and two or more hydrophobic moieties are disclosed. Also disclosed are devices for concentrating and methods for detection and identification microorganisms in a liquid sample.
US08710178B2 Macrocyclic cysteine protease inhibitors and compositions thereof
The present invention provides a novel class of macrocyclic compounds, which are useful as cysteine protease inhibitors. Also provided are novel intermediates and methods of preparing the compounds. The invention also provides pharmaceutical compositions comprising the compounds. The compounds and compositions are useful in methods of treating or preventing one or more diseases associated with cysteine protease activity, particularly those associated with calpain activity.
US08710169B2 Method of treating a preceramic material
A method of treating a preceramic material includes modifying a moiety Si—O—R of a polycarbosilane material with at least one metal (M), where Si is silicon, O is oxygen and R includes an alkyl or aryl moiety, by reaction to substitute R with M to produce a preceramic polycarbosilane or polycarbosiloxane material that includes a moiety Si—O-M.
US08710165B2 Multibranched polymer and method for producing the same
A multibranched polymer represented by the following formula (I), and a method for producing the multibranched polymer: where A represents an organic group having 3 or more branched chains, Xa represents a linking group containing any atom of Groups 14 to 16 in the Periodic Table, Y represents a functional group having a structure capable of having an active halogen atom, Q represents an arm moiety having a repeating unit derived from a polymerizable unsaturated bond, m1 represents any integer of 1 to the number of branched chains of A, m2 represents the number of branched chains of A, n1 represents an integer of 0 or 1 or more, and Ra represents an organic group that is not associated with the polymerization reaction.
US08710163B2 Pyridyldiamido transition metal complexes, production and use thereof
Pyridyldiamido transition metal complexes are disclosed for use in alkene polymerization.
US08710154B2 Non-aqueous solution process for the preparation of cross-linked polymers
The present invention discloses a non-aqueous solution process for making cross-linked polymers. The cross-linked polymers are characterized by a repeat unit that includes at least one amino group. Amino groups can be part of the polymer backbone (e.g., polyethyleneimine), pendant from the polymer backbone (e.g., polyallylamine), or both types of amino groups can exist within the same repeat unit and/or polymer. The present invention discloses more specifically non-aqueous solution cross-linking to prepare Sevelamer and its salts, Colesevelam and its salts.
US08710149B2 Thermoplastic fluoropolymer composition
This invention pertains to a thermoplastic fluoropolymer composition comprising: at least one thermoplastic hydrogen-containing fluoropolymer [polymer (A)]; and from 0.1 to 10% by weight of (A) of at least one per(halo)fluoropolymer chosen among tetrafluoroethylene (TFE) copolymers having a dynamic viscosity at a shear rate of 1 rad sec−1 of less than 10 Pa sec at a temperature of 280° C. [polymer (B)]. The addition of a TFE copolymer [polymer (B)] of low melt viscosity advantageously enables improvement of rheological behavior of thermoplastic hydrogen-containing fluoropolymer (A), making possible processing in less severe conditions and yielding final parts with outstanding surface aspect and good homogeneity and coherency.Still objects of the invention are a process for manufacturing said thermoplastic fluoropolymer composition and the articles, such as shaped articles, films, cable sheathing, pipes, flexible pipes, hollow bodies comprising said thermoplastic fluoropolymer composition.
US08710146B2 Particles with high surface charge for crystalline colloidal arrays
A method of preparing a dispersion of polymeric particles is disclosed. Monomers are emulsion polymerized in the presence of an ionic monomer to produce highly charged polymeric particles. At least 50% of the ionic monomer in the dispersion is bound to the polymeric particles.
US08710145B2 Thermoplastic resin composition
Provided is a plant-derived resin composite material which has a sufficiently fast crystallization rate and excellent moldability and heat resistance by using a thermoplastic resin composition containing a plant-derived thermoplastic resin and an organic crystal nucleating agent which is composed of one or more low molecular weight compounds comprising at least two polar groups in the molecule, a spacing between any two of the polar groups being 34±4 angstroms.
US08710142B2 Low-staining room temperature curable coating composition
The present invention provides a low-staining room temperature curable coating composition having an excellent low-staining property and recoatability, and comprising (A) a hydroxyl-containing resin, (B) an isocyanate based curing agent, (C) an organosilicate based hydrophilizing agent, (D) a recoatability modifier and (E) an organic solvent, in which the recoatability modifier (D) comprises at least (D1) an amide-containing polymer and (D2) a silane coupling agent.
US08710139B2 Acrylic pressure-sensitive adhesive composition, acrylic pressure-sensitive adhesive layer, and acrylic pressure-sensitive adhesive tape
An acrylic pressure-sensitive adhesive composition contains 100 parts by mass of an acrylic polymer (A), 1 to 70 parts by mass of a (meth)acrylic polymer (B) having a weight average molecular weight (Mw) of 1000≦Mw<30000, and 1 to 50 parts by mass of a hydrogenated tackifying resin (C). The (meth)acrylic polymer (B) is a polymer having a weight average molecular weight smaller than that of the acrylic polymer (A) as a pressure-sensitive adhesive composition, and functions as a tackifying resin along with the hydrogenated tackifying resin (C).
US08710138B2 Etch resistant clearcoat
A crosslinked coating composition formed from polyurethane polyols and blocked or unblocked polyisocyanates. The polyisocyanates, in particular, comprise at least bis(isocyanatomethyl)cyclohexane. The coating is substantially free from ester functionality that forms a contiguous part of the network backbone. A clearcoat formed from the described components and having a majority of urethane bonds is very resistant to environmental etch damage.
US08710136B2 Carbon blacks having low PAH amounts and methods of making same
Carbon blacks, such as rubber blacks, having a low PAH concentration are described. Furthermore, elastomeric or rubber compositions containing the carbon black of the present invention are further described, as well as methods of making carbon black having a low PAH concentration.
US08710134B2 (Meth)acrylic resin composition, imidized (meth)acrylic resin composition, and film obtained by molding them
The present invention provides a (meth)acrylic resin composition comprising a carboxylic acid group produced by heating a (meth)acrylic-based resin (C), wherein the (meth)acrylic-based resin (C) is obtained by polymerizing a monomer mixture (A) containing 80 to 99 wt % of a linear alkyl (meth)acrylate and 1 to 20 wt % of tertiary-butyl (meth)acrylate, in the presence of an acrylic acid ester-based crosslinked elastic particle (B) that is obtained by mixing and polymerizing 0.5 to 5 parts by weight of a polyfunctional monomer having at least two non-conjugated double bonds per molecule, with respect to 100 parts by weight of a monomer mixture containing 50 to 100 wt % of an alkyl acrylate monomer and 0 to 50 wt % of an alkyl methacrylate monomer. This composition can be used to produce a film having excellent chemical resistance (in particular, sun-screening agent resistance).
US08710133B2 Stable aqueous composite compositions
This invention provides a method for forming a stable aqueous composition including composite particles by admixing TiO2 particles and adsorbing emulsion polymer particles at or below the critical composite ratio to an equilibrated viscosity range of from 200 cps to 4000 cps. Also provided is a method for forming a stable aqueous composition including composite particles by admixing TiO2 particles and adsorbing emulsion polymer particles at a mixing intensity of greater than 2 hp/kgal. A method for providing a coating including composite particles is also included.
US08710131B2 Stabilized redispersible polymer powder compositions
A water redispersible polymer powder may be produced by drying an aqueous mixture of a water insoluble film-forming polymer and a colloidal stabilizer comprising a chelating agent to obtain a water redispersible polymer powder, where the amount of chelating agent is at least 0.1% by weight, preferably at least 1% by weight, most preferably at least 3% by weight, based upon the weight of the water insoluble film-forming polymer. Dispersions or polymer compositions containing a chelating agent as a colloidal stabilizer exhibit an unexpectedly low viscosity which facilitates spray drying and permits use of high solids content dispersions with low pressure spray drying to increase production efficiency. The chelating agents are stable at high pH and so high pH spray dryable compositions containing them as a colloidal stabilizer may sit or be stored for prolonged periods of time prior to spray drying without loss of effectiveness of the colloidal stabilizer.
US08710129B2 Polycarbonate resin composition and formed product thereof
In a polycarbonate resin composition containing a polycarbonate resin and a polycarbosilane compound, the use of the polycarbosilane compound modifies the surface properties of the polycarbonate resin composition without adversely affecting the intrinsic characteristics of the polycarbonate resin, such as transparency, heat resistance, and mechanical properties, e.g., impact resistance. A polycarbonate resin composition containing 100 parts by mass of a polycarbonate resin, 0.001 to 1 part by mass of a metal salt compound, and 0.005 to 5 parts by mass of a polycarbosilane compound has significantly improved flame resistance and high transparency and causes markedly reduced outgassing and mold fouling, without losing impact resistance and heat resistance.
US08710116B2 Inkjet ink
This invention pertains to an ink for inkjet printing, in particular to an aqueous ink comprising a self-dispersing pigment colorant and certain soluble polymers which enhance print quality without compromising jetting performance.
US08710113B2 Dental composition, kit of parts and use thereof
The invention relates to a dental composition comprising a) a compound (A) with the following features: only one backbone unit (U) with 6 to 20 carbon atoms, at least 6 carbon atoms thereof forming an aromatic or an aliphatic cyclic moiety, the remaining carbon atoms either being part of substituents pending from the cyclic moiety or being part of bridging groups to spacer units, wherein one or more of the remaining carbon atoms can be replaced by an oxygen atom, the backbone unit not comprising a bisphenol structure and halogen atoms, one or two unit(s) (S) being connected to the backbone unit (U) via an ether linkage, at least one unit (S) comprising a —CH2-CH2-CH2-CH2-O—CH2-CH(Q)-OG moiety or a —CH2-CH(OG)-CH2-OM moiety or a mixture of these two types of moieties within one unit (S), with G comprising at least one polymerizable moiety and an urethane moiety, the urethane moiety acting as connecting element to unit(s) (S), and M comprising at least one group selected from acroyl, methacroyl, aryl, mixtures and combinations thereof, Q comprising at least one group selected from hydrogen, methyl, phenyl, phenoxymethyl, mixtures and combinations thereof, and with the proviso that onto the backbone unit (U) at least two substituents are attached, each bearing at least one group G, wherein in the case where only one unit (S) is present, the group G not being part of said unit (S) is located in the further substituent pending from unit (U), b) a filler (B) and c) an initiator (C).
US08710112B2 Method of manufacturing acrylic film, and acrylic film
The present invention relates to a method for manufacturing an acrylic film and to an acrylic film manufactured by same, the method comprising: a first step of coating an acryl-based resin syrup; and a second step of hardening the acryl-based resin syrup coated in the first step by irradiating ultraviolet light. According to the present invention, an excellent film having low thickness deviation and thickness precision may be provided. In addition, defects in the film such as fish eyes and uneven distribution of physical properties in the vertical and horizontal directions may be kept to a minimum. Consequently, the film according to the present invention can be applied to various industrial sheets such as protective films for various semiconductor processes, pressure-sensitive adhesives or adhesives for optical products and pressure-sensitive adhesives or adhesives for electronic components, or to laminated products.
US08710111B2 Porous polymeric resins
Porous polymeric resins, reaction mixtures and methods that can be used to prepare the porous polymeric resins, and uses of the porous polymeric resin are described. More specifically, the polymeric resins typically have a hierarchical porous structure plus reactive groups that can be used to interact with or react with a variety of different target compounds. The reactive groups can be selected from an acidic group or a salt thereof, an amino group or salt thereof, a hydroxyl group, an azlactone group, a glycidyl group, or a combination thereof.
US08710107B2 System and method for converting biomass to ethanol via syngas
A method and apparatus for synthesizing ethanol using synthetic routes via synthesis gas are disclosed. A method and apparatus for gasifying biomass, such as biomass, in a steam gasifier that employs a fluidized bed and heating using hot flue gases from the combustion of synthesis gas is described. Methods and apparatus for converting synthesis gas into ethanol are also disclosed, using stepwise catalytic reactions to convert the carbon monoxide and hydrogen into ethanol using catalysts including iridium acetate.
US08710105B2 Method of preparing enteric hard capsule and enteric hard capsule prepared thereby
A method of preparing enteric hard capsules, and an enteric hard capsule prepared by the method. The method may include: dissolving an enteric base material, a capsule forming aid, and a neutralizing agent in water at room temperature to prepare an aqueous composition; heating the aqueous composition to a first temperature that is higher than a gelation start temperature of the aqueous composition; cooling the heated aqueous composition to a second temperature that is lower than the gelation start temperature; immersing a mold pin heated to a third temperature that is higher than the gelation start temperature into the aqueous composition; removing the mold pin from the aqueous composition to obtain a film coated on the mold pin; maintaining the film on the mold pin at a fourth temperature that is higher than the gelation start temperature for a first time period to fix the film onto the mold pin; and drying the fixed film at a fifth temperature for a second time period to obtain a capsule shell.
US08710103B2 Synergistic preparations based on mixtures of glycerol ether with aromatic alcohol for controlling mycobacteria
A method of preserving a cosmetic product by adding to the cosmetic product a concentrate, which may be in anhydrous form, which includes (a) 1-(2-ethylhexyl) glycerol ether, and (b) one or more aromatic alcohols, (a) and (b) having a weight ratio of (a)/(b) is less than or equal to 0.15.
US08710101B2 Co-crystals of agomelatine, a process for there preparation and pharmaceutical compositions containing them
New co-crystal of agomelatine composed of: agomelatine, or N-[2-(7-methoxy-1-naphthyl)ethyl]acetamide of formula (I) and an organic acid. Medicinal products containing the same which are useful in treating disorders of the melatoninergic system.
US08710099B2 Treatment of cancer using the sodium salt of a benzoic acid derivative
The present invention provides a method of treating cancer using the sodium salt of a benzoic acid derivative, alone or in combination with standard treatments such as chemotherapy and radiotherapy.
US08710091B2 Imidazolidine-2,4-dione derivatives, and use thereof as a cancer drug
The present application relates to novel imidazolidine-2,4-dione derivatives of the general formula (I), where R1, R2, R3, R4, X, and Y are variables. Said materials have an antiproliferative activity. They are particularly useful for treating pathological conditions and diseases, such as cancer, that are linked to abnormal cell proliferation. The invention also relates to pharmaceutical compositions containing said materials and to the use thereof for preparing a drug.
US08710090B2 Azole derivatives, methods for producing the same, intermediate thereof, agro-horticultural agents
An azole derivative according to the invention is represented by Formula (I), wherein each of Ra and Rb denotes a hydrogen atom, or a C1-C6 alkyl group, a C2-C6 alkenyl group or a C2-C6 alkynyl group; Ra and Rb may be substituted with Xa or Xb which is a halogen atom; each of na and nb denotes 0 or the number of Xa- or Xb-substituted hydrogen atoms among the hydrogen atoms in Ra or Rb; each Y denotes a halogen atom, a C1-C4 alkyl group, a C1-C4 haloalkyl group, a C1-C4 alkoxy group, a C1-C4 haloalkoxy group, a phenyl group, a cyano group or a nitro group; m denotes 0 to 5; and A denotes a nitrogen atom or a methyne group. As a result, an azole derivative contained as an active ingredient in an agro-horticultural agent having an excellent controlling effect on diseases can be provided.
US08710085B2 Pyrrolidine-1,2-dicarboxamide derivatives
The present invention relates to a compound of formula (I) or a salt thereof, wherein the substituents are as defined in the description, to compositions and use of the compounds in the treatment of diseases ameloriated by inhibition of phosphatidylinositol 3-kinase.
US08710084B2 Tetrazolyl oxime derivative, salt thereof, and plant disease control agent
The present invention provide a tetrazolyl oxime derivative represented by formula (1) (in formula (1), X represents a halogen atom or the like, n1 represents an integer of 0 to 5, A represents a tetrazolyl group, Het represents a group represented by formula (4) or the like, in formula (4), R represents a halogen atom or the like, n2 represents an integer of 0 to 3, Z represents a group represented by formula (a), in formula (a), R50-R53 represents a hydrogen atom or the like, n3 represents an integer of 0 to 2, n4 represents 1 or 2, n5 represents 0 or 1) or salt thereof, and a plant disease control agent containing the same as an active ingredient.
US08710082B2 Benzimidazole inhibition of biofilm formation
The various embodiments relate to a compound comprising: wherein R1, R2, R3, R4, R5, R6, R7, R8, R9, R10, R11, and R12 are each independently hydrogen, alkyl, alkenyl, alkynyl, aryl, heteroaryl, heterocyclyl, alkoxy, aryloxy, heteroaryloxy, alkoxycarbonyl, aryloxycarbonyl, heteroaryloxycarbonyl, alkylsulfonyl, arylsulfonyl, aminosulfonyl, alkylcarbonyl, arylcarbonyl, heteroarylcarbonyl, acyl, acylamino, amino, alkylamino, dialkylamino, arylamino, carboxylate (—CO2H), cyano, nitro, —CONH2, heteroarylamino, oxime, alkyloxime, aryloxime, amino-oxime or halogen when A, B, C, D, E, F, G, H, I, J, K, L, M, N, O, P, and Q are carbon, and X is O, NR (where R is hydrogen, alkyl, aryl or acyl), S, SO (sulfoxide), SO2 (sulfone), or C(R)2 (where R=H, alkyl, aryl, alkenyl, alkynyl, or acyl); or wherein R1, R2, R3, R4, R5, R6, R7, R8, R9, R10, R11, and R12 are each independently hydrogen or hydroxyl when A, B, C, D, E, F, G, H, I, J, K, L, M, N, O, P, and Q are each independently nitrogen, and compositions, combinations, pharmaceutically acceptable salts, esters, and prodrugs thereof. The invention also relates to methods of using such compounds and compositions.
US08710081B2 5-lipoxygenase-activating protein (FLAP) inhibitors
Described herein are compounds and pharmaceutical compositions containing such compounds, which modulate the activity of 5-lipoxygenase-activating protein (FLAP). Also described herein are methods of using such FLAP modulators, alone and in combination with other compounds, for treating respiratory, cardiovascular, and other leukotriene-dependent or leukotriene mediated conditions or diseases.
US08710077B2 Cycloalkylamine substituted isoquinoline and isoquinolinone derivatives
The invention relates to 6-substituted isoquinoline and isoquinolinone derivatives of the formula (I) useful for the treatment and/or prevention of diseases associated with Rho-kinase and/or Rho-kinase mediated phosphorylation of myosin light chain phosphatase, and compositions containing such compounds.
US08710071B2 Compounds, compositions and methods for reducing lipid levels
The present technology relates to compounds of Formulas (V) and methods of making and using such compounds. Methods of use include prevention and treatment of hyperlipidemia, hypercholesterolemia, hypertriglyceridemia, hepatic steatosis, and metabolic syndrome. Compounds disclosed herein also lower total cholesterol, LDL-cholesterol, and triglycerides and increase hepatic LDL receptor expression, inhibit PCSK9 expression, and activate AMP-activated protein kinase.
US08710069B2 Opioid-nornicotine codrugs combinations for pain management
The present invention relates to the field of pain management, and more particularly to synergistic codrugs comprising an opioid and nornicotine which have been combined to form a single chemical codrug entity. When the codrug is administered it produces a synergistic analgesic response to pain.
US08710066B2 Aqueous composition with agents to inhibit water evaporation
Provided is an aqueous composition contained in a container, maintaining an excellent water-evaporation-inhibiting effect even when an alkali metal salt or the like is contained in the aqueous composition, and having excellent long-term stability. The aqueous composition contained in a container includes the following components (A) to (D): (A) a polyoxyethylene alkyl or alkenyl ether having an alkyl or alkenyl group having 20 to 24 carbon atoms and an average molar number of ethylene oxide added of 1.5 to 4, (B) a water-soluble polymer, (C) a nonionic surfactant having an ethylene oxide group (but excluding component (A)), and (D) water.
US08710060B2 Piperazinyl methyl phenyl cyclohexane compound
To find a therapeutic and/or prophylactic agent for gastrointestinal disorders and so on, the agent having excellent activity and high safety. A compound represented by the following general formula (I) or a pharmacologically acceptable salt thereof. In the formula, A represents an optionally substituted phenylene group; B represents an optionally substituted 4- to 10-membered heterocyclic group, an optionally substituted C6-C10 aryl group, or an optionally substituted C3-C10 cycloalkyl group; R1 represents a hydrogen atom or a C1-C3 alkyl group; R2 represents a hydrogen atom or a C1-C3 alkyl group; R3 represents a C1-C6 alkyl group, a C3-C10 cycloalkyl group, a C1-C3 alkoxy C1-C3 alkyl group, or a C1-C3 hydroxyalkyl group; R4 represents a hydrogen atom, a C1-C6 alkyl group, or a halogen atom; n represents an integer of 1 to 4; and X represents methylene, —O—, —NH—, —N(C1-C3 alkyl)-, —C(═O)—, —S—, —S(O)—, —S(O2)—, or a single bond.
US08710058B2 Polymorphic forms of 3-(1-{3-[5-(1-methyl-piperidin-4-ylmethoxy)-pyrimidin-2-yl]-benzyl}-6-oxo-1,6-dihydro-pyridazin-3-yl)-benzonitrile hydrochloride salt and processes of manufacturing thereof
Compounds of the present invention concern 3-(1-{3-[5-(1-methyl-piperidin-4-ylmethoxy)-pyrimidin-2-yl]-benzyl}-6-oxo-1,6-dihydro-pyridazin-3-yl)-benzonitrile hydrochloride solvates and crystalline modifications thereof. The compound, 3-(1-{3-[5-(1-methyl-piperidin-4-ylmethoxy)-pyrimidin-2-yl]-benzyl}-6-oxo-1,6-dihydro-pyridazin-3-yl)-benzonitrile, has the following structural formula: The hydrochloride solvates and crystalline modifications thereof according to the invention are useful for treatment of physiological and/or pathophysiological conditions, such as cancer, that are caused, mediated and/or propagated by the inhibition, regulation and/or modulation of signal transduction of kinases, in particular by the inhibition of tyrosine kinases, especially Met-kinase. The present invention further relates to processes of manufacturing these crystalline modifications.
US08710053B2 Plant disease control composition and its use
A plant disease control composition comprising a carboxamide compound represented by following formula (I), wherein R1 represents a hydrogen atom or a methyl group, and R2 represents a methyl group, a difluoromethyl group or a trifluoromethyl group, and one or more QoI compounds selected from group (A) consisting of dimoxystrobin, azoxystrobin, fluoxastrobin, pyraclostrobin, kresoxim-methyl, picoxystrobin, trifloxystrobin and N-methyl-alpha-methoxyimino-2-[(2,5-dimethylphenoxy)methyl]phenylacetamide is provided by the present invention, and this composition has excellent effect for controlling a plant disease.
US08710048B2 6-O-substituted benzoxazole and benzothiazole compounds and methods of inhibiting CSF-1R signaling
Benzoxazole and benzothiazole compounds and the stereoisomers, tautomers, solvates, oxides, esters, and prodrugs thereof and pharmaceutically acceptable salts thereof are disclosed. Compositions of the compounds, either alone or in combination with at least one additional therapeutic agent, with a pharmaceutically acceptable carrier, and uses of the compounds, either alone or in combination with at least one additional therapeutic agent are also disclosed. The embodiments are useful for inhibiting cellular proliferation, inhibiting the growth and/or metathesis of tumors, treating or preventing cancer, treating or preventing degenerating bone diseases such as rheumatoid arthritis, and/or inhibiting molecules such as CSF-1R.
US08710042B2 Method for preventing wheat from mycotoxin contamination
A method of reducing the contamination amount of mycotoxin in cereals wherein one or more compounds A selected from the group consisting of ammonium salts, primary to quaternary ammonium salts, alkali metal salts, alkaline earth metal salts and polyvalent metal salts of phosphorous acid and phosphite ester are given to the cereals.
US08710041B2 Compositions and methods for lowering triglycerides in a subject on concomitant statin therapy
In various embodiments, the present invention provides compositions and methods for treating and/or preventing cardiovascular-related diseases in subject in need thereof.
US08710036B2 Carbonate and carbamate modified forms of glucocorticoids in combination with β2 adrenergic agonists
Compositions containing β2 adrenergic agonists in combination with carbonates and carbamates of the formula. and in combination with related steroid carbonates and carbamates are disclosed. The compositions are useful for treating bronchospasm, for inducing bronchodilation and for treating rhinitis, asthma, and chronic obstructive pulmonary disease (COPD) and inflammatory diseases, particularly by inhalation.
US08710034B2 Method and composition for improving skin barrier function
The invention relates to a method for improving skin lipid barrier function by applying to the skin a composition comprising effective amounts of a protease inhibitor and a cell differentiation enhancer. The composition is useful in promoting skin lipid barrier repair and maintaining the integrity of the lipid barrier. In this regard, the compositions can be used in the treatment and prevention of dry skin, and associated chrono/proto-aging conditions, in the treatment and prevention of irritation on the skin, in the treatment and prevention of UV-related damage to the skin, and in the enhancement of the retention of self-tanning.
US08710025B2 Modified and stabilized GDF propeptides and uses thereof
Modified and stabilized propeptides of Growth Differentiation Factor proteins, such as GDF-8 and Bone Morphogenetic Protein-11, are disclosed. Also disclosed are methods for making and using the modified propeptides to prevent or treat human or animal disorders in which an increase in muscle tissue would be therapeutically beneficial. Such disorders include muscle or neuromuscular disorders (such as amyotrophic lateral sclerosis, muscular dystrophy, muscle atrophy, congestive obstructive pulmonary disease, muscle wasting syndrome, sarcopenia, or cachexia), metabolic diseases or disorders (such as such as type 2 diabetes, noninsulin-dependent diabetes mellitus, hyperglycemia, or obesity), adipose tissue disorders (such as obesity), and bone degenerative diseases (such as osteoporosis).
US08710015B2 Tropoelastin derivatives
The invention relates to derivatives of tropoelastin and variants of those derivatives. The invention further provides expression products and hybrid molecules of the derivatives and variants of the invention. The invention further provides methods for the production of the derivatives, variants, expression products and hybrid molecules. Further provided are formulations, cross-linked structures and implants comprising the derivatives, variants, expression products and hybrid molecules of the invention. Further provided are uses of the derivatives, variants, expression products and hybrid molecules of the invention.
US08710014B2 Compositions and methods for inhibition of MMP13:MMP-substrate interactions
The present invention provides compounds for disrupting the binding of a matrix metalloprotease (MMP) protein to a substrate protein at an interaction site other than the protease catalytic site. In particular the inventive compounds inhibit the MMP's ability to cleave a substrate protein. In some cases the compound may prevent activation of transforming growth factor beta (TGFβ). The compounds are preferably polypeptide fragments of the hemopexin-like domain of the MMP, but may be mimetics thereof or peptides or mimetics of the portion of the MMP substrate protein to which the MMP interacts.
US08710003B2 Treatment of diabetes with milk protein hydrolysate
A milk protein hydrolysate which is preferably caseinoglycomacropeptide and/or a whey protein in a bioavailable form is used for the manufacture of a composition for the treatment or prevention of diabetes or syndrome X. The invention also relates to a method of treatment or prevention of diabetes or syndrome X utilizing such compositions, a method for assessing proglucagon gene expression and GLP-1 release by a cell line derived from an adenocarcinoma of human caecum.
US08710001B2 PEGylated, extended insulins
PEGylated, extended insulins are insulins which, compared with human insulin, has one or more extensions extended from the A1, B1, A21 and/or B30 position(s), said extension(s) consist(s) of amino acid residue(s) and wherein a PEG moiety, via a linker, is attached to one or more of the amino acid residues in the extension(s). PEG is polyethyleneglycol. Such PEGylated, extended insulins have higher bioavailability and a longer time-action profile than regular insulin and are in particular suited for pulmonary administration and can, conveniently, be used to treat diabetes.
US08710000B2 Insulin derivative
The present invention relates to novel human insulin derivatives which are soluble at physiological pH values and have a prolonged profile of action. The invention also relates to pharmaceutical compositions containing such derivatives and to methods of treating diabetes and hyperglycaemia using the insulin derivatives of the invention.
US08709991B2 Silicas and alkali metal salt compositions, detergents formed from such compositions and method of forming such compositions and detergents
The current disclosure relates to a silica-based particle containing at least approximately 5% silica by solid weight, at least approximately 15% alkali metal salt by solid weight; and at least approximately 15% water by total weight. Another embodiment of the disclosure relates to a detergent containing a silica/alkali metal salt particle and also containing at least a surfactant. Still another embodiment relates to a method of producing a silica/alkali metal salt particle. According to the method, one may combine a metal silicate and an amount of at least one acid source sufficient to form silica from the silicate and at least one alkali metal salt from the metal and acid, precipitate the silica to form precipitated silica, and, without washing the precipitated silica to remove the alkali metal salt, form a particle comprising the precipitated silica and at least approximately 15% alkali metal salt by solid weight.
US08709988B2 Lubricating oil compositions
Lubricating oil compositions having a sulfated ash content of no more than 1.0 mass %, which contain a major amount of oil of lubricating viscosity, a minor amount of calcium salicylate detergent, an amount of a magnesium-based detergent providing at least 200 ppm of magnesium, and a basic, low molecular weight, nitrogen-containing dispersant, which compositions provide improved top ring wear protection in internal combustion engines.
US08709987B2 Lubricating oil with enhanced protection against wear and corrosion
Provided is a lubricating oil composition comprising: (a) a major amount of an oil of lubricating viscosity; and (b) one or more borated alkaline earth metal alkyltoluene sulfonate detergents; wherein the lubricating oil composition comprises no more than about 0.20 wt. % of phosphorus and no more than about 0.50 wt. % of sulfur.
US08709985B2 Lubricant composition
There is disclosed a lubricant composition comprising a base oil comprising a reduced total amount of cyclobenzene as compared to another base oil. Methods of using the lubricant composition for preventing and/or reducing the deposit formation in an engine are also disclosed.
US08709982B2 Additives for oil recovery from reservoirs
The invention relates to the use of quaternary polyamines having formula (I) for the recovery of oil from reservoirs formula (I), wherein n>=1 R1 represents H, CH3, CH2CH3, CnH2n+1 R2 represents H2CHOHCH2, CH2, CH2CH═CH2 R3 represents CH3, CH2CH3, CnH2n+1 R4 represents CH2, CH2CH═CH2 when R2 and R4 are allyl group, they can jointly form aliphatic cyclic structures, the ratio between the carbon atoms and nitrogen atoms (C/N) ranges from 2 to 30.
US08709977B2 Bio-stimulant for improved plant growth and development
A bio-stimulant composition for obtaining improved plant growth, either combined or uncombined with urea and/or other agricultural compounds, as well of methods of producing and using said composition.
US08709974B2 Thermosensitive recording medium
A thermosensitive recording medium including a support, and a thermosensitive recording layer containing a leuco dye and a developer, and formed on a surface of the support, wherein the thermosensitive recording medium comprises at least any one of diiodomethyl-p-tolylsulfone and 3-iodo-2-propynyl-butyl-carbamate.
US08709969B2 Nanocatalysts structure, process for the preparation and use thereof
RuCore—Ptshell nanocatalysts with 1˜3 atomic layers of Pt-shell were developed for enhancing the catalytic activities. Uniform atomic layers of Pt were successfully deposited on the core nanoparticles with high precision. Using such nanocatalysts as the cathode of the dye-sensitized solar cell (DSSC), the efficiency of DSSC can be significantly increased. For direct methanol fuel cell (DMFC) applications, much higher performance can also be achieved by using such RuCore—Ptshell nanocatalysts and the DMFC can be operated at room temperature without the need to raise the cell temperature to above room temperature (such as 80° C.).
US08709966B2 Catalyst composition with nanometer crystallites for slurry hydrocracking
A process and apparatus is disclosed for converting heavy hydrocarbon feed into lighter hydrocarbon products. The heavy hydrocarbon feed is slurried with a catalyst comprising iron oxide and alumina to form a heavy hydrocarbon slurry and hydrocracked to produce lighter hydrocarbons. The iron sulfide crystallites have diameters in the nanometer range.
US08709965B2 Process for preventing polymerization of cracked volatile products during pyrolysis and gasification
The present invention is directed towards a process of preparing a catalyzed carbonaceous material and preventing polymerization of cracked volatile products during pyrolysis or gasification of carbonaceous materials.
US08709963B2 Molecular sieve
A molecular sieve including a basic skeleton of a molecular sieve and magnesium and phosphorus compounds as functional materials supported on the inner surface of the basic skeleton. A method of preparation of a modified molecular sieve including (1) dissolving a magnesium salt in water to obtain a magnesium salt solution; (2) dissolving phosphoric acid in water to obtain a phosphoric acid solution; (3) adding a molecular sieve to the magnesium salt solution, stirring, standing, drying for dehydration, and baking; and (4) adding a modified molecular sieve with supported magnesium compounds obtained from the step (3) to the phosphoric acid solution, stirring, standing, drying for dehydration, and baking to obtain a modified molecular sieve. The modified molecular sieve has high selectivity for ammonia nitrogen in wastewater.
US08709962B2 Anti-reductive high-frequency ceramic dielectric material sintered at low temperature and matched with copper internal electrode
Provided is an anti-reductive high-frequency ceramic dielectric material sintered at low temperature and matched with copper internal electrode, which can be used for producing multi-layer ceramic capacitor with a copper internal electrode. The ceramic dielectric material consists of main crystalline phase, modifying additive and sintering flux. The formula of the main crystalline phase is MgxBa(1-x)ZrySi(1-y)O3, wherein 0.8≦x≦0.95, 0.05≦y≦0.2. The modifying additive is one or more of MnO2, CaO, Li2O, Bi2O3 and TiO2, and the sintering flux is one or more of B2O3, SiO2, ZnO, CuO, K2O and BaO. The ceramic dielectric material meets the requirements of COG characteristics by EIA standard, has such characteristics as uniform particle size distribution, high dispersiveness, optimized molding process, eco-friendliness and excellent dielectric properties.
US08709959B2 Puncture resistant fabric
The present invention is generally directed to a nonwoven fabric having a plurality of coated fibers, the coating including silane and dialdehyde, and, in certain embodiments, further including particles.
US08709957B2 Spalling utilizing stressor layer portions
A method for spalling local areas of a base substrate utilizing at least one stressor layer portion which is located on a portion, but not all, of an uppermost surface of a base substrate. The method includes providing a base substrate having a uniform thickness and a planar uppermost surface spanning across an entirety of the base substrate. At least one stressor layer portion having a shape is formed on at least a portion, but not all, of the uppermost surface of the base substrate. Spalling is performed which removes a material layer portion from the base substrate and provides a remaining base substrate portion. The material layer portion has the shape of the at least one stressor layer portion, while the remaining base substrate portion has at least one opening located therein which correlates to the shape of the at least one stressor layer.
US08709956B2 MRAM with sidewall protection and method of fabrication
BEOL memory cells are described that include one or more sidewall protection layers on the memory device (including, for example, an MTJ element) deposited prior to interconnect via etching to prevent the formation of electrical shorts between layers. One embodiment uses a single layer sidewall protection sleeve that is deposited after the memory device has been patterned. The layer material is vertically etched down to expose the upper surface of the top electrode while leaving a residual layer of protective material surrounding the rest of the memory device. The material for the protection layer is selected to resist the etchant used to remove the first dielectric material from the via in the subsequent interconnect process. A second embodiment uses dual-layer sidewall protection in which the first layer covers the memory element is preferably an oxygen-free dielectric and the second layer protects the first layer during via etching.
US08709955B2 Pattern transfer apparatus and method for fabricating semiconductor device
A pattern transfer apparatus according to one embodiment includes a transfer region selecting part that performs operation in which when performing pattern transfer from a template provided with N transfer regions (N is an integer of 2 or larger) to a transferring substrate a plurality of times, 1 to N−1 transfer regions, which are to be used to perform the transfer to regions of the transferring substrate corresponding to part of the N transfer regions, are selected such that the number of the transfer to be performed using each of the N transfer regions is evened out.
US08709954B2 Wafer recycling method
A wafer recycling method comprises varying a temperature and pressure conditions to remove a first semiconductor layer deposited on a wafer, removing a remaining semiconductor layer on the wafer through a chemical or physical process, and washing the wafer.
US08709953B2 Pulsed plasma with low wafer temperature for ultra thin layer etches
Ultrathin material layers are plasma etched with an etch system configured for cryogenic cooling of a substrate to reduce the diffusion coefficients of foreign and intrinsic stop layer atoms (e.g., of the bombarded crystal lattice), and further configured for plasma pulsing to reduce the energy of the impinging ions with cryogenic wafer temperatures. Substrate temperatures of −50° C. or more are employed to reduce the susceptibility of a stop layer material to damage associated with ion impact. Ion energy is reduced to below the threshold where stop layer lattice atoms are displaced or ions are implanted into the bulk lattice. In embodiments, a plasma of an etchant gas having ion energies less than 10 eV are achieved through plasma pulsing, which when directed at the low temperature substrate may controllably etch ultra-thin material layers.
US08709952B2 Etching method, etching apparatus, and computer-readable recording medium
Provided is an etching method capable of etching even a silicon film that is included in a multi-layered structure by using a resist film or an organic film as a mask, and also capable of integrally etching the silicon film and a silicon oxide film disposed under the silicon film. The etching method which etches the multi-layered structure including the silicon oxide film and the silicon film formed on the silicon oxide film, includes: integrally etching the silicon film and the silicon oxide film included in the multi-layered structure by using a resist film or an organic film as an etching mask and using an etching gas containing a CH2F2 gas as an etching gas, when the silicon film and the silicon oxide film in the multi-layered structure are etched.
US08709949B2 System and method for removing oxide from a sensor clip assembly
According to embodiments of the present disclosure, a method for removing oxide includes placing a sensor chip assembly having an oxide layer formed on a portion thereof within an enclosed and controlled environment. The portion of the sensor chip assembly is exposed to a reactive gas and a UV light to result in a substantial removal of the oxide layer formed on the portion of the sensor chip assembly.
US08709935B2 Semiconductor device and method of forming interconnect structure with conductive pads having expanded interconnect surface area for enhanced interconnection properties
A semiconductor device has a substrate and first conductive pads formed over the substrate. An interconnect surface area of the first conductive pads is expanded by forming a plurality of recesses into the first conductive pads. The recesses can be an arrangement of concentric rings, arrangement of circular recesses, or arrangement of parallel linear trenches. Alternatively, the interconnect surface area of the first conductive pads is expanded by forming a second conductive pad over the first conductive pad. A semiconductor die has a plurality of interconnect structures formed over a surface of the semiconductor die. The semiconductor die is mounted to the substrate with the interconnect structures contacting the expanded interconnect surface area of the first conductive pads to increase bonding strength of the interconnect structure to the first conductive pads. A mold underfill material is deposited between the semiconductor die and substrate.
US08709932B2 Integrated circuit packaging system with interconnects and method of manufacture thereof
A method of manufacture of an integrated circuit packaging system includes: providing a carrier having a contact pad; forming a first resist layer, having a first resist opening, over the carrier and the contact pad, the first resist opening partially exposing the first contact pad; forming a second resist layer, having a second resist opening over the first resist opening, the second resist opening partially exposing the first resist layer; mounting an integrated circuit over the carrier; and forming an internal interconnect between the integrated circuit and the carrier, the internal interconnect filling the second resist opening with no space between the second resist layer in the second resist opening.
US08709931B2 Fuse part in semiconductor device and method for forming the same
A fuse part in a semiconductor device has a plurality of fuse lines extended along a first direction with a given width along a second direction. The fuse part includes a first conductive pattern having a space part formed in a fuse line region over a substrate, wherein portions of the first conductive pattern are spaced apart by the space part along the first direction. The fuse part includes a first insulation pattern formed over the space part, the first insulation pattern having a width smaller than a width of the first conductive pattern along the second direction and a thickness greater than a thickness of the first conductive pattern, and a second conductive pattern formed over the first insulation pattern, the second conductive pattern having a width greater than the width of the first insulation pattern along the second direction.
US08709930B2 Semiconductor process
A semiconductor process is provided. The prior steps include: a first gate including a first cap layer and a second gate including a second cap layer are formed on a substrate. A hard mask layer is formed to cover the first gate and the second gate. The material of the hard mask layer is different from the material of the first cap layer and the second cap layer. The hard mask layer is removed entirely after a lithography process and an etching process are performed. The following steps include: a material is formed to entirely cover the first gate and the second gate. The material, the first gate and the second gate are etched back to make the first gate and the second gate have the same level and expose layers in both of them.
US08709926B2 Plasma doping method and plasma doping apparatus
In order to realize a plasma doping method capable of carrying out a stable low-density doping, exhaustion is carried out with a pump while introducing a predetermined gas into a vacuum chamber from a gas supplying apparatus, the pressure of the vacuum chamber is held at a predetermined pressure and a high frequency power is supplied to a coil from a high frequency power source. After the generation of plasma in the vacuum chamber, the pressure of the vacuum chamber is lowered, and the low-density plasma doping is performed to a substrate placed on a substrate electrode. Moreover, the pressure of the vacuum chamber is gradually lowered, and the high frequency power is gradually increased, thereby the low-density plasma doping is carried out to the substrate placed on the substrate electrode. Furthermore, a forward power Pf and a reflected power Pr of the high frequency power supplied to the substrate electrode are sampled at a high speed, and when a value of which the power difference Pf-Pr is integrated with respect to time reaches a predetermined value, the supply of the high frequency power is suspended.
US08709923B2 Method of manufacturing III-nitride crystal
Provided is a method of manufacturing III-nitride crystal having a major surface of plane orientation other than {0001}, designated by choice, the III-nitride crystal manufacturing method including: a step of slicing III-nitride bulk crystal through a plurality of planes defining a predetermined slice thickness in the direction of the designated plane orientation, to produce a plurality of III-nitride crystal substrates having a major surface of the designated plane orientation; a step of disposing the substrates adjoining each other sideways in a manner such that the major surfaces of the substrates parallel each other and such that any difference in slice thickness between two adjoining III-nitride crystal substrates is not greater than 0.1 mm; and a step of growing III-nitride crystal onto the major surfaces of the substrates.
US08709922B2 Semiconductor device
A highly reliable semiconductor device which is formed using an oxide semiconductor and has stable electric characteristics is provided. A semiconductor device which includes an amorphous oxide semiconductor layer including a region containing oxygen in a proportion higher than that in the stoichiometric composition, and an aluminum oxide film provided over the amorphous oxide semiconductor layer is provided. The amorphous oxide semiconductor layer is formed as follows: oxygen implantation treatment is performed on a crystalline or amorphous oxide semiconductor layer which has been subjected to dehydration or dehydrogenation treatment, and then thermal treatment is performed on the oxide semiconductor layer provided with an aluminum oxide film at a temperature lower than or equal to 450° C.
US08709918B2 Method for selective deposition of a semiconductor material
A method for selective deposition of semiconductor materials in semiconductor processing is disclosed. In some embodiments, the method includes providing a patterned substrate comprising a first region and a second region, where the first region comprises an exposed first semiconductor material and the second region comprise an exposed insulator material. The method further includes selectively providing a film of the second semiconductor material on the first semiconductor material of the first region by providing a precursor of a second semiconductor material, a carrier gas that is not reactive with chlorine compounds, and tin-tetrachloride (SnCl4). The tin-tetrachloride inhibits the deposition of the second semiconductor material on the insulator material of the second region.
US08709917B2 Selenium/group 3A ink and methods of making and using same
A selenium/Group 3a ink, comprising (a) a selenium/Group 3a complex which comprises a combination of, as initial components: a selenium component comprising selenium; a carboxylic acid component having a formula R—COOH, wherein R is selected from a C1-10 alkyl, C1-10 haloalkyl and a C1-10 mercaptoalkyl; a Group 3a complex, comprising at least one Group 3a material selected from aluminum, indium, gallium and thallium complexed with a multidentate ligand; and, (b) a liquid carrier; wherein the selenium/Group 3a complex is stably dispersed in the liquid carrier.
US08709916B2 Laser processing method and apparatus
A laser processing method is disclosed, comprising the steps of: directing a laser beam to a workpiece; and effecting a relative motion between the laser beam and the workpiece. In particular, the step of directing the laser beam to the workpiece comprises focusing the laser beam within the workpiece until an internal damage forms within the workpiece and a crack propagates from the internal damage to at least one surface of the workpiece to form a surface crack on the workpiece. Further, the step of effecting the relative motion between the laser beam and the workpiece is such that the surface crack on the workpiece propagates along a line of separation on the workpiece. A laser processing apparatus is also disclosed.
US08709915B2 Method of manufacturing semiconductor device
A method of manufacturing a semiconductor device comprises: forming a protective film so as to cover at least a side edge of a substrate; forming a trench, which is annular in shape when viewed oppositely to a first principal surface of the substrate, on the first principal surface by etching using a photoresist pattern; and forming an insulating film so as to fill the trench, to form an insulating ring.
US08709913B2 Simultaneous wafer bonding and interconnect joining
Disclosed are a microelectronic assembly of two elements and a method of forming same. A microelectronic element includes a major surface, and a dielectric layer and at least one bond pad exposed at the major surface. The microelectronic element may contain a plurality of active circuit elements. A first metal layer is deposited overlying the at least one bond pad and the dielectric layer. A second element having a second metal layer deposited thereon is provided, and the first metal layer is joined with the second metal layer. The assembly may be severed along dicing lanes into individual units each including a chip.
US08709907B2 Method for manufacturing and reoxidizing a TiN/Ta2O5/TiN capacitor
A method for manufacturing a TiN/Ta2O5/TiN capacitor, including the steps of forming a Ta2O5 layer on a TiN support by a plasma-enhanced atomic layer deposition method, or PEALD; and submitting the obtained structure to an N2O plasma for a duration sufficient to oxidize the Ta2O5 layer without oxidizing the TiN support.
US08709904B2 Method for producing semiconductor substrate, semiconductor substrate, method for manufacturing electronic device, and reaction apparatus
There is provided a method of producing a semiconductor wafer by thermally processing a base wafer having a portion to be thermally processed that is to be thermally processed. The method comprises a step of providing, on the base wafer, a portion to be heated that generates heat through absorption of an electromagnetic wave and selectively heats the portion to be thermally processed, a step of applying an electromagnetic wave to the base wafer, and a step of lowering the lattice defect density of the portion to be thermally processed, by means of the heat generated by the portion to be heated through the absorption of the electromagnetic wave.
US08709903B2 Silicon-on-insulator (SOI) structure configured for reduced harmonics and method of forming the structure
Disclosed is semiconductor structure with an insulator layer on a semiconductor substrate and a device layer is on the insulator layer. The substrate is doped with a relatively low dose of a dopant having a given conductivity type such that it has a relatively high resistivity. Additionally, a portion of the semiconductor substrate immediately adjacent to the insulator layer can be doped with a slightly higher dose of the same dopant, a different dopant having the same conductivity type or a combination thereof. Optionally, micro-cavities are created within this same portion so as to balance out any increase in conductivity due to increased doping with a corresponding increase in resistivity. Increasing the dopant concentration at the semiconductor substrate-insulator layer interface raises the threshold voltage (Vt) of any resulting parasitic capacitors and, thereby reduces harmonic behavior. Also disclosed herein are embodiments of a method for forming such a semiconductor structure.
US08709902B2 Sacrificial spacer approach for differential source/drain implantation spacers in transistors comprising a high-k metal gate electrode structure
In complex semiconductor devices, the profiling of the deep drain and source regions may be accomplished individually for N-channel transistors and P-channel transistors without requiring any additional process steps by using a sacrificial spacer element as an etch mask and as an implantation mask for incorporating the drain and source dopant species for deep drain and source areas for one type of transistor. On the other hand, the usual main spacer may be used for the incorporation of the deep drain and source regions of the other type of transistor.
US08709899B2 Vertical gate LDMOS device
The present application features methods of fabricating a gate region in a vertical laterally diffused metal oxide semiconductor (LDMOS) transistor. In one aspect, a method includes depositing a masking layer on an n-well region implanted on a substrate, patterning the masking layer to define an area, and forming a first trench in the area such that a length of the first trench extends from a surface of the n-well region to a first depth in the n-well region. The method also includes filling the first trench by a conductive material and depositing a layer of oxide over the area. The method further includes etching out at least a portion of the oxide layer to expose a portion of the conductive material, removing the conductive material from the exposed portion to form a second trench, and filling the second trench with an oxide to form an asymmetric gate of the transistor.
US08709896B2 Semiconductor device and fabrication method
A semiconductor device includes a semiconductor substrate including a well having a first conductivity type defined by a device isolation region, a gate insulating film formed on the semiconductor substrate, a gate electrode formed on the gate insulating film and including a first side surface and a second side surface facing the first side surface, and a first side wall insulating film formed on the first side surface and a second side wall insulating film formed on the second side surface.
US08709894B2 3D structured memory devices and methods for manufacturing thereof
A 3D structured nonvolatile semiconductor memory devices and methods for manufacturing are disclosed. One such device includes an n+ region at a source/drain region; a p+ region at the source/drain region; and a diffusion barrier material between the n+ region and the p+ region. The n+ region is substantially isolated from the p+ region.
US08709885B2 Schottky diode and method of manufacture
A method of manufacturing Schottky diodes in a CMOS process includes forming wells, including first wells (16) for forming CMOS devices and second wells (18) for forming Schottky devices. Then, transistors are formed in the first wells, the second wells protected with a protection layer (20) and suicide contacts (40) formed to source and drain regions in the first wells. The protection layer is then removed, a Schottky material deposited and etched away except in a contact region in each second well to form a Schottky contact between the Schottky material (74) and each second well (18).
US08709872B2 Integrated circuit with electromagnetic intrachip communication and methods for use therewith
An integrated circuit includes a substrate and a first integrated circuit die having a first circuit coupled to the substrate via a first bonding wire, the first circuit having a first intra-chip interface. A second integrated circuit die has a second circuit coupled to the substrate via a second bonding wire, the second circuit having a second intra-chip interface, the second bonding wire electrically isolated from the first bonding wire. The first circuit communicates with the second circuit via the first intra-chip interface and the second intra-chip interface, and wherein the first intra-chip interface and the second intra-chip interface communicate via a first electromagnetic coupling between the first bonding wire and the second bonding wire.
US08709871B2 Stacked type semiconductor memory device and chip selection circuit
A stacked type semiconductor memory device of having a structure in which a plurality of semiconductor chips is stacked and a desired semiconductor chip can be selected by assigning a plurality of chip identification numbers different from each other are individually assigned to the plurality of semiconductor chips comprising: a plurality of operation circuits which is connected in cascade in a stacking order of the plurality of semiconductor chips and outputs the plurality of identification numbers different from each other by performing a predetermined operation; and a plurality of comparison circuits which detects whether or not each the identification number and a chip selection address commonly connected to each the semiconductor chip are equal to each other by comparing them.
US08709866B2 Methods of forming integrated circuit packages
Some embodiments include methods of assembling integrated circuit packages in which at least two different conductive layers are formed over a bond pad region of a semiconductor die, and in which a conductive projection associated with an interposer is bonded through a gold ball to an outermost of the at least two conductive layers. The conductive layers may comprise one or more of silver, gold, copper, chromium, nickel, palladium, platinum, tantalum, titanium, vanadium and tungsten. In some embodiments, the bond pad region may comprise aluminum, an inner of the conductive layers may comprise nickel, an outer of the conductive layers may comprise gold, the conductive projection associated with the interposer may comprise gold; and the thermosonic bonding may comprise gold-to-gold bonding of the interposer projection to a gold ball, and gold-to-gold bonding of the outer conductive layer to the gold ball. Some embodiments include integrated circuit packages.
US08709863B2 Antimony and germanium complexes useful for CVD/ALD of metal thin films
Antimony, germanium and tellurium precursors useful for CVD/ALD of corresponding metal-containing thin films are described, along with compositions including such precursors, methods of making such precursors, and films and microelectronic device products manufactured using such precursors, as well as corresponding manufacturing methods. The precursors of the invention are useful for forming germanium-antimony-tellurium (GST) films and microelectronic device products, such as phase change memory devices, including such films.
US08709862B2 Vanadium, cobalt and strontium additives for use in aluminum back solar cell contacts
Al pastes with additives of Co, Sr, V, compounds thereof and combinations thereof improve both the physical integrity of a back contact of a silicon solar cell as well as the electrical performance of a cell with such a contact.
US08709860B2 Method for manufacturing photoelectric conversion device
The object is to improve the conversion efficiency of a photoelectric conversion device. This object can be achieved by a photoelectric conversion device including an electrode and a semiconductor layer which is provided on one main surface of the electrode and contains a I-III-VI group compound semiconductor, wherein the semiconductor layer includes a connection layer that is located at a position on the one main surface side of the electrode and has a tendency that, the closer to the one main surface, the greater a quotient obtained by dividing an amount of substance of a I-B group element by an amount of substance of a III-B group element becomes.
US08709859B2 Method for fabricating solar cell
A method of fabricating a solar cell on a conveyer belt is provided. The method includes the following steps. A first surface of an aluminum foil is coated with a layer of phosphorous mixed with a plurality of graphite powders and put on the conveyer belt. A first thermal treatment is performed to activate a portion of the aluminum foil and the phosphorous layer on the first surface to form an aluminum phosphide (AlP) layer. A molten silicon material is spray-coated on a second surface of the remaining aluminum foil, and a second thermal treatment is performed to make the silicon material transferring into a p-type polySi layer on the n-type AlP layer. A solar cell including the n-type AlP layer and the p-type polySi layer is formed, and the solar cell is respectively annealed and cooled down in a first and a second vertical stack.
US08709857B2 Intrinsic absorber layer for photovoltaic cells
So as to manufacture an intrinsic absorber layer of amorphous hydrogenated silicon within a p-i-n configuration a solar cell by PeCvD deposition upon a base structure, thereby improving throughput an simultaneously maintaining quality of the absorber layer, a specific processing regime is proposed, wherein in the reactor for depositing the addressed absorber layer a pressure of between 1 mbar and 1.8 mbar is established and a flow of silane and of hydrogen with a dilution of silane to hydrogen of 1:4 up to 1:10 and generating an RF plasma with a generator power of between 600 W and 1200 W per 1.4 m2 base structure surface to be coated.
US08709856B2 Enhancement of semiconducting photovoltaic absorbers by the addition of alkali salts through solution coating techniques
In particular embodiments, a method is described for forming photovoltaic devices that includes providing a substrate suitable for use in a photovoltaic device, depositing a conductive contact layer over the substrate, depositing a salt solution over the surface of the conductive contact layer, the solution comprising a volatile solvent and an alkali metal salt solute, and depositing a semiconducting absorber layer over the solute residue left by the evaporated solvent.
US08709851B2 Method of fabricating a solar cell with a tunnel dielectric layer
Methods of fabricating solar cells with tunnel dielectric layers are described. Solar cells with tunnel dielectric layers are also described.
US08709850B2 Method for production of a thermoelectric apparatus
The invention relates to a method for production of at least one thermoelectric apparatus with the steps of: preparation of a first wafer (1) which is formed from a thermoelectric material of a first conductivity type; preparation of a second wafer which is formed from a thermoelectric material of a second conductivity type; structuring of the first wafer (1) so that a group of first thermoelectric structures (7) is produced; structuring of the second wafer so that a group of second thermoelectric structures is produced; and linking of the first to the second wafer in such a manner that the first and the second thermoelectric structures are electrically connected together and thus form the thermoelectric apparatus. According to the invention, before the structuring of the first wafer (1), a first contact material (3) is deposited on the first wafer (1) and/or before the structuring of the second wafer, a second contact material is deposited onto the second wafer.
US08709844B2 Light emitting diode package and method of fabricating the same
A light emitting diode (LED) package and a method of manufacturing a LED package is provided. The LED package includes a case having first and second lead frames disposed through the case; an LED chip disposed on the case, the LED chip having first and second electrodes directly connected to the first and second lead frames through a eutectic bond, respectively; and a lens disposed over the case covering the LED chip.
US08709838B2 Method for preparing a β-SiAlON phosphor
There is provided a method for preparing a β-SiAlON phosphor capable of be controlled to show characteristics such as high brightness and desired particle size distribution. The method for preparing a β-SiAlON phosphor represented by Formula: Si(6-x)AlxOyN(8-y):Lnz (wherein, Ln is a rare earth element, and the following requirements are satisfied: 0
US08709837B2 Deposition apparatus and method for manufacturing organic light emitting diode display using the same
A deposition apparatus includes: a deposition source including a spray nozzle linearly arranged in a first direction and discharging a deposition material; and a pair of angle control members disposed at both sides of the deposition source and controlling a discharging direction angle of the deposition material. Each angle control member includes a rotation axis parallel to the first direction, and a plurality of shielding plates installed about the rotation axis and separated from each other by a predetermined interval around the rotation axis. Although the deposition angle is changed according to the increasing of the process time, the deposition angle is compensated to form a uniform thin film. Also, the organic thin film may be uniformly deposited through each pixel of an organic light emitting diode (OLED) display, thereby increasing luminance uniformity for each pixel.
US08709832B2 Chip on film (COF) package having test line for testing electrical function of chip and method for manufacturing same
A chip on film (COF) package and a method for manufacturing same are provided. The COF package comprises a base film, a semiconductor chip mounted on the base film, a signal-inputting portion mounted on the base film, a first passive element mounted on the base film and comprising first and second terminals and a first signal line formed on the base film and connecting the first passive element to the semiconductor chip, wherein the first signal line comprises a connection pad connected to the first terminal of the first passive element and a first test line connected to the signal-inputting portion.
US08709828B2 Method for the analysis of solid objects
A method of analysis or diagnosis of solid objects is based on real-time detection of how predefined probes interact with structures present on or in the solid object combined with the calculation of how the recorded binding curves of said probes are distributed in terms of interaction properties. The interaction properties are input to a classification algorithm which automatically determines statues of the solid object. The method is particularly advantageous for solid biological objects like tissue slices combined with antibody probes, said antibody recognizing receptors known to be over-expressed in disease states on said tissue slice.
US08709824B2 Contamination barrier
The present invention concerns a contamination barrier 5 that permits an efficient and reproducible processing of a high number of samples with the prevention of contamination of aqueous solutions 3 in open and/or automated systems, especially in the ppm range, in that it comprises at least one water immiscible hydrocarbon compound. In addition a method for the prevention of contamination during the processing of aqueous solutions 3 in open and/or automated systems is disclosed.
US08709822B2 Method and assembly for determining the temperature of a test sensor
An assembly determines an analyte concentration in a sample of body fluid. The assembly includes a test sensor having a fluid-receiving area for receiving a sample of body fluid, where the fluid-receiving area contains a reagent that produces a measurable reaction with an analyte in the sample. The assembly also includes a meter having a port or opening configured to receive the test sensor; a measurement system configured to determine a measurement of the reaction between the reagent and the analyte; and a temperature-measuring system configured to determine a measurement of the test-sensor temperature when the test sensor is received into the opening. The meter determines a concentration of the analyte in the sample according to the measurement of the reaction and the measurement of the test-sensor temperature.
US08709816B2 Human renal disease marker substance
The present invention relates to a method for diagnosing, and treating renal disease in a patient by having a test performed for detecting or quantifying one or more renal disease markers present in a test blood sample from the patient; and administering treatment to improve renal function. In particular embodiments, the test performed quantifies cis-aconitate, and the patient is identified as having the renal disease when a concentration of cis-aconitate present in the patient's test blood sample is higher than that of a control. Methods of the present invention can allow diagnosis and treatment of patients with early stage renal disease, such as early stage renal failure. Another aspect of the present invention relates to methods for screening for a prophylactic/therapeutic agent for treating renal disease using one or more renal disease markers.
US08709815B2 System and method for the analysis of biodiesel
Methods and devices are disclosed providing techniques for measuring the amount of biodiesel in a fuel sample. The methods may be used in the field without the use of laboratory equipment. The biodiesel in the sample is converted to the corresponding free acid which can be isolated and quantified to provide information regarding the amount of biodiesel in the original sample.
US08709808B2 Accordion bioreactor
Disclosed herein are bioreactors including a first sheet and a second sheet, wherein the second sheet is disposed adjacent to the first sheet, and the first and second sheets are sealed along a first longitudinal edge, a second longitudinal edge, a first horizontal edge, a second horizontal edge, and at least one intermediate horizontal seal, thereby forming at least two chambers for holding fluid in series along a vertical axis, wherein each of the two or more chambers is oriented at an angle relative to the vertical axis, and at least one of the chambers is oriented at an angle greater than 0°, and wherein there is at least one opening in each of the first horizontal edge, the second horizontal edge, and intermediate horizontal seal(s); Also disclosed are methods of culturing cells including circulating a suspension of cells in a disclosed bioreactor.
US08709807B2 Method of isolating human neuroepithelial precursor cells from human fetal tissue
A method for isolating human neuroepithelial precursor cells from human fetal tissue by culturing the human fetal cells in fibroblast growth factor and chick embryo extract and immunodepleting from the cultured human fetal cells any cells expressing A2B5, NG2 and eNCAM is provided. In addition, methods for transplanting these cells into an animal are provided. Animals models transplanted with these human neuroepithelial precursor cells and methods for monitoring survival, proliferation, differentiation and migration of the cells in the animal model via detection of human specific markers are also provided.
US08709803B2 Cell transport system comprising a homogeneous mixture of agarose and agarase
The present invention relates to a system for cell transport Said system allows the transport of cells, assuring their integrity and viability during the entire transport process. It consists of a system suitable for a wide variety of formats which allows a broad range of technical applications of the system The system of the invention allows providing ready-to-use cells, without the cells having to be manipulated before they are used by technical experts in cell biology The invention particularly relates to an agarose plus agarase mixture covering or enveloping, depending on the format of the selected transport system, the cell culture, protecting it during the transport process, as well as to the methodology of cell recovery of the cells transported in the system.
US08709800B2 Methods of isolating bipotent hepatic progenitor cells
A method of obtaining a mixture of cells enriched in hepatic progenitors is developed which comprises methods yielding suspensions of a mixture of cell types, and selecting those cells that are classical MHC class I antigen(s) negative and ICAM-1 antigen positive. The weak or dull expression of nonclassical MHC class I antigen(s) can be used for further enrichment of hepatic progenitors. Furthermore, the progenitors can be selected to have a level of side scatter, a measure of granularity or cytoplasmic droplets, that is higher than that in non-parenchymal cells, such as hemopoietic cells, and lower than that in mature parenchymal cells, such as hepatocytes. Furthermore, the progeny of the isolated progenitors can express alpha-fetoprotein and/or albumin and/or CK19. The hepatic progenitors, so isolated, can grow clonally, that is an entire population of progeny can be derived from one cell. The clones of progenitors have a growth pattern in culture of piled-up aggregates or clusters. These methods of isolating the hepatic progenitors are applicable to any vertebrates including human. The hepatic progenitor cell population is expected to be useful for cell therapies, for bioartificial livers, for gene therapies, for vaccine development, and for myriad toxicological, pharmacological, and pharmaceutical programs and investigations.
US08709799B2 Lentiviral gene transfer vectors and their medicinal applications
The present invention relates to the design of gene transfer vectors and especially provides lentiviral gene transfer vectors suitable for either a unique administration or for iterative administration in a host, and to their medicinal application (such as vaccination against Immunodeficiency Virus, especially suitable in human hosts). Gene transfer vectors can be either integrative or non-integrative vectors. The invention encompasses prophylactic, therapeutic, symptomatic, and curative treatments of animals, including humans, as well as gene therapy and vaccination in vivo.
US08709791B2 Detection of bioagents using a shear horizontal surface acoustic wave biosensor
A biosensor combining the sensitivity of surface acoustic waves (SAW) generated at a frequency of 325 MHz with the specificity provided by antibodies and other ligands for the detection of viral agents. In a preferred embodiment, a lithium tantalate based SAW transducer with silicon dioxide waveguide sensor platform featuring three test and one reference delay lines was used to adsorb antibodies directed against Coxsackie virus B4 or the negative-stranded category A bioagent Sin Nombre virus (SNV). Rapid detection of increasing concentrations of viral particles was linear over a range of order of magnitude for both viruses, and the sensor's selectivity for its target was not compromised by the presence of confounding Herpes Simplex virus type 1 The biosensor was able to delect SNV at doses lower than the load of virus typically found in a human patient suffering from hantavirus cardiopulmonary syndrome (HCPS).
US08709782B2 Targeted gene deletions for polysaccharide slime formers
The present invention provides improved polysaccharides (e.g., gellan and diutan) produced by mutant gene M or gene N Sphingomonas strains containing at least one genetic modification that favors slime-forming polysaccaride production. Methods of making the mutant Sphingomonas strains and the culture broth containing such mutant Sphingomonas strains are also provided.
US08709780B2 Method for producing purified influenza virus antigen
The present invention aims to provide a method which enables efficient removal of impurities such as the host proteins from an influenza virus culture liquid by a simple operation, allowing separation and purification of an influenza virus antigen. The method of the present invention for producing a purified influenza virus antigen comprises the step of treating a sample containing an influenza virus with a surfactant, the step of bringing the sample after the treatment into contact with hydroxyapatite in the presence of the surfactant, and the step of recovering a hydroxyapatite-non-adsorbed fraction.
US08709779B2 Newly identified human rhinovirus of HRV-C and methods and kits for detecting HRV-Cs
The characterization of a new strain of human rhinovirus of genetic group C (HRV-C) as well as methods and kits for detecting the presence of HRV-C by PCR amplification are provided.
US08709777B2 Variants of a family 44 xyloglucanase
The present invention relates to variants of a parent xyloglucanase. The present invention also relates to polynucleotides encoding the variant xyloglucanases and to nucleic acid constructs, vectors, and host cells comprising the polynucleotide.
US08709766B2 Use of endogenous promoters in genetic engineering of Nannochloropsis gaditana
The present disclosure is directed to novel polynucleotide sequences for use in Nannochloropsis gaditana. The novel polynucleotide sequences include control sequences and coding sequences. Also disclosed are novel gene expression constructs wherein N. gaditana promoters/control regions are operatively linked to N. gaditana or non-N. gaditana coding sequences. These novel polynucleotide sequences and expression constructs can be introduced into N. gaditana and can recombine into the N. gaditana genome. Expression from these polynucleotide sequences and expression constructs can enhance N. gaditana biomass and/or lipid biosynthesis. Also disclosed are methods for modifying N. gaditana, for example by stably transforming N. gaditana with nucleic acid sequences, growing the modified N. gaditana, and obtaining biomass and biofuels from the modified N. gaditana.
US08709765B2 Manipulation of an alternative respiratory pathway in photo-autotrophs
Exemplary methods for increasing TAG production in an algal cell during imbalanced growth conditions are provided. Some methods comprise knocking out an AOX gene, wherein the AOX gene produces an amino acid sequence having substantial similarity to the amino acid sequence of SEQ. ID. NO. 2. In further methods, the algal cell may be of genus Nannochloropsis. The AOX gene may be replaced by a construct having a nucleotide sequence having substantial similarity to SEQ ID. NOS. 3 through 5 (inclusive), wherein each of the sequences are next to or in close proximity to one another in a linear fashion. In some methods, the AOX gene may be replaced via homologous recombination. As a result, lipid production by the selected recombinant algal cell may be increased over that produced by a wild-type algal cell.
US08709763B2 Method for production of fermentable sugars from biomass
A process for production of fermentable sugars from biomass using multi-enzyme multi-step system is provided herein. The process disclosed in the present invention provides high yielded sugars in less time period. The multi-enzyme system disclosed in the present invention converts celluloses, hemicelluloses and/or mixture thereof to fermentable sugar with higher efficiency and better economics than the process known in the prior art. Cellulose and hemicelluloses fractions derived from natural sources such as any lignocellulosic biomass are saccharified in a shortened time with higher conversion rates of intermediates with modified enzymatic compositions/groups of the Multi-enzyme system to enhance the rate thus providing an economical cellulose and hemicellulose saccharification process.
US08709762B2 System for hot-start amplification via a multiple emulsion
System, including methods, apparatus, compositions, and kits, for making and using compound droplets of a multiple emulsion to supply an amplification reagent, such as a heat-stable DNA polymerase or DNA ligase, to an aqueous phase in which the compound droplets are disposed. The compound droplets may be induced to supply the amplification reagent by heating the multiple emulsion, to achieve hot-start amplification.
US08709760B2 Polypeptides and immunizing compositions containing gram positive polypeptides and methods of use
The present invention provides isolated polypeptides isolatable from a Staphylococcus spp. Also provided by the present invention are compostions that include one or more of the polypeptides, and methods for making and methods for using the polypeptides.
US08709758B2 Methods and compositions for inhibition of neutrophil exocytosis
Isolated fusion polypeptides are provided that are comprised of a cell-penetrating polypeptide and a SNARE polypeptide aptamer. Further provided are methods for inhibiting neutrophil granule exocytosis that comprise contacting a neutrophil with a fusion polypeptide including a cell-penetrating polypeptide and a SNARE polypeptide aptamer such that the fusion polypeptide enters the neutrophil and inhibits neutrophil granule exocytosis. Also provided are methods for treating a neutrophil-mediated inflammatory disorder by inhibiting SNARE-associated exocytosis in neutrophils.
US08709756B2 Methods of synthesizing heteromultimeric polypeptides in yeast using a haploid mating strategy
Methods are provided for the synthesis and secretion of recombinant hetero-multimeric proteins in mating competent yeast. A first expression vector is transformed into a first haploid cell; and a second expression vector is transformed into a second haploid cell. The transformed haploid cells, each individually synthesizing a non-identical polypeptide, are identified and then genetically crossed or fused. The resulting diploid strains are utilized to produce and secrete fully assembled and biologically functional hetero-multimeric protein.
US08709753B2 Native NAD-dependent GAPDH replaced with NADP-dependent GAPDH plus NADK
This invention is metabolically engineer bacterial strains that provide increased intracellular NADPH availability for the purpose of increasing the yield and productivity of NADPH-dependent compounds. In the invention, native NAD-dependent GAPDH is replaced with NADP-dependent GAPDH plus overexpressed NADK. Uses for the bacteria are also provided.
US08709750B2 Method for processing an algae medium containing algae microorganisms to produce algal oil and by-products
A device and method for processing an algae medium containing algae microorganisms to produce algal oil and by-products thereof. The method comprises pumping the algae medium through a flow-through hydrodynamic cavitation device, generating localized zones of reduced fluid pressure, creating cavitational features in the algae medium, collapsing those cavitation features, and disintegrating cell walls and intracellular organelles to produce algal oil and by-products.
US08709749B2 Method for execution upon processing of at least one histological sample
The invention relates to a method for execution upon processing of at least one histological sample that is arranged, in particular after an infiltration process, in a closed cassette (2). The method is characterized in that prior to opening of the cassette (2), the sample is detached from the cover of the cassette (2) and/or isolated.
US08709748B2 Method for detection and characterization of a microorganism in a sample using time-dependent intrinsic fluorescence measurements
The present invention provides a method for detecting, and characterizing a microorganism present in a sample and growth composition whereby the method may be accomplished utilizing a time-dependent spectroscopic technique to obtain at least two measurements directly from a sample and growth composition and correlating said measurements for the detection and characterization of a microorganism, that may be present in the sample.
US08709747B2 Biphotonic photosensitizers, nanoparticles containing the same and their use as drugs
The invention relates to compounds of formula (I) wherein the groups A and B represent independently from each other —CH═CH—, or —C≡C—, the terms t, u, v, w represent, independently from each other, values ranging from 0 to 9, the groups Y1 and Y2 can represent independently from each other an alkyl group (linear, branched or substituted) carrying from 1 to 9 carbon atoms, the groups Z1, Z2, Z3, and Z4 can represent independently from each other a chemically reactive group W, such as OH, NH2, SH, the groups Z5 and Z6 represent independently from each other a hydrogen atom, silica nanoparticles functionalized by these compounds, and their use as drugs.
US08709743B2 Inhibitors of bacterial nitric oxide synthase, and related screening methods
The invention relates in part to compounds that act as highly nitric oxide (NO)-specific turn-on fluorescent probes. The present invention also relates to the use of these fluorescein-based sensors to screen selectively for inhibitors of bacterial nitric oxide synthase (bNOS). Compounds of the present invention readily detect nitric oxide produced in vivo. Therefore they can be used in an assay that measures NO production by bNOS. Using a sensor of the invention one can screen libraries of small molecules for inhibitors of bNOS.
US08709739B2 System and method for measuring an analyte in a sample
Methods of determining a corrected analyte concentration in view of some error source are provided herein. The methods can be utilized for the determination of various analytes and/or various sources of error. In one example, the method can be configured to determine a corrected glucose concentration in view of an extreme level of hematocrit found within the sample. In other embodiments, methods are provided for identifying various system errors and/or defects. For example, such errors can include partial-fill or double-fill situations, high track resistance, and/or sample leakage. Systems are also provided for determining a corrected analyte concentration and/or detecting some system error.
US08709738B2 Methods for predicting cardiac toxicity
Methods are disclosed for determining whether organ toxicity, particularly cardiotoxicity, will occur in a patient selected for treatment with various kinase inhibitors, such as tyrosine kinase inhibitors, more particularly erbB inhibitors such as Herceptin. In addition, methods are disclosed for determining whether a potential drug is likely to produce a cardiotoxic effect. The methods involve analyzing lipid levels or the expression fatty acid oxidation enzymes, pAMP activated protein kinase, glucose uptake, to determine whether a fatty acid oxidation disorder is present. The identification of a fatty acid oxidation disorder can be used as a predictor of toxicity, especially cardiac toxicity, and as an indication that organ function should be carefully monitored if a drug such as a tyrosine kinase inhibitor is administered. Methods are also disclosed for protecting organs from metabolic stress and for the treatment of cells, such as adipocytes, to reduce their lipid content.
US08709732B2 Biomarkers of gastric cancer and use thereof
A set of biomarkers indicative of early gastric cancer and method of diagnosing gastric cancer at an early stage by directing the these biomarkers in a blood sample. Detection of over-expression of one or more protein biomarks in the group consisting albumin, T-kininogen I, α-2-HS glycoprotein, α-1-antitrypsin, afamin and γ-actin and/or detection of under-expression of one or more protein biomarks in the group consisting stress 70 protein, apolipoprotein A-I, apolipoprotein A-IV, transthyretin and murinoglobulin is indicative of the presence of gastric cancer.
US08709731B2 DKK1 oncogene as therapeutic target for cancer and a diagnosing marker
Described herein are antibodies and antibody fragments capable of treating or preventing cancers associated with the over-expression and/or up-regulation of DKK1. Also disclosed are methods of treating or preventing cancer using the antibody and methods and kits utilized to diagnosing cancer. The herein described products and methods find utility in the context of a variety of cancers, such as pancreatic cancer, gastric cancer, liver cancer, prostate cancer, breast cancer, cervical cancer, bile duct cancer, lung cancer and esophageal cancer.
US08709729B2 Method of making an array of nucleic acid colonies
A method of making an array of nucleic acid colonies, including the steps of (a) providing a substrate having a patterned surface of features, wherein the features are spatially organized in a repeating pattern on the surface of the substrate; (b) contacting the substrate with a solution of different target nucleic acids to seed no more than a subset of the features that contact the solution; (c) amplifying the nucleic acids on the subset of features; and (d) repeating steps (b) and (c) to increase the number of features that are seeded with a nucleic acid, thereby making an array of nucleic acid colonies.
US08709727B2 Magnetic detection of small entities
A novel detection or quantifying method for biological entities or molecules such as, but not limited to, DNA, microorganisms and pathogens, proteins and antibodies, that by themselves are target molecules or from which target molecules are extracted, comprises the steps of i) forming target molecule-dependent volume-amplified entities, ii) allowing magnetic nanoparticles to bind to said volume-amplified entities, and iii) measuring changes in dynamic magnetic response of the magnetic nanoparticles caused by the increase in hydrodynamic volume of said magnetic nanoparticles.
US08709726B2 Nucleic acid-based tests for prenatal gender determination
Provided herein are compositions, processes and kits for noninvasive, early determination of fetal sex from, and/or amount of fetal nucleic acid in, an extracellular nucleic acid sample from a pregnant female. Such compositions, processes and kits are useful for detection of low genomic copy numbers of male fetal nucleic acid in a high copy number background of female nucleic acid, thereby determining the sex of a fetus and/or amount of fetal nucleic acid in a sample.
US08709719B2 ZNF217 a new prognostic and predictive biomarker of recurrent invasive and metastatic phenotypes in breast cancer
The present invention relates to methods for determining the prognosis of a cancer. The methods involve determining the level of expression of the ZNF217 gene in a cancer cell sample or in a tumor sample wherein over-expression of ZNF217 is correlated with likelihood of metastasis and with likelihood of relapse/recurrence of the cancer.
US08709706B2 Methods and apparatus for performing multiple photoresist layer development and etching processes
The present invention provides methods and an apparatus controlling and minimizing process defects in a development process, and modifying line width roughness (LWR) of a photoresist layer after the development process, and maintaining good profile control during subsequent etching processes. In one embodiment, a method for forming features on a substrate includes developing and removing exposed areas in the photosensitive layer disposed on the substrate in the electron processing chamber by predominantly using electrons, removing contaminants from the substrate by predominantly using electrons, and etching the non-photosensitive polymer layer exposed by the developed photosensitive layer in the electron processing chamber by predominantly using electrons.
US08709704B2 Pattern forming method using developer containing organic solvent and rinsing solution for use in the pattern forming method
A pattern forming method, includes: (i) a step of forming a resist film from a resist composition for organic solvent-based development, the resist composition containing (A) a resin capable of increasing a polarity by an action of an acid to decrease a solubility in an organic solvent-containing developer and (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; (ii) an exposure step; (iii) a development step using an organic solvent-containing developer; and (iv) a washing step using a rinsing solution, wherein in the step (iv), a rinsing solution containing at least either the solvent S1 or S2 as defined in the specification is used.
US08709702B2 Methods to fabricate a photoactive substrate suitable for microfabrication
A method of fabrication and device with holes for electrical conduction made by preparing a photosensitive glass substrate comprising at least silica, lithium oxide, aluminum oxide, and cerium oxide, masking a design layout comprising one or more holes to form one or more electrical conduction paths on the photosensitive glass substrate, exposing at least one portion of the photosensitive glass substrate to an activating energy source, exposing the photosensitive glass substrate to a heating phase of at least ten minutes above its glass transition temperature, cooling the photosensitive glass substrate to transform at least part of the exposed glass to a crystalline material to form a glass-crystalline substrate and etching the glass-crystalline substrate with an etchant solution to form the one or more depressions or through holes for electrical conduction in the device.
US08709701B2 Resist underlayer film forming composition for lithography, containing aromatic fused ring-containing resin
There is provided a resist underlayer film forming composition for lithography, which in order to prevent a resist pattern from collapsing after development in accordance with the miniaturization of the resist pattern, is applied to multilayer film process by a thin film resist, has a lower dry etching rate than resists and semiconductor substrates, and has a satisfactory etching resistance relative to a substrate to be processed in the processing of the substrate. A resist underlayer film forming composition used in lithography process by a multiplayer film, comprises a polymer containing a unit structure having an aromatic fused ring, a unit structure having a protected carboxyl group or a unit structure having an oxy ring. A method of forming a pattern by use of the resist underlayer film forming composition. A method of manufacturing a semiconductor device by utilizing the method of forming a pattern.
US08709694B2 Polyurethane resin, toner for developing electrostatic charge image, electrostatic charge image developer, toner cartridge, process cartridge, image forming apparatus, and image forming method
A polyurethane resin which is an addition polymer, includes an isocyanate compound containing at least a compound having two or more isocyanate groups; and an alcohol compound containing rosin diol represented by Formula (1): wherein in Formula (1), R1 and R2 represent a hydrogen atom or a methyl group, L1, L2, and L3 each independently represent a carbonyl group, an ester group, an ether group, a sulfonyl group, a chain alkylene group which may have a substituent, a cyclic alkylene group which may have a substituent, an arylene group which may have a substituent, and a divalent linking group selected from a group consisting of combinations of the above-described groups, wherein L1 and L2 or L1 and L3 may form a ring together, and A1 and A2 represent a rosin ester group.
US08709692B2 Polyester resin for toner, electrostatic charge image developing toner, electrostatic charge image developer, toner cartridge, process cartridge, image forming apparatus, and image forming method
A polyester resin for a toner is a polycondensate of a carboxylic acid component and an alcohol component including rosin diol that is represented by the following formula (1) and has an alicyclic structure (alicyclic alcohol), wherein R1 and R2 each independently represents hydrogen or a methyl group, L1 represents a divalent linking group having an alicyclic structure, L2 and L3 each independently represents a divalent linking group selected from a group consisting of a carbonyl group, a carboxyl group, an ether group, a sulfonyl group, a chain-like alkylene group which may have a substituent, a cyclic alkylene group, a phenylene group, and combinations thereof, and A1 and A2 represent a rosin ester group, provided that a divalent linking group having the alicyclic structure represented by L1 may be a divalent linking group having an alicyclic structure formed through linking with any of R1, R2, L2 or L3.
US08709691B2 Toner for developing electrostatic charge image, electrostatic charge image developer, toner cartridge, process cartridge, image forming method, and image forming apparatus
A toner for developing an electrostatic charge image includes an aliphatic polyester resin and a polyester resin having a repeating unit derived from rosin diol.
US08709689B2 Electrophotographic photoreceptor, image-forming apparatus, and electrophotographic cartridge
The invention can provide an electrophotographic photoreceptor comprising a photosensitive layer containing a specific charge transport material and a specific copolymerized polycarbonate resin, and an image-forming apparatus and an electrophotographic cartridge which use the electrophotographic photoreceptor.
US08709688B2 Oxide material, electrophotographic photoreceptor, process cartridge, and image forming device
An oxide material including gallium, zinc and oxygen, a ratio of the number of atoms of zinc to the number of atoms of gallium (number of atoms of zinc/number of atoms of gallium) being from about 0.01 to about 0.6 and a ratio of the number of atoms of oxygen to the sum of the number of atoms of gallium and the number of atoms of zinc (number of atoms of oxygen/(number of atoms of gallium+number of atoms of zinc)) being from about 1.0 to about 1.6, is disclosed. An electrophotographic photoreceptor including a layer which includes the oxide material is also disclosed. An electrophotographic photoreceptor including a substrate; and a photosensitive layer including gallium, oxygen and zinc is also disclosed.
US08709686B2 Photomask blank, process for production of photomask, and chromium-containing material film
In the chromium-containing material film of the present invention, an element is added thereto and is capable of bringing a mixture of the element and the chromium into a liquid phase at a temperature of 400° C. or lower. The use of such a chromium-containing material film as an optical film (e.g., a light-shielding film, an etching mask film, or an etching stopper film) of a photo mask blank can achieve an improvement in chlorine-dry etching while retaining the same optical characteristics and the like as those of the conventional chromium-containing material film, thereby increasing the patterning precision.
US08709685B2 Reflective mask blank and method of manufacturing a reflective mask
A reflective mask blank and a reflective mask that can improve the contrast for EUV exposure light in use of the mask and further can improve the pattern resolution at a pattern edge portion of the mask, thereby enabling high-resolution pattern transfer. The reflective mask blank has a substrate and, further, a multilayer reflective film adapted to reflect the EUV exposure light and an absorber film adapted to absorb the EUV exposure light, which are formed in this order over the substrate. The absorber film is made of a material containing Ta and has a film density of 6.0 to 16.0 g/cm3. A reflective mask is obtained by forming a transfer pattern in the absorber film of the reflective mask blank.
US08709684B2 Automatic misalignment balancing scheme for multi-patterning technology
Some aspects of the present disclosure provide for a method of automatically balancing mask misalignment for multiple patterning layers to minimize the consequences of mask misalignment. In some embodiments, the method defines a routing grid for one or more double patterning layers within an IC layout. The routing grid has a plurality of vertical grid lines extending along a first direction and a plurality of horizontal grid lines extending along a second, orthogonal direction. Alternating lines of the routing grid in a given direction (e.g., the horizontal and vertical direction) are assigned different colors. Shapes on the double patterning layers are then routed along the routing grid in a manner that alternates between different colored grid lines. By routing in such a manner, variations in capacitive coupling caused by mask misalignment are reduced.
US08709679B2 Active metal fuel cells
Active metal fuel cells are provided. An active metal fuel cell has a renewable active metal (e.g., lithium) anode and a cathode structure that includes an electronically conductive component (e.g., a porous metal or alloy), an ionically conductive component (e.g., an electrolyte), and a fluid oxidant (e.g., air, water or a peroxide or other aqueous solution). The pairing of an active metal anode with a cathode oxidant in a fuel cell is enabled by an ionically conductive protective membrane on the surface of the anode facing the cathode.
US08709675B2 Fuel cell module, manufacturing method thereof and unit containing several of the latter
The fuel cell base module stacking structure has large compactness, very litte ohmic losses and ease as for implementing the seal of the assembly.It consists of a concentric stack of several fuel cell base cells each consisting on either side of an interconnector (24) sandwiching an anode (21), an electrolyte (22) and a cathode (23), each cell being thereby placed upon each other. The module is completed with two cases for distributing combustible gases.Application to gas fuel cells of the SOFC type.
US08709673B2 Fuel cell and a method of manufacturing a fuel cell
A solid oxide fuel cell comprises a porous anode electrode, a dense non-porous electrolyte and a porous cathode electrode. The anode electrode comprises a plurality of parallel plate members and the cathode electrode comprises a plurality of parallel plate members. The plate members of the cathode electrode inter-digitate with the plate members of the anode electrode. The electrolyte comprises at least one electrolyte member, which fills at least one space between the parallel plate members of the anode electrode and the parallel plate members of the cathode electrode. At least one non-ionically conducting member fills at least one space between the parallel plate members of the anode electrode and the parallel plate members of the cathode electrode and the at least one electrolyte member and the at least one non-ionically conducting member are arranged alternately.
US08709669B2 Fuel cell stack including ejector and blower for anode recirculation and method for controlling the same
The present invention relates to a fuel cell system for vehicles and a method for controlling the same which stably maintains an output of a fuel cell by precisely estimating a recirculated hydrogen amount to a stack. A fuel cell system according to the present invention may include: a stack comprising a plurality of unit cells for generating electrical energy by electrochemical reaction of a fuel and an oxidizing agent; a blower for recirculating a gas exhausted from the stack so as to supply the gas back to the stack; an ejector for recirculating the gas exhausted from the stack, receiving hydrogen so as to mix the hydrogen to the recirculated gas, and supplying the mixture to the stack; a sensor module for detecting a driving condition of the vehicle; and a control portion for controlling operations of the blower and the ejector by using the driving condition of the vehicle and performance maps of the blower and the ejector.
US08709668B2 Hydrogen generation device and fuel cell system
A hydrogen generation apparatus 100 of the present invention includes: a reformer 4 for generating a hydrogen-containing gas through a reforming reaction using a raw material gas; a raw material gas supplier 13 for supplying the raw material gas to the reformer 4; a methanator 6 for reducing carbon monoxide contained in the hydrogen-containing gas through a methanation reaction; and a controller for controlling the raw material gas supplier 13 to decrease an amount of the raw material gas supplied to the reformer 4 so as to decrease an amount of generation of the hydrogen-containing gas when a temperature of the methanator 6 increases.
US08709667B2 Magnesium metal-air battery
Disclosed is a magnesium metal-air battery in which capacity of a negative electrode made of magnesium or its alloy is sufficiently utilized for battery performance and which has a positive electrode material which is capable of coping with the capacity of the negative electrode. The magnesium metal-air battery includes at least one unit battery cell. The cell comprises a negative electrode made of magnesium or its alloy; a positive electrode-side catalyst layer including, as positive active material, activated carbon for absorbing oxygen in air, anhydrous poly-carboxylate, manganese and metal powder; a positive current collector which is made of conductive material and which is laminated on the positive electrode-side catalyst layer; and a separator which allows passing of ions between the negative electrode and the positive electrode-side catalyst layer while it separates therebetween. The positive electrode-side catalyst layer may further include carbon black, metal chloride and graphite. In use, where water or metal chloride solution is supplied to at least the positive electrode-side catalyst layer, an electromotive force is generated between the negative electrode and the positive current collector. In the case where a plurality of unit battery cells are connected in series, an insulator is provided therebetween.
US08709666B2 Microbial fuel cell and method of use
A microbial fuel cell comprising a cathode module, an anode module, a means for feeding source water to the anode module, and a means for feeling air to the source water after said anode module, wherein the source water is introduced in the anode module and discharged at the cathode module, a membrane is not used to transfer electrons, and the source water does not flow through a layer between the cathode and anode modules, such as glass wool or beads.
US08709665B2 Nonaqueous secondary battery with nitrile group-containing compound
A nonaqueous electrolyte of nonaqueous secondary battery contains a nitrile group-containing compound at a concentration of 0.05% by mass or more. A positive electrode active material has an average particle diameter of 4.5 to 15.5 μm and a specific surface area of 0.13 to 0.80 m2/g. A positive electrode binder layer contains a silane coupling agent and/or at least one of aluminum, titanium, or zirconium based coupling agent having an alkyl or an alkoxy groups having 1 to 18 carbon atoms at a content of 0.003% by mass or more and 5% by mass or less. Thus nonaqueous secondary battery having a film resistance of the interface between a positive electrode and the electrolyte being less increased, and excellent ion conductivity and charge load characteristics in a low temperature environment is provided.
US08709662B2 Method for producing cathode active material for a lithium secondary battery
The method for producing a cathode active material for a lithium secondary battery is characterized by including (1) a forming step of forming a sheet-form compact containing, as raw substances, a lithium compound serving as a first ingredient, a compound of a transition metal other than lithium serving as a second ingredient, and at least one of boron oxide and vanadium oxide serving as a third ingredient; and (2) a firing step of firing the compact at 700 to 1,300° C.
US08709657B2 Quaternary chalcogenide wafers
Disclosed herein are processes for making quaternary chalcogenide wafers. The process comprises heating a mixture of quaternary chalcogenide crystals and flux and then cooling the mixture to form a solidified mixture comprising ingots of quaternary chalcogenide and flux. The process also comprises isolating one or more ingots of quaternary chalcogenide from the solidified mixture and mounting at least one ingot in a polymer binder to form a quaternary chalcogenide-polymer composite. The process also comprises optionally slicing the quaternary chalcogenide-polymer composite to form one or more quaternary chalcogenide-polymer composite wafers. The quaternary chalcogenide wafers are useful for forming solar cells.
US08709653B2 Negative active material for a rechargeable lithium battery, a method of preparing the same, and a rechargeable lithium battery comprising the same
The present invention relates to a negative active material for a rechargeable lithium battery, which includes a silicon-based composite having a silicon oxide of the form SiOX where x≦1.5 and at least one element selected from the group consisting of B, P, Li, Ge, Al, and V, and a carbonaceous material. The negative active material of the present invention can improve the cycle-life and high-rate charge/discharge characteristics of a rechargeable lithium battery.
US08709649B2 Electrode tab for secondary battery and secondary battery using the same
A secondary battery includes an electrode assembly having a first electrode plate electrically connected to a first electrode tab and a second electrode plate electrically connected to a second electrode tab and an outer casing housing the electrode assembly. At least one of the first electrode tab or the second electrode tab includes at least one first groove extending in a longitudinal direction.
US08709644B2 Battery cell separator
A battery cell separator includes rib supports and ribs connected between the rib supports. The rib supports and the ribs form a cartridge pocket configured to receive a battery cell with the rib supports and the ribs each forming a respective side of the cartridge pocket. In another battery module, the rib supports and the ribs form respective pockets fore and aft of the ribs with each pocket being configured to receive a battery cell.
US08709641B2 Microporous membranes and methods for producing and using such membranes
A microporous membrane comprising layers, wherein at least one layer comprises a first polymer having a Tm in the range of 115.0° C. to 130.0° C. and an Mw of from 5.0×103 to 4.0×105, and the membrane has a shutdown temperature≦130.5° C. and a rupture temperature≧170.0° C.
US08709635B1 Aluminum galvanic cell
The present invention provides a galvanic cell having an aluminum anode and a cathode compartment design suitable for carrying out the aqueous electrochemical reaction between solid aluminum metal and aqueous peroxide ions. The galvanic cell is activated when water, aqueous hydroxide solution, or an aqueous salt solution is added to the cell. This reaction releases a significant amount of electrochemical energy from a small size (mass or volume) cell. This cell reaction and design leads to an improvement in energy released over state-of-the-art aluminum/hydrogen peroxide galvanic cells.
US08709632B2 Battery module
A battery including a battery case, an electrode assembly in the battery case, the electrode assembly including a plurality of windings that are wound about a winding axis, the winding axis being oriented parallel to a bottom surface of the battery case, and a deformable member between the electrode assembly and the bottom surface of the battery case, the deformable member being pressed between the electrode assembly and the bottom surface of the battery case.
US08709630B2 Rechargeable battery including a channel member
A rechargeable battery including an electrode assembly capable of being charged and discharged; a case accommodating the electrode assembly; a cap assembly, the cap assembly including a cap plate coupled to an opening of the case, and a vent member in the cap plate, the vent member being configured to open at a predetermined internal pressure, a terminal exposed to an outer side of the cap plate; a lower insulating member, the lower insulating member insulating the terminal and the cap plate at a lower side of the cap plate; and a channel member between the electrode assembly and the cap plate and forming a space, the channel member being fixed to the lower insulating member.
US08709629B2 Systems and methods for redox flow battery scalable modular reactant storage
Disclosed herein are various embodiments of redox flow battery systems having modular reactant storage capabilities. Accordingly to various embodiments, a redox flow battery system may include an anolyte storage module configured to interface with other anolyte storage modules, a catholyte storage module configured to interface with other catholyte storage modules, and a reactor cell having reactant compartments in fluid communication with the anolyte and catholyte storage modules. By utilizing modular storage modules to store anolyte and catholyte reactants, the redox flow battery system may be scalable without significantly altering existing system components.
US08709626B2 Apparatus for preventing overcharge of a battery
Disclosed is an apparatus for preventing overcharging of a battery. More specifically, a cell module in which cells are configured to be stacked and a plurality of cells are connected through electrode terminals and a fixing rod disposed between the cells is provided. A pressure plate, which is installed on the fixing rod to provide the appropriate reactive force, and an elastic member disposed on the side of the second end of the pressure plate is installed to provide elastic force to the pressure plate. The pressure plate is see-sawingly-rotated when the cell is expanded over a predetermined pressure accordingly to cut-off the power to the battery when the pressure within the battery exceeds the predetermined threshold.
US08709625B2 Cylindrical lithium secondary battery comprising a contoured center pin
A cylindrical lithium secondary battery includes: an electrode assembly with a center pin at a center of the electrode assembly; a can accommodating the electrode assembly; and a cap assembly coupled to a top of the can, wherein at least one end of the center pin is contoured to deform during collision between the center pin and a bottom surface of the can or the cap assembly and to absorb the impact so as to prevent the center pin from escaping the cylindrical lithium secondary battery.
US08709619B2 Low-coupling oxide media (LCOM)
A low-coupling perpendicular magnetic recording media comprising a magnetic storage layer and at least one low saturation magnetization layer. The magnetic storage layer has a saturation magnetization between about 400-900 emu/cm3 and the at least one low saturation magnetization layer has a saturation magnetization below that of the magnetic storage layer.
US08709614B2 Organic metal complex and its use in organic electroluminescent device
Disclosed are an organic electroluminescent device (organic EL device) that is improved in luminous efficiency and fully assured of driving stability and has a simple structure and an organic metal complex suitable therefor. The organic metal complex is represented by the following general formula (I) wherein Ar1 denotes an aromatic hydrocarbon group or a heteroaromatic group and may have substituents, Ar2 and Ar3 respectively denote an aromatic hydrocarbon group or a heteroaromatic group and may have substituents, M denotes a trivalent metal, and L denotes an arylate ligand containing a hetero ring having at least one nitrogen atom capable of coordinating M. This organic metal complex, along with a phosphorescent dopant, is suitable for a material constituting the light-emitting layer of an organic EL device.
US08709612B2 Electronic component
A laminated ceramic capacitor includes a rectangular solid-shaped electronic component element. External electrodes of terminal electrodes are disposed at one end surface and the other end surface of the electronic component element. First plated films including a Ni plating are disposed on the surfaces of external electrodes. On the surfaces of the first plated films, second plated films containing Sn are disposed as Sn-plated films defining outermost layers of the external electrodes. The second plated films have a polycrystalline structure, and flake-shaped Sn—Ni alloy grains are located at a Sn crystal grain boundary and within a Sn crystal grain, respectively.
US08709607B2 Coating composition, and a process for producing the same
The present invention provides a coating composition, a process for producing the same, one or more coating layers derived therefrom, and articles comprising one or more such coating layers. The coating composition according to the present invention comprises: (a) from 10 to 90 percent by weight of an aqueous, high-solid, solvent-free alkyd dispersion comprising: (i) from 40 to 70 percent by weight of one or more alkyds based on the total weight of the dispersion, wherein each said one or more alkyds has an acid value of less than 20 and a molecular weight (Mn) in the range of greater than 1000 Dalton; (ii) from less than 10 percent by weight of one or more surfactants, based on the total weight of the dispersion; and (iii) from 30 to 55 percent by weight of water, based on the total weight of the dispersion; wherein said aqueous, high-solid, solvent-free alkyd dispersion has an average particle size diameter in the range of from 0.05 to 5 μm; (b) from 10 to 90 one or more second dispersions, one or more second emulsions, or combinations thereof; wherein said coating composition has a solid content of in the range of from 25 to 65 percent by weight of solid content, based on the total weight of the coating composition, and the coating composition has a pH in the range of from 7 to 11, and wherein the coating composition has a volume average particle size in the range of from 0.03 to 5 μm, and wherein the coating composition has a viscosity in the range of from 100 to 10,000 cP.
US08709605B2 Intermediate film for laminated glasses, and laminated glass
The present invention provides an interlayer film for laminated glass which enables production of laminated glass having a high visible transmittance and excellent heat-shielding properties, and enables the laminated glass to retain the high visible transmittance for a long period of time; and provides laminated glass including the interlayer film. An interlayer film for laminated glass according to the present invention includes a first heat-shielding layer and at least one second heat-shielding layer. The first heat-shielding layer includes a thermoplastic resin and at least one component selected from a phthalocyanine compound, a naphthalocyanine compound, and an anthracocyanine compound. The second heat-shielding layer includes a thermoplastic resin and metal oxide particles. The laminated glass of the present invention includes a first member for laminated glass, a second member for laminated glass, and an interlayer film sandwiched between the first member for laminated glass and the second member for laminated glass. The interlayer film is the interlayer film for laminated glass according to the present invention.
US08709603B2 Article based on a composition containing a crosslinked blend of elastomers
Article based on an elastomeric composition (C) comprising a crosslinked blend (B) of at least: (1) one substantially saturated synthetic elastomer; and (2) a copolymer containing repeating units derived from at least one conjugated diene and at least one α,β-unsaturated nitrile, the article having been subjected to a surface halogenation treatment.
US08709598B2 Microcapsules, their use and processes for their manufacture
A microcapsule comprising a core containing a hydrophobic liquid or wax and a polymeric shell formed from: i) 1 to 20% by weight of polymerizable silane compound, ii) 1 to 94% by weight of hydrophobic mono functional ethylenically unsaturated monomer, iii) 5 to 98% by weight of polyfunctional ethylenically unsaturated monomer, and iv) 0 to 60% by weight of other mono functional monomer(s), wherein components (i), (ii), (iii) and (iv) total 100%, and in which the microcapsule also includes a hydrophilic polymer which is covalently bonded to the microcapsule. The invention includes a process for the manufacture of particles and the use of particles in articles, such as fabrics, and coating compositions, especially for textiles.
US08709597B2 Pressure-sensitive adhesive composition for optical films, pressure-sensitive adhesive optical film and image display
There is provided a pressure-sensitive adhesive composition for optical films. The composition can form a pressure-sensitive adhesive layer that can prevent light leakage caused by stress associated with dimensional change of a component such as an optical film, which include the pressure-sensitive adhesive layer that has reworkability such that it can be easily peeled from a component, and that has satisfactory processability such that it can be processed without pressure-sensitive adhesive stain or dropout, after it is formed on an optical film. A pressure-sensitive adhesive optical film produced using the composition is also provided. The pressure-sensitive adhesive composition includes a (meth)acrylic polymer including (a) 34 to 94% by weight of an alkyl (meth)acrylate monomer unit, (b) 5 to 35% by weight of an aromatic ring-containing (meth)acrylate monomer unit, and (c) 0.01 to 0.5% by weight of an amino group-containing (meth)acrylate monomer unit and further including (d) 0.05 to 3% by weight of a carboxyl group-containing (meth)acrylate monomer unit and/or (e) 0.05 to 2% by weight of a hydroxyl group-containing (meth)acrylate monomer unit and having a weight average molecular weight of 1,600,000 to 3,000,000 as determined by gel permeation chromatography; crosslinking agents including 0.01 to 5 parts by weight of an isocyanate crosslinking agent and 0.01 to 2 parts by weight of a silane coupling agent based on 100 parts by weight of the (meth)acrylic polymer.
US08709595B2 Myoglobin blooming agents, films, packages and methods for packaging
Non-shrink, forming and nonforming thermoplastic webs comprising a myoglobin blooming agent on a food contact surface are useful for packaging fresh meat and other products to fix a desirable color on the surface of the product.
US08709593B2 Coated article and method for making the same
A coated article is described. The coated article includes an aluminum or aluminum alloy substrate and a corrosion resistant layer formed on the substrate. The corrosion resistant layer is a compound silicon-titanium-nitrogen layer. A method for making the coated article is also described.
US08709591B2 Resin composition and molded article
A resin composition includes a biodegradable resin (A), a polyolefin resin (B) and a dispersing agent (C), which has a sea-isle structure in which the biodegradable resin (A) is dispersed as isles in the polyolefin resin (B) existing as a sea component wherein the average dispersed particle size of the biodegradable resin (A) is 1 nm to 1000 nm.
US08709587B2 Resin composition, prepreg, resin sheet, metal-clad laminate, printed wiring board, multilayer printed wiring board and semiconductor device
Disclosed is a resin composition exhibiting a low thermal expansion coefficient, as well as higher heat resistance, flame resistance and insulation reliability than ever before when used in a multilayer printed wiring board that requires fine wiring work. Also disclosed are a prepreg, a resin sheet, a metal-clad laminate, a printed wiring board, a multilayer printed wiring board and a semiconductor device, all of which comprising the resin composition. The resin composition of the present invention comprises (A) an epoxy resin, (B) a cyanate resin and (C) an onium salt compound as essential components.
US08709584B2 Composite aircraft floor system
An aircraft floor system includes a composite panel which generally includes an inboard layer, an inboard interlock layer, a core layer, an outboard interlock layer and an outboard layer. The advanced sandwich core material may include K-Cor™ or X-Cor™ which is pinned through at least one ply of dry composite reinforcement to provide a mechanical lock between the pins and an inboard and outboard interlock layer. Bonding of the locked-in ply with the inboard and outboard results in improved structural performance and damage tolerance.
US08709581B2 Sheet member and method of manufacturing sheet member
In an outer covering sheet of an absorbent product, first, second and third adhesive layer elements each extending in a left-right direction are formed on a second covering sheet by spiral spray application. Each of these adhesive layer elements has wide width parts and narrow width parts which are continuous with the wide width parts, respectively. Therefore, hot melt adhesive can be stably discharged across the entire length of the adhesive layer element, unlike in the case where only wide width parts are formed intermittently. As a result, it is possible to obtain the outer covering sheet which is a sheet member having the adhesive layer elements with desired shapes where unevenness is decreased. In the absorbent product which is manufactured with use of the sheet member, the comfort level of the wearer can be prevented from decreasing due to unevenness of thickness of the adhesive layer elements.
US08709572B2 Fabrication of high gradient insulators by stack compression
Individual layers of a high gradient insulator (HGI) are first pre-cut to their final dimensions. The pre-cut layers are then stacked to form an assembly that is subsequently pressed into an HGI unit with the desired dimension. The individual layers are stacked, and alignment is maintained, using a sacrificial alignment tube that is removed after the stack is hot pressed. The HGI's are used as high voltage vacuum insulators in energy storage and transmission structures or devices, e.g. in particle accelerators and pulsed power systems.
US08709569B2 Optical recording medium, and method for producing optical recording medium
An optical recording medium includes: a substrate; an information recording layer formed on the substrate for recording and reproducing an information signal by irradiation with light; and a light transmission layer formed on the information recording layer and transmitting the light, the storage elastic modulus of the light transmission layer at −5° C. being within a range of 1500 MPa or less.
US08709568B2 Moulded tie strips
A sheet of cellular tie strips, where each strip is composed of a series of unit cell portions connected end-to-end, is formed in a single molding process. Lateral webs join one or more strips side-by-side to form a sheet such that each strip can be readily separated by tearing, stamping, cutting, leaving only a very thin vestige which does not interfere with the tying of the strips in use.
US08709567B2 Roll of continuous web of optical film laminate and production method therefor
A method of producing a roll of a continuous web of an optical film laminate, usable in a process of laminating an optical film sheet including a polarizing film and formed to a size corresponding to that an optical panel to a surface of the optical panel being fed to a lamination position, is disclosed. The polarizing film is prepared by subjecting a laminate including a thermoplastic resin substrate and a PVA type resin layer formed on the substrate, to uniaxial 2-stage stretching to reduce a thickness of the PVA type resin layer to 10 μm or less, and causing a dichroic material to be absorbed in the PVA type resin layer. A carrier film is releasably attached to the continuous web of optical film laminate including the polarizing film, through an adhesive layer. A defect inspection is performed for the optical film laminate and the adhesive layer.
US08709565B2 Pre-primed roofing membrane
A roofing membrane assembly includes a membrane having a top surface, bottom surface, first longitudinal edge and second longitudinal edge. A first primed area is located at the top surface along the first longitudinal edge. A second primed area is located on the bottom surface along the second longitudinal edge. A tape is secured to the membrane on the first primed area. A first release liner is positioned over the tape and a second release liner positioned over the second primed area.
US08709551B2 Smooth silicon-containing films
Methods and hardware for depositing ultra-smooth silicon-containing films and film stacks are described. In one example, an embodiment of a method for forming a silicon-containing film on a substrate in a plasma-enhanced chemical vapor deposition apparatus is disclosed, the method including supplying a silicon-containing reactant to the plasma-enhanced chemical vapor deposition apparatus; supplying a co-reactant to the plasma-enhanced chemical vapor deposition apparatus; supplying a capacitively-coupled plasma to a process station of the plasma-enhanced chemical vapor deposition apparatus, the plasma including silicon radicals generated from the silicon-containing reactant and co-reactant radicals generated from the co-reactant; and depositing the silicon-containing film on the substrate, the silicon-containing film having a refractive index of between 1.4 and 2.1, the silicon-containing film further having an absolute roughness of less than or equal to 4.5 Å as measured on a silicon substrate.
US08709549B2 Radiation-curable ink composition, ink jet recording method, and recorded matter
Provided are a radiation-curable ink composition including phenoxyethyl acrylate (A) in an amount of from 20 to 55 mass % of the total reaction components, a multifunctional acrylate (B) in an amount of from 20 to 50 mass % of the total reaction components, and a black pigment (F1); and a radiation-curable ink composition including phenoxyethyl acrylate (A) in an amount of from 20 to 55 mass % of the total reaction components, a multifunctional acrylate (B) in an amount of from 10 to 50 mass % of the total reaction components, and a yellow pigment (F2).
US08709545B2 Hybrid coatings and associated methods of application
A hybrid coating including a mixture of an organosilane component, a metal alkoxide component and a surfactant component. A method for coating a substrate including cleaning a substrate surface, treating the surface with oxygen plasma, applying an adhesion promoter to the surface, then applying a hybrid coating to the surface and curing the hybrid coating. A method for making a hybrid coating includes preparing a mixture comprising an organosilane, a metal alkoxide and a surfactant, heating the mixture; and filtering the mixture.
US08709544B2 Catalysts for polyurethane coating compounds
The present invention relates to coating compositions for polyurethane coating materials that feature new catalysts.
US08709541B2 Method for forming a film
A method for forming a thin film on a surface of an object to be processed by using an organic metal raw material gas within a processing chamber configured to exhaust air includes: hydrophobizing a surface of the processing chamber by introducing a hydrophobic gas into the processing chamber without the object to be processed accommodated in the processing chamber; and forming the thin film by introducing the organic metal raw material gas into the processing chamber with the object to be processed accommodated in the processing chamber.
US08709538B1 Substantially aligned boron nitride nano-element arrays and methods for their use and preparation
Substantially aligned boron nitride nano-element arrays prepared by contacting a carbon nano-element array with a source of boron and nitrogen; methods for preparing such arrays and methods for their use including use as a heat sink or as a thermally conductivity interface in microelectronic devices.
US08709536B2 Composite filtration membranes and methods of preparation thereof
A method comprises disposing, on a porous support membrane, an aqueous mixture comprising a crosslinkable polymer comprising a poly(meth)acrylate and/or poly(meth)acrylamide backbone, thereby forming an initial film layer, wherein the crosslinkable polymer comprises a side chain nucleophilic amine group capable of interfacially reacting with a multi-functional acid halide crosslinking agent to form a crosslinked polymer; contacting the initial film layer with a mixture comprising i) the multi-functional acid halide crosslinking agent, ii) an optional accelerator, and iii) an organic solvent, the organic solvent being a non-solvent for the crosslinkable polymer; and allowing the crosslinkable polymer to interfacially react with the crosslinking agent, thereby forming a composite filtration membrane comprising an anti-fouling selective layer comprising the crosslinked polymer.
US08709529B2 Optoelectronic devices and a method for producing the same
A light-emissive device comprising a light-emissive material provided between first and second electrodes such that charge carriers can move between the first and second electrodes and the light-emissive material, wherein the device includes a layer of a polymer blend provided between the first and second electrodes, phase separation of the polymers in the polymer blend having been induced in at least a portion of the polymer blend so as to control the propagation of light emitted by the light-emissive material in a predetermined direction.
US08709525B2 Process for preparing soybean curd using micronized solution of soybean curd
Present invention relates to a process for preparing soybean curd, including removing moisture of non-standardized soybean curd; mixing the non-standardized soybean curd of which moisture was removed with soybean milk; obtaining micronized solution of soybean curd by grinding and filtering a mixture thereof; and mixing the micronized solution of soybean curd with a coagulant and soybean milk so as to coagulate it.
US08709524B2 Process for preparing red cocoa ingredients, red chocolate, and food products
The invention provides acidified red cocoa products and ingredients, such as acidified red cocoa bean nibs, red cocoa liquor, and red cocoa powder. The acidified cocoa products and ingredients are generally made from under-fermented or unfermented cocoa beans that are treated with an acid composition. The methods include those of making food products and ingredients where the levels cocoa antioxidants are maintained by the use of an acid treatment and the avoidance of dutching, and the food products and ingredient have a distinctive red color. Cocoa nibs, chocolate or cocoa liquor and cocoa powders having a red hue or color and having high levels of cocoa antioxidants are disclosed, as well as food products made from them.
US08709522B2 Fabricated leaf tea products
Shown is a process for manufacturing a fabricated leaf tea product. The process includes the steps of: (i) providing a concentrated liquid tea composition; (ii) providing a base leaf tea; (iii) combining the concentrated liquid tea composition with the base leaf tea to form a tea mixture having a total soluble solids content of at least 50% by dry weight of the mixture; and (iv) drying the tea mixture. At least 20% by weight of the base leaf tea has a particle size of +16 mesh and/or the tea mixture is sorted according to particle size to yield a fraction wherein at least 20% by weight of the fraction has a particle size of +16 mesh; and the time between forming the tea mixture (step iii) and drying (step iv) is at least 5 minutes.
US08709519B1 Method and apparatus for accessing coconut water
A spigot for a coconut that provides easy access by consumers to the sterile coconut water within a coconut. The spigot is comprised of a conduit having a spike-tip on one end and a spout on the other with a through bore therebetween. The coconut having a partially inserted spigot is provided to the consumer whereby the consumer gains access to the sterile coconut water by pushing the partially inserted spigot to its seated position with a grommet provided as an insertion stop for the spigot. An optional air vent is provided to aid in fluid flow from the spigot.
US08709516B2 Method for culturing lactic acid bacterium and method for producing fermented milk
A method for culturing lactic acid bacteria includes (a) adding a proteolytic enzyme to an aqueous whey solution containing whey and water to thereby prepare a culture solution containing whey degraded by the proteolytic enzyme; (b) inoculating the culture solution with Lactobacillus gasseri which is a bacteriocin-producing lactic acid bacteria; and (c) maintaining the culture solution inoculated with the bacteriocin-producing lactic acid bacteria at a pH of not lower than 5.2 and not higher than 5.8 to provide cultured lactic acid bacteria. After the completion of culturing, the culture solution is centrifuged to separate a concentrated cell suspension containing the lactic acid bacterium in a concentrated form. The concentrated cell suspension has extremely high antibacterial activity, several tens of thousands AU, and is usable as a food preservative. Yogurt is produced by fermenting a yogurt mix to which 0.01% to 0.1% by weight of the concentrated cell suspension is added.
US08709512B2 Method for treating hyperglycemia
An Aloe vera extract is provided that can be safely ingested and, can be used as a food material for treating lifestyle diseases such as hyperglycemia. The Aloe vera extract contains an extremely low level of an anthraquinone (anthraquinone-based compound), and can be added to foods. The Aloe vera extract is produced by a supercritical fluid extraction method and contains a mixture of a cyclolanostane compound and a lophenol compound in an amount of 1.0% by mass or more. The mass mixing ratio of cyclolanostane compound:lophenol compound is 5.1:4.9 to 6.3:2.7 and/or the content of an anthraquinone-based compound is 0.001% by mass or less.
US08709511B2 External preparation composition for skin comprising ginseng flower or ginseng seed extracts
Provided are a use of a ginseng flower extract and a use of a ginseng seed extract. The ginseng seed extract includes ginseng seed oil or a ginseng seed residue extract. The uses include skin protection, anti-aging, anti-wrinkle, improvement of skin elasticity, skin whitening, skin moisturizing, prevention and improvement of skin dryness diseases such as atopy, removal of the horny layer, sebum control, anti-inflammation, prevention or improvement of skin troubles such as acne, improvement of skin complexion, prevention of hair loss, anti-dandruff, improvement of gloss and softness of hair, improvement of volume and elasticity of face or body, and improvement of facial or body line.
US08709508B2 Xylitol-based anti-mucosal compositions and related methods and compositions
Compositions and methods for alleviating an allergy condition while also reducing a drying effect of an allergy medication or another anti-mucosal composition. In some embodiments, a nasal solution for alleviating an allergy condition may comprise an anti-mucosal composition in an amount effective for treating an allergy condition and at least one of xylitol and xylose in an amount effective for reducing nasal dryness caused by the anti-mucosal composition.
US08709507B2 Maesa japonica extracts and methods of use
Methods of using extracts of Maesa japonica to impart anti-aging benefits to skin and/or improve skin conditions resulting from aging or damaged skin.
US08709505B2 Therapeutic rinse in a self-heating package
A therapeutic rinse for treating a sore throat including a self heating container having a reservoir. The self heating container is capable of reaching a temperature of at least 130 degrees Fahrenheit when activated. The therapeutic rinse includes a liquid composition having sodium chloride, water, an antibiotic, and a variety of vitamins, minerals and herbs stored in the reservoir of the self heating container. During use, the self heating container is activated and the liquid composition is heated inside the reservoir to about 130 degrees Fahrenheit.
US08709502B2 Extract of Vanilla planifolia
The invention relates to an extract of Vanilla planifolia, consisting in a liposoluble fraction, to a cosmetic or dermatological composition containing it, and to cosmetic and dermatological methods using same, especially in the treatment of skin ageing.
US08709501B1 Method for treating wounds for mammals, wound healer compound, and method of manufacturing thereof
A composition and method of use for treating wounds of mammals comprising an effective amount of the plant extracts Calendula officinalis and sublimed sulfur in a topical pharmaceutical carrier and or tea tree oil. The unique combination of these active plant extracts treats any type of wound effectively and immediately by increasing granulation at the site of the wound, promoting metabolism of proteins and collagen, inducing new cell growth, stopping bleeding, minimizing pain, and providing anti-bacterial activity. The present invention also provides a calendula composition to be administered orally to a mammal to alleviate EIPH or bleeding. The present invention also provides a calendula composition to alleviate the negative effects of inflammation due to stress, to treat muscle pulls and joints, to improve the eating regimen of high performance mammals, to treat mastitis, anhydrosis, and treat or prevent an ulcer in the stomach or duodenum of a mammalian patient.
US08709496B2 Use of deuterium oxide for the treatment of virus-based diseases of the respiratory tract
The present invention relates to the use of deuterium oxide for the prophylaxis and/or therapy of virus-based diseases of the respiratory tract.
US08709493B2 Placental tissue grafts
Described herein are tissue grafts derived from the placenta. The grafts are composed of at least one layer of amnion tissue where the epithelium layer has been substantially removed in order to expose the basement layer to host cells. By removing the epithelium layer, cells from the host can more readily interact with the cell-adhesion bio-active factors located onto top and within of the basement membrane. Also described herein are methods for making and using the tissue grafts. The laminin structure of amnion tissue is nearly identical to that of native human tissue such as, for example, oral mucosa tissue. This includes high level of laminin-5, a cell adhesion bio-active factor show to bind gingival epithelia-cells, found throughout upper portions of the basement membrane.
US08709489B2 Emulsions containing arylboronic acids and medical articles made therefrom
The invention provides emulsion compositions that include a hydrophobic compound and an arylboronic acid. An exemplary emulsion comprises a hydrophobic polymer and a halogenated arylboronic acid. Use of an arylboronic acid provides the emulsion with exceptional stability. The stability provides advantages for the formation of articles formed from the emulsion, including microparticles, as well as other implantable or injectable medical articles having polymeric matrices.
US08709483B2 System for targeted delivery of therapeutic agents
The present invention provides a drug delivery system for targeted delivery of therapeutic agent-containing particles to tissues, cells, and intracellular compartments. The invention provides targeted particles comprising a particle, one or more targeting moieties, and one or more therapeutic agents to be delivered and pharmaceutical compositions comprising inventive targeted particles. The present invention provides methods of designing, manufacturing, and using inventive targeted particles and pharmaceutical compositions thereof.
US08709479B2 Sublingual coated tablet of fentanyl
The present invention relates to a fentanyl coated tablet and to the method for the preparation thereof.
US08709477B2 Pharmaceutical dosage form
This invention relates to a oral pharmaceutical formulation for methylphenidate or its analogs, derivatives, isomers or enantiomers, including d-threo-methylphenidate.
US08709475B2 Stable pharmaceutical composition and methods of using same
The present invention relates to, inter alia, pharmaceutical compositions comprising a polyunsaturated fatty acid and to methods of using the same to treat or prevent cardiovascular-related diseases.
US08709473B1 Method of targeting hydrophobic drugs to vascular lesions
This invention relates to the targeted delivery of hydrophobic drugs or combinations of hydrophobic drugs with photodynamic therapy agents to vascular lesions by complexation of the drugs to blood serum lipoproteins, which have an affinity for and accumulate in such vascular lesions.
US08709469B2 Anti-proliferative and anti-inflammatory agent combination for treatment of vascular disorders with an implantable medical device
Drug-delivery systems such as drug-delivery stents having an anti-proliferative agent such as everolimus and an anti-flammatory agent such as clobetasol are provided. Also disclosed are methods of treating a vascular impairment such as restenosis or vulnerable plaque.
US08709468B2 Closed cell culture system
An object of the present invention is to provide a tissue cell culture system whereby a call tissue can be efficiently and quickly proliferated in vivo and the onset bacterial infection in an injured part can be avoided in the course of a treatment. More specifically, a closed cell culture system (1) characterized in that a defection (2) of a tissue on the body surface or inside the body is tightly sealed to form a closed environment free from the invasion of bacteria, etc. and then a solution appropriate for cell culture is circulated in the tissue defection thus sealed to thereby regenerate the defective tissue; and a method of administering a drug which comprises dissolving a remedy in the perfusion with the use of the above system and thus promoting the treatment of the defection.
US08709466B2 Cationic polymers for antimicrobial applications and delivery of bioactive materials
A cationic star polymer is disclosed of the general formula (1): I′P′]w′  (1), wherein w′ is a positive number greater than or equal to 3, I′ is a dendritic polyester core covalently linked to w′ independent peripheral linear cationic polymer chains P′. Each of the chains P′ comprises a cationic repeat unit comprising i) a backbone functional group selected from the group consisting of aliphatic carbonates, aliphatic esters, aliphatic carbamates, aliphatic ureas, aliphatic thiocarbamates, aliphatic dithiocarbonates, and combinations thereof, and ii) a side chain comprising a quaternary amine group. The quaternary amine group comprises a divalent methylene group directly covalently linked to i) a positive charged nitrogen and ii) an aromatic ring.
US08709464B2 Porous objects having immobilized encapsulated biomolecules
A porous object includes a porous material having internal pore surfaces and external pore surfaces. Releasing material encapsulated biomolecules are immobilized on at least one of the internal pore surfaces, at least one of the external pore surfaces, or combinations thereof.
US08709461B2 Preservation of liquid foods
Anti-spoilage inserts and methods are provided for inhibiting the spoilage of liquid foods.
US08709460B2 Chemical method of making a suspension, emulsion or dispersion of pyrithione particles
A method for producing a suspension, emulsion or dispersion of de-agglomerated particles (advantageously submicron-sized particles) of pyrithione salts comprising contacting agglomerated pyrithione salt particles with a de-agglomerating agent to produce the desired de-agglomerated pyrithione salt particles. Also disclosed is a method for making de-agglomerated submicron-sized particles of pyrithione salts comprising a heating step. Also disclosed are the particles made by the above methods and compositions comprising the particles and a base medium.
US08709459B2 Triple-action pest control formulation and method
The present disclosure provides a triple-action pest control formulation for controlling rodents, insects, and terrestrial mollusks, the formulation including: a first active ingredient which is a rodenticide; a second active ingredient which is both an insecticide and a molluscicide; an optional attractant; and a carrier matrix. The present disclosure also provides a method of controlling rodents, insects, and terrestrial mollusks by applying the triple action pest control formulation to a target area or supplying the formulation in a bait station.
US08709458B2 Synergistic fungicidal interactions of 5-fluorocytosine and other fungicides
A fungicidal composition containing a fungicidally effective amount of a) a compound of Formula I and (b) at least one fungicide selected from the group consisting of myclobutanil, fenbuconazole, difenoconazole, trifloxystrobin, pentiopyrad, fluopyram, boscalid, mancozeb, and pyrimethanil provides synergistic control of selected fungi.
US08709456B2 Liquid-filled protein-phosphatidic acid capsule dispersions
The present invention generally relates to the field of membranes. In particular, the present invention relates to a composition comprising bodies surrounded by a membrane. The composition are for example edible compositions. One embodiment of the present invention relates to a composition containing an oily fraction, a hydrophilic fraction, and at least one body, wherein the body comprises a shell comprising several molecular layers of protein and at least one phosphatidic acid surfactant; and a content comprising an internal phase containing a hydrophilic component and/or a hydrophobic component. The composition may be used to protect a food product or to deliver specific properties to a product, for example.
US08709454B2 Combination of a microorganism and a phytosphingosine derivative, composition, methods of use
At least one phytosphingosine salicylate derivative and at least one lysate of at least one microorganism of the genus Bifidobacterium. Composition, combination. Use, including cosmetic use for reinforcing the repair and regeneration capacity of an epithelium, especially an epidermis, in particular an aged epidermis.
US08709453B2 Cosmetic product including vegetable oil blend
A cosmetic product includes a vegetable oil blend having an iodine value of 20-80 and including a partially hydrogenated vegetable oil and a fatty acid. The vegetable oil blend can be used alone or in combination with other ingredients. The vegetable oil blend moisturizes human skin, provides a protective barrier to dirt and weather, acts as an emollient, and may promote healing of cracked, dry or otherwise damaged skin.
US08709450B2 Cellulose derivative and hydrogel thereof
The invention is a cellulose derivative wherein some of the carboxyl groups of the cellulose derivative carboxymethylcellulose are replaced with —CO—NH—X—CO—Y—Z, and a hydrogel of the same. In the formula, X is a C1-10 divalent hydrocarbon group, Y is a divalent group derived from polyalkylene oxide having oxygen atoms at both ends, and Z is a C1-24 hydrocarbon group or —CO—R4, where R4 is a C1-23 hydrocarbon group. The hydrogel has excellent viscoelasticity and can be injected into prescribed sites with injecting devices such as syringes, and it can thus be utilized as a medical gel or adhesion barrier.
US08709447B2 Compositions and methods for activating innate and allergic immunity
Methods for making and using therapeutic formulations of Proteosome-based immunoactive compositions are provided. The immunogenic compositions, which include Proteosomes and liposaccharides, may be used to elicit or enhance a nonspecific innate immune response to, for example, treat or prevent infectious disease. In addition, after activating the innate immune system, immunogenic compositions further containing an antigen may be used to elicit a specific adaptive immune response. Furthermore, provided are compositions capable of altering hyperreactive responses or inflammatory immune responses, such as allergic reactions. Such compositions may be used as a prophylactic, or in various clinical settings to treat or prevent infectious disease (such as parasite, fungal, bacterial or viral infections), or to alter inappropriate inflammatory immune responses (such as allergic reactions or asthma).
US08709443B2 Reovirus for the treatment of cellular proliferative disorders
Methods for treating proliferative disorders, by administering reovirus to a Ras-mediated proliferative disorder, are disclosed. The reovirus is administered so that it ultimately directly contacts ras-mediated proliferating cells. Proliferative disorders include but are not limited to neoplasms. Human reovirus, non-human mammalian reovirus, and/or avian reovirus can be used. If the reovirus is human reovirus, serotype 1 (e.g., strain Lang), serotype 2 (e.g., strain Jones), serotype 3 (e.g., strain Dearing or strain Abney), as well as other serotypes or strains of reovirus can be used. Combinations of more than one type and/or strain of reovirus can be used, as can reovirus from different species of animal. Either solid neoplasms or hematopoietic neoplasms can be treated.
US08709437B2 Clostridium chauvoei polypeptide, DNA encoding the polypeptide and a vaccine comprising the polypeptide
The present invention pertains to the identification and initial characterization of CctA, a novel, secreted toxin of Clostridium chauvoei that represents the major cytotoxic activity of C. chauvoei. This toxin confers C. chauvoei its characteristic strong hemolytic activity towards erythrocytes of various species, since anti-CctA antibodies fully neutralize hemolysis by C. chauvoei on standard blood-agar medium or in cell-free supernatants of liquid cultures.
US08709432B2 Methods for increasing efficacy of FOLR1 cancer therapy
Methods to improve the success of cancer therapies that target the human folate receptor 1 are provided. Kits comprising reagent useful in the methods are further provided.
US08709430B2 Cancer treatment kits comprising therapeutic antibody conjugates that bind to aminophospholipids
Disclosed is the surprising discovery that aminophospholipids, such as phosphatidylserine and phosphatidylethanolamine, are specific, accessible and stable markers of the luminal surface of tumor blood vessels. The present invention thus provides aminophospholipid-targeted diagnostic and therapeutic constructs for use in tumor intervention. Antibody-therapeutic agent conjugates and constructs that bind to aminophospholipids are particularly provided, as are methods of specifically delivering therapeutic agents, including toxins and coagulants, to the stably-expressed aminophospholipids of tumor blood vessels, thereby inducing thrombosis, necrosis and tumor regression.
US08709426B2 Nucleotide sequence coding for variable regions of β chains of human T lymphocyte receptors, corresponding peptide segments and the diagnostic and therapeutic uses
The present invention relates to new nucleotide sequences coding for variable regions of β chains of human T lymphocyte receptors, corresponding peptide segments and the diagnostic and therapeutic uses.
US08709424B2 Anti-GITR antibodies
Antibodies to human GITR are provided, as well as uses thereof, e.g., in treatment of proliferative and immune disorders.
US08709419B2 Combination therapy
The present invention relates to a combination therapy of propane-1-sulfonic acid {3-[5-(4-chloro-phenyl)-1H-pyrrolo[2,3-b]pyridine-3-carbonyl]-2,4-difluoro-phenyl}-amide, or a pharmaceutically acceptable salt thereof, and an EGFR inhibitor for treating a patient suffering from a proliferative disorder, in particular a solid tumor, for example, colorectal cancer, melanoma, and thyroid cancer.
US08709417B2 Combination immunotherapy for the treatment of cancer
Agonists to ICOS in combination with a blocking agent to a T cell inhibitory receptor (e.g., CTLA-4, PD-I, etc.) are demonstrated herein to be useful for the treatment of tumors.
US08709416B2 Compositions of PD-1 antagonists and methods of use
Methods of treating cancer and infectious diseases utilizing a treatment regimen comprising administering a compound that reduces inhibitory signal transduction in T cells, in combination with a potentiating agent, such as cyclophosphamide, to produce potent T cell mediated responses, are described. Compositions comprising the PD-1 antagonists and potentiating agents useful in the methods of the invention are also disclosed.
US08709415B2 Antibodies to OX-2/CD200 and uses thereof
This application provides methods and compositions for modulating and/or depleting CD200 positive cells.
US08709414B2 Superagonistic anti-CD28 antibodies
The present invention relates to one or more nucleic acid(s) encoding a binding molecule specifically binding to a human CD28 molecule, comprising (a) a nucleic acid sequence encoding a VH region and a nucleic acid sequence encoding a VL region comprising CDRs in a human immunoglobulin framework, wherein (i) the CDRs of the VH region (CDR-H) comprise the amino acid sequences of SEQ ID NOS: 2 or 18 (CDR-H3), 4 or 20 (CDR-H2) and 6 or 22 (CDR-H1) or are encoded by the nucleic acid sequences of SEQ ID NOS: 1 or 17 (CDR-H3), 3 or 19 (CDR-H2) and 5 or 21 (CDR-H1); and (ii) the CDRs of the VL region (CDR-L) comprise the amino acid sequences of SEQ ID NOS: 8 or 24 (CDR-L3), 10 or 26 (CDR-L2) and 12 or 28 (CDR-L1) or are encoded by the nucleic acid sequences of SEQ ID NOS: 7 or 23 (CDR-L3), 9 or 25 (CDR-L2) and 11 or 27 (CDR-L1); and (b) a nucleic acid sequence encoding the constant region of a human IgG1 or IgG4 antibody.
US08709413B2 Treatment of celiac disease with IgA
A process is provided for inhibiting symptoms of food allergy or food intolerance in a subject that includes the oral administration to the subject suffering from food allergy or food intolerance an IgA or an IgM. When administered in a therapeutic quantity based on the subject characteristics and the type of IgA or IgM, symptoms of food allergy or food intolerance in that subject are inhibited. Even non-secretory forms of IgA and IgM are effective when administered orally.
US08709412B2 Modulation of TIM receptor activity in combination with cytoreductive therapy
A genetic locus and corresponding family of proteins associated with regulation of immune function and cell survival are provided. These genes encode cell surface molecules with conserved IgV and mucin domains. The locus comprising the TIM family is genetically associated with immune dysfunction, including asthma. Furthermore, the TIM gene family is located within a region of human chromosome 5 that is commonly deleted in malignancies and myelodysplastic syndrome. Polymorphisms in the gene sequences are associated with the development of airway hyperreactivity and allergic inflammation, and T cell production of IL-4 and IL-13. The proteins include the human hepatitis A cellular receptor, hHAVcr-1.
US08709409B2 Method for treating rheumatoid arthritis by administering an anti-IL-6 antibody and methotrexate
A pharmaceutical composition for the treatment of interleukin-6 (IL-6) related diseases, comprising an interleukin-6 antagonist (IL-6 antagonist) and immunosuppressants. The IL-6 antagonist is preferably an antibody to an interleukin-6 receptor (IL-6R).
US08709406B2 Prevention and treatment of oxidative stress disorders by gluthathione and phase II detoxification enzymes
The present invention generally relates to the field of treating oxidative stress disorders by administering a pharmaceutically effective amount of a compound that elevates the intracellular levels of glutathone or intracelluar levels of at least one Phase II detoxification enzyme in animal tissue. The present invention also relates to the field of protecting a subject from oxidative stress disorders by administering a pharmaceutically effective amount of a compound that elevates the intracellular levels of glutathone or intracelluar levels of at least one Phase II detoxification enzyme in the subject. The present invention also relates to a pharmaceutical composition useful for the treatment of oxidative stress disorders.
US08709404B2 Immunotherapy in cancer treatment
The present invention relates to a novel method of cancer immuno-therapy and to a kit for use in this method. Specifically, the present invention relates to a novel method of collecting lymphocytes from sentinel lumph nodes and in vitro culture for the multiplication thereof lymphocytes.
US08709395B2 Method for repairing or replacing damaged tissue
A method for repairing or replacing damaged tissue, or for providing post-surgical augmentation, comprising administering a pliable biocompatible material and a physiologically acceptable suspending agent to a patient is disclosed. Copolymers of unsubstituted acrylate and substituted acrylate are disclosed as pliable biocompatible materials.
US08709394B2 Antimicrobial polysiloxane materials containing metal species
Polysiloxane-based materials, which include metal species, are provided. The polysiloxane-based compositions and materials generally include (i) amino-functional polysiloxane material and (ii) a plurality of metal species distributed within the polymeric material. Polymer based compositions in which the amino-functional polysiloxane material includes quaternary ammonium groups, e.g., tetraalkyl ammonium groups, are examples of suitable materials which may be used to form the present compositions. The metal species, which may be in an oxidized and/or neutral state, may be bonded, coordinated, chelated, suspended, and/or dispersed within the polymeric material.
US08709393B2 Methods and compositions for wound healing
The present invention relates to methods and compositions for wound healing. In particular, the present invention relates to promoting and enhancing wound healing by utilizing cross-linker covalent modification molecules to attach and deliver wound active agents to a wound. In addition, the present invention provides methods and compositions utilizing oppositely charged polymers to form a polyelectrolyte layer on a wound surface. The invention further relates to incorporating wound active agents into a polyelectrolyte layer for delivery to a wound.
US08709392B2 Cosmetic/dermatological compositions comprising naphthoic acid compounds and polyurethane polymers
Cosmetic/dermatological compositions for topical application and useful for the treatment, e.g., of acne, contain, formulated into a physiologically acceptable medium, at least one naphthoic acid compound and at least one polyurethane polymer or derivative thereof, the at least one naphthoic acid compound being dispersed therein.
US08709390B2 Blocky hydroxyethylcellulose, derivatives thereof, process of making and uses thereof
This invention relates to non-uniformly substituted (“blocky”) hydroxyethylcelluloses (HECs) and derivatives thereof that exhibit associative behavior in both neat solutions and in filled systems. The HECs and derivatives thereof exhibit unique and highly desirable rheology and are more efficient in thickening aqueous systems than prior art HEC products. These blocky HECs can be distinguished from prior art and commercial HEC products by having an unsubstituted anhydroglucose trimer ratio (U3R) greater than 0.21 and the hydroxyethyl molar substitution greater than about 1.3 and less than about 5. This invention also relates to processes for making blocky HEC and uses thereof in functional systems.
US08709389B2 Hair care composition
Hair care compositions contain from 0.1 to 12 weight percent of a silicone copolymer or saponification product thereof, prepared from silicones, at least 10% of which contain polymerizable groups, 0.5-14% of hydrophilic comonomers, and 30-99.9% of hydrophobic comonomers. The compositions exhibit good hair care properties.
US08709385B2 Poloxamer foamable pharmaceutical compositions with active agents and/or therapeutic cells and uses
Pharmaceutical or cosmetic compositions and methods for their use are provided comprising water and a surfactant polymer system comprising a Poloxamer at a concentration of about 0.1% to about 15% by weight; wherein when the Poloxamer is between about 0.1% to about 5% Poloxamer. The composition can further comprise a supporting agent comprising a non-ionic surface active agent or a supporting agent comprising a non surfactant polymer or polysaccharide and an active agent, where the Poloxamer is capable of fixing the composition on delivery to a body surface. There are further provided therapeutic cell compositions and their uses.
US08709382B2 Methods and compositions for improved F-18 labeling of proteins, peptides and other molecules
The present application discloses compositions and methods of synthesis and use of 18F- or 19F-labeled molecules of use in PET, SPECT and/or MR imaging. Preferably, the 18F or 19F is conjugated to a targeting molecule by formation of a complex with a group IIIA metal and binding of the complex to a bifunctional chelating agent, which may then be directly or indirectly attached to the targeting molecule. In other embodiments, the 18F or 19F labeled moiety may comprise a targetable construct used in combination with a bispecific antibody to target a disease-associated antigen. The disclosed methods and compositions allow the simple and reproducible labeling of molecules at very high efficiency and specific activity in 30 minutes or less. In preferred embodiments, the bifunctional chelating agent bound to 18F- or 19F-metal complex may be conjugated to the molecule to be labeled at a reduced temperature, e.g. room temperature.
US08709381B2 Compound containing a carbon or an oxygen isotope, preparation and use thereof, and composition comprising thereof
The present disclosure relates to a compound comprising at least one of a carbon or an oxygen isotope and a process for preparing the compound. The process comprises irradiating a compound comprising at least one of a carbon or an oxygen atom using photons or particles having an energy in the range of 20 MeV-430 MeV. The carbon and/or the oxygen atom is then allowed to be converted into a carbon and/or an oxygen positron nuclide through a photonuclear reaction. Provided that the molecular structure of the compound is not disrupted, the compound comprising the carbon and/or the oxygen isotope is prepared. The compound may be used in positron and/or other nuclide imaging to obtain a distribution and/or metabolic image of the compound in a human and/or animal body.
US08709375B2 Oil extractor and the preparation method thereof
A method for preparing an oil extractor is provided. The method includes dissolving 0.1˜30% by weight of a potassium sulfate, 0.1˜30% by weight of a potassium persulfate, and 0.1˜30% by weight of a manganese sulfate in a solvent to form a solution; heating the solution to synthesize a compound by a microwave; cooling a temperature of the compound to a room temperature; and removing the solvent from the compound. An extractor prepared from the method is also provided.
US08709374B2 Methods for the production of aligned carbon nanotubes and nanostructured material containing the same
Disclosed herein is a scaled method for producing substantially aligned carbon nanotubes by depositing onto a continuously moving substrate, (1) a catalyst to initiate and maintain the growth of carbon nanotubes, and (2) a carbon-bearing precursor. Products made from the disclosed method, such as monolayers of substantially aligned carbon nanotubes, and methods of using them are also disclosed.
US08709368B2 Preparation process of transition metal boride and uses thereof
The invention provides a preparation process of transition metal boride, comprising the following steps: A) aluminum is put in a reactor, inert gas is fed into the reactor after evacuation, the reactor is heated up to 700 to 800° C. and then added with dry potassium fluoborate or sodium fluoborate, monomer boron and cryolite are generated by rapid stirring and reaction for 4 to 6 hours, and the molten liquid at the upper layer is sucked out and the monomer boron is obtained by means of separation; and B) the obtained monomer boron is added with transition metal for reaction at the temperature from 1800 to 2200° C. in order to generate corresponding transition metal boride.
US08709367B2 Carbon dioxide capture system and methods of capturing carbon dioxide
In one embodiment, a system for recovering carbon dioxide can comprises: a reaction chamber having a first pressure and comprising a gas stream inlet; a phase-changing liquid sorbent, wherein the liquid sorbent is chemical reactive with carbon dioxide to form a solid material; a regeneration unit to decompose the solid material to released carbon dioxide gas and regenerated liquid sorbent; and a dry transport mechanism configured to transport the solid material from the reaction chamber at the first pressure to the regeneration unit at a second higher pressure. In one embodiment, a method of recovering carbon dioxide from a gas stream, comprises: chemically reacting carbon dioxide with a pure amine compound liquid sorbent to form a solid material; without adding a carrier fluid, dry pressurizing and transporting the solid material, to a regeneration unit; and heating the solid material in the regeneration unit to provide a substantially thermal reaction to decompose the solid material to carbon dioxide gas and regenerated liquid sorbent.
US08709365B2 Particulate filter with hydrogen sulphide block function
The invention relates to a catalytically active particulate filter which, in addition to the properties typical of particulate filters, has hydrogen sulphide block function, and to a process for removing nitrogen oxides and particulates from the exhaust gas of internal combustion engines operated predominantly under lean conditions (so-called “lean-burn engines”) using the inventive catalytically active particulate filter. This particulate filter comprises a filter body, a copper compound and an oxidation-catalytic active coating which comprises at least one catalytically active platinum group metal. The copper compound is in a second coating applied to the filter body. The two functional coatings may be applied to the filter body consecutive in the direction of flow, i.e. zoned, or layered one on top of the other.
US08709362B2 Laboratory spatula
Laboratory spatula having stalk regions with a hollow first end and a hollow second end and at manipulating regions may be used for collecting, transporting or storing a material. A manipulating region may be configured as a shovel region, a scoop region, a whisk region, a punch region, a sieve region, a loop region, a cutting edge, a spreading region, a grinding region, a hook region, a scraper region, a tweezer region, a grasper region, or a pick region. The spatula may be lightweight and disposable, and may be any appropriate size including micro size, a regular size, or a macro size. The spatula may also have an anti-stick surface. The spatula may be calibrated, and may include calibration marks or additional features.
US08709360B2 Automated processing machine used for processing samples placed on slides and having an output device
An automated processing machine, in particular an automated coverslipper or an automated stainer used for processing samples placed on slides and having an output device for outputting slides that have been processed by the automated processing machine. The output device includes an extensible and retractable drawer unit. The drawer unit includes at least one receiving channel for receiving a plurality of holders for slides. Holders received in any position in the receiving channel can be removed therefrom when the output device is in the open position. The automated processing machine is designed such that holders intended for output are inserted into the at least one receiving channel.
US08709359B2 Sample holder and method for treating sample material
Method and apparatus for holding and/or treating a sample material. A sample material may be positioned in a vessel between top and bottom flexible films where the flexible films are connected together by a substantially rigid support structure that surrounds the sample material. A crushing force may be applied to the sample material via the top and bottom flexible films, e.g., to pulverize the sample at cryogenic temperatures. A sample holder may have two vessels, one arranged for applying a crushing force to a first sample and another for holding a sample for other processing, such as a histology analysis.
US08709356B2 Systems and methods for minimization or elimination of diffusion effects in a microfluidic system
The present invention relates to systems and methods for minimizing or eliminating diffusion effects. Diffused regions of a segmented flow of multiple, miscible fluid species may be vented off to a waste channel, and non-diffused regions of fluid may be preferentially pulled off the channel that contains the segmented flow. Multiple fluid samples that are not contaminated via diffusion may be collected for analysis and measurement in a single channel. The systems and methods for minimizing or eliminating diffusion effects may be used to minimize or eliminate diffusion effects in a microfluidic system for monitoring the amplification of DNA molecules and the dissociation behavior of the DNA molecules.
US08709352B2 Humidity indicator and method for fabricating the same
The disclosure provides a humidity indicator and a method for fabricating the same. The humidity indicator includes a substrate, and a composite disposed on a predetermined region of the substrate. The composite includes a hydrophilic polymer and a Ni-containing compound. The humidity indicators of the disclosure are reusable, halide-free, and cobalt-free, meeting the requirement of environmental friendliness.
US08709351B2 Atmospheric treater with roller confined discharge chamber
A continuous feed discharge surface treater for treating web materials has a discharge chamber where ionization of a process gas occurs defined and contained by one or more rollers. Enhanced chemical coronas and plasmas are achieved by limiting depletion of the gas chemistry from the discharge chamber and dilution or contamination from mixing with drawn in ambient air. Atmospheric coronas can also be achieved in which minimal or no ozone is exhausted from the treater. Various roller and electrode assemblies, including both fixed and rolling electrodes, can be employed.
US08709349B2 Wall flow type exhaust gas purification filter
There is provided a wall flow type exhaust gas purification filter is provided with a honeycomb structure having porous ceramic partition walls 12 and plugging portions disposed in one side opening end portions of predetermined cells and the other side opening end portions of the other cells. In the exhaust gas purification filter, when an average pore size is obtained for each region of ⅓ mm×⅓ mm in a range of 10 mm2 in an arbitrary cross section perpendicular to a surface of the partition walls 12, a large pore region having an average pore size of 15 μm or more has an area of 0.1 mm2 or more, and a small pore region having an average pore size of 8 μm or less has an area of 0.1 mm2 or more.
US08709347B2 Air scent dispenser
The present invention provides a scent dispenser device for positioning adjacent an air filter for infusing the air passing through the air filter with a scent that includes an air filter and a scent dispenser device. The scent dispenser device further includes a base having a bore for allowing air to flow through the base, a valve having at least one air passageway for allowing air to flow through the valve from the base, a scented media disposed within the base, and a cap that is rotationally engaged to the valve and controls the flow of air into base.
US08709339B2 Apparatus and method for sterilizing vessel with electron beam
To prevent electrical charging inside a resin material as well as a surface of a resin vessel at a time of sterilizing the resin vessel by being irradiated with electron beam, a bottle support unit is mounted to a lower end portion of a cylindrical rotating shaft rotatably supported by a rotating wheel. The bottle support unit includes a pair of griper members by which a mouth portion of a bottle is gripped. A ground electrode is disposed to be capable of being inserted into the interior of the resin vessel through a mouth portion thereof, and the interior of the resin vessel is irradiated with the electron beam in a state of the ground electrode being inserted into the resin vessel.
US08709338B2 Methods and apparatus for ultrasonic cleaning
The present invention relates to a method of cleaning a surface by applying highly propagating ultrasonic energy to said surface, the method comprises immersing at least a portion of the surface into a fluid, wherein said fluid is in contact with an highly propagating ultrasonic energy emitting assembly; and emitting highly propagating ultrasonic energy from the assembly into the fluid to generate cavitation at the surface thereby cleaning said surface.
US08709334B2 Metal melting apparatus and method for melting metal
A metal melting apparatus has a heating furnace, a melting furnace mounted on the heating furnace, a high-cycle regenerative system (HRS), a raw material feeding device and a melted material feeding device mounted on the melting furnace. The HRS heats and recycles high temperature air in the heating furnace and guides the high temperature air to the preheating screw to preheat metal materials in the preheating screw. Thus, a time for melting the metal materials from solid to liquid is greatly shortened. Furthermore, a series of processes for preheating the metal materials in the raw material feeding device, melting the metal materials in the melting furnace and injecting the molten materials to the molds is fluent, time-saving and safe, and can progress continuously.
US08709326B2 Injection molding method and injection molding machine
An injection molding machine may include a split mold where a gas discharge portion is formed at parting surfaces. Further, the cross-section of a flow passage of the gas discharge portion may be reduced at a predetermined timing after the start of the filling of a molding material. Since the cross-section of the flow passage of the gas discharge portion is reduced after a cavity space starts being filled with a molding material, it may be possible to make the amount of gas, which flows through the gas discharge portion, large until the cross-section of the flow passage of the gas discharge portion is reduced. It may be possible to prevent a foreign material from adhering to the inner peripheral surface of the gas discharge portion.
US08709325B2 Liquid low temperature injection molding process
The molding method of the invention is a low-temperature, liquid-phase, injection molding process using an externally heated mold. This molding process is ideally suited for production of limited quantities of molded parts, as comparatively low cost molds can be used. The molding composition used in the invention is a mixture of a carrier and binder component and a powdered polyethylene component. The carrier and binder component can be a very low density polyethylene, petroleum jelly, hydrocarbon waxes, liquid hydrocarbon oils, or mixtures thereof. The powdered polyethylene component is finely subdivided polyethylene, preferably ultra high molecular weight, having a low melt index, at least no greater than 30. The carrier and binder component is used in sufficient quantity to provide a thixotropic mixture with a consistency of toothpaste, typically having a stirred viscosity up to 30,000 centipoise at the injection temperature of the molding process. Since the process utilizes a mold which is externally heated, it can be controlled to provide minimal heating of the core of the part, thereby permitting one to incorporate components within the part without damage to temperature sensitive elements in the components.
US08709317B2 Mold for nanoimprinting, its production process, and processes for producing molded resin having fine concavo-convex structure on its surface and wire-grid polarizer
To provide a mold for nanoimprinting capable of accurately transcribing a fine concavo-convex structure, available at a low cost and having high durability, its production process, and processes for producing a molded resin having a fine concavo5 convex structure on its surface having the fine concavo-convex structure of the mold accurately transcribed, and a wire-grid polarizer, with high productivity. A mold 10 for nanoimprinting having on its mold surface a fine concavo-convex structure comprising a plurality of grooves 14 formed in parallel with one another at a constant pitch, which comprises a mold base 12 made of a resin having on its surface a 10 fine concavo-convex structure to be the base of the fine concavo-convex structure, a metal oxide layer 16 covering the surface having the fine concavo-convex structure of the mold base 12, and a release layer 18 covering the surface of the metal oxide layer 16, is used.
US08709316B2 Process for shaping polymeric articles
A process (2) for manufacturing a shaped article (17), comprising the steps of: dry blending (8) a plurality of different particulated ingredients (11) to form a uniform particle blend; reblending the particle blend by substantially defining a mass flow in a discharge unit (19) including an insert (39, 60) and shaping the particle blend in a part shaping machine (15) to form the shaped article (17).
US08709309B2 Devices and methods for the production of coaxial microfibers and nanofibers
Described herein are apparatuses and methods of creating fibers, such as microfibers and nanofibers. The methods discussed herein employ centrifugal forces to transform material into fibers. Apparatuses that may be used to create fibers are also described. Described herein are fiber producing devices that are capable of producing coaxial fibers.
US08709307B2 Laser protection polymeric materials
This invention concerns a polymer coating material composition (PCM) comprising as components a polymer matrix, carbon nanotubes (CNT) as optical power limiters (OPL), and carbon rich molecules. One aspect of the invention is where the Polymer Matrix is a hyperbranched polymer, such as a hyperbranched polycarbosiloxane polymer. Another aspect of the invention is where the CNT is a short multiwall carbon nanotube (sMWNT). A further aspect of the invention is where the carbon-rich molecules are triethoxysilyl anthracene derivatives. The composition wherein the ratio in weight percent of Polymer Matrix to CNT to carbon-rich molecule is from 94:3:3 to 99.8:0.1:0.1. The composition can further contain one or more of multi-photon absorbers (MPA) chromophores or reverse saturable absorbers (RSA) chromophores. These compositions can be used as: a) a film, b) a coating, c) a liquid, d) a solution, or e) a sandwiched film between two transparent substrates.
US08709305B2 Laser protection polymeric materials
This invention concerns a polymer coating material composition (PCM) comprising as components a Polymer Matrix, carbon nanotubes (CNT) as optical power limiters (OPL), and carbon-rich molecules. One aspect of the invention is where the Polymer Matrix is a hyperbranched polymer, such as a hyperbranched polycarbosiloxane polymer. Another aspect of the invention is where the CNT is a short multiwall carbon nanotube (sMWNT). A further aspect of the invention is where the carbon-rich molecules are triethoxysilyl anthracene derivatives.The composition wherein the ratio in weight percent of Polymer Matrix to CNT to carbon-rich molecule is from 94:3:3 to 99.8:0.1:0.1.The composition can further contain one or more of multi-photon absorbers (MPA) chromophores or reverse saturable absorbers (RSA) chromophores.These compositions can be used as: a) a film, b) a coating, c) a liquid, d) a solution, or e) a sandwiched film between two transparent substrates.
US08709301B2 Ni-, Co-, and Mn- multi-element doped positive electrode material for lithium battery and its preparation method
A Ni—, Co—, and Mn— multi-element doped positive electrode material for lithium ion batteries and its preparation method are provided. The method for preparing said material consists of: first forming a Ni—, Co—, and Mn— multi-element doped intermediate compound by coprecipitation or chemical synthesis; mixing said multi-element intermediate compound with lithium salt and pre-processing the resulting mixture; adding polyvinyl alcohol into the mixture and mixing uniformly, then pressing the resulting mixture into blocks, and calcining these at 800˜930° C.; cooling outside the furnace, crushing and passing through a 400-mesh sieve; calcining the resulting powder at 700˜800° C., cooling outside the furnace and crushing to obtain the product. The positive electrode material obtained by the method described is in the form of non-agglomerated monocrystal particles, with a particle diameter of 0 5˜30 μm, the chemical formula LiNixCoyMnzM(1-x-y-z)O2, a compacted density of up to 3.4 g/cm3, and an initial discharge capacity of 145˜152 mAh/g. This positive electrode material shows excellent cycle performance and a high degree of safety.
US08709295B2 Nitrogen-doped carbon-supported cobalt-iron oxygen reduction catalyst
A Fe—Co hybrid catalyst for oxygen reaction reduction was prepared by a two part process. The first part involves reacting an ethyleneamine with a cobalt-containing precursor to form a cobalt-containing complex, combining the cobalt-containing complex with an electroconductive carbon supporting material, heating the cobalt-containing complex and carbon supporting material under conditions suitable to convert the cobalt-containing complex and carbon supporting material into a cobalt-containing catalyst support. The second part of the process involves polymerizing an aniline in the presence of said cobalt-containing catalyst support and an iron-containing compound under conditions suitable to form a supported, cobalt-containing, iron-bound polyaniline species, and subjecting said supported, cobalt-containing, iron bound polyaniline species to conditions suitable for producing a Fe—Co hybrid catalyst.
US08709294B2 Electrode and method for manufacturing the same
The invention relates to an electrode that can be formed by firing in air a conductive paste comprising a copper powder, a boron powder, an additional inorganic powder, a glass frit, and an organic medium, wherein the additional inorganic powder is zirconia powder.
US08709293B2 Flip-chip mounting resin composition and bump forming resin composition
There is provided a flip-chip mounting resin composition which can be used for a flip-chip mounting process that is high in productivity and reliability and thus can be applicable to a flip-chip mounting of a next-generation LSI. This flip-chip mounting resin composition comprises a resin, metal particles and a convection additive 12 that boils upon heating the resin 13. Upon the heating of the resin 13, the metal particles melt and the boiling convection additive 12 convects within the resin 13. This flip-chip mounting resin composition is supplied between a circuit substrate 10 and a semiconductor chip 20, and subsequently the resin 13 is heated so that the molten metal particles self-assemble into the region between each electrode of the circuit substrate and each electrode of the semiconductor chip. As a result, an electrical connection is formed between each electrode of the circuit substrate and each electrode of the semiconductor chip. Finally, the resin 13 is allowed to cure so that the semiconductor chip 20 is secured to the circuit substrate 10, which leads to in a formation of a flip chip assembly.
US08709291B2 Planarizing agents and devices
Use of certain materials in hole injection layer and/or hole transport layer can improve operational lifetimes in organic devices. Polymers having fused aromatic side groups such as polyvinylnaphthol polymers can be used in conjunction with conjugated polymers. Inks can be formulated and cast as films in organic electronic devices including OLEDs, SMOLEDs, and PLEDs. One embodiment provides a composition comprising: at least one conjugated polymer, and at least one second polymer different from the conjugated polymer comprising at least one optionally substituted fused aromatic hydrocarbon side group. The substituent can be hydroxyl. Aqueous-based inks can be formulated.
US08709288B2 High conductive water-based silver ink
Disclosed is a conductive composition which can be used to form an aqueous conductive ink with increased conductivity. The aqueous conductive composition contains conductive particles, preferably silver, an anionic wetting agent and a styrene-acrylic copolymer. The composition is highly conductive and requires reduced drying energy. In addition, it may be applied to low cost substrates by high speed printing processes.
US08709286B2 Reduction of HMF ethers with metal catalyst
Methods of making reduced derivatives of hydroxymethyl furfural using metal catalysts are described. The derivatives may have tetrahydrofuran or furan nucleus with alkoxymethyl ether or ester moieties on the 5′ carbon and methanol on the 2′ carbon. Suitable metal catalyst include Raney nickel, a nickel catalyst with a zirconium promoter, a chromite catalyst with a barium, a palladium catalyst, such as palladium on carbon, or a ruthenium catalyst. Also provided are a new class of compounds, which are n-alkoxy hexane diols (i.e., 1,2 or 1,5 hexane diol ethers) and methods of making the same by reduction of furan or tetrahydrofuran derivatives.
US08709283B2 Phosphor, light emitting apparatus, and liquid crystal display apparatus using the same
A phosphor as a divalent europium-activated oxynitride green light emitting phosphor which is a β-type SiAlON substantially represented by a general formula (A): EuaSibAlcOdNe (where a, b, c, d, and e are numbers satisfying 0.005≦a≦0.4, b+c=12, and d+e=16), and having an average particle size (d1) (determined by an air permeability method) of 9 to 16 μm, a median diameter (50% D) in particle size distribution of 12.5 to 35 μm, 50% D/d1 of 1.4 to 2.2, and an absorptance at 600 nm of not more than 8.0%, and a light emitting apparatus, a BL light source apparatus, and a liquid crystal display device using the same are provided, to provide a light emitting apparatus with a high efficiency and a stable characteristic and a liquid crystal display apparatus using the same by using the β-type SiAlON having controlled dispersibility and improved transparency.
US08709276B2 Synthetic refrigeration oil composition for HFC applications
A refrigeration composition containing at least one ester formed by esterification of a hydroxycarboxylic acid component and an alcohol component, the hydroxycarboxylic acid component chosen from hydroxycarboxylic acids comprising more than one carboxylic acid group, hydroxystearic acid, hydroxylauric acid, hydroxydecanoic acid, hydroxyarachidic acid, hydroxypalmitic acid, hydroxylinoleic acid, hydroxyerucic acid, hydroxyarachidonic acid, ricinoleic acid, and combinations thereof, and the alcohol component containing at least one alcohol; and a base oil lubricant chosen from alkylbenzenes, alkylated naphthenics, polyalkylene glycols, polyvinylethers, polyalphaolefins, mineral oils, polyol esters, and combinations thereof.
US08709270B2 Masking method and apparatus
A chamber for combinatorially processing a substrate is provided. The chamber includes a first mask and a second mask that share a common central axis. The first mask and the second mask are independently rotatable around the common central axis. The first mask has a first plurality of radial apertures and the second mask has a second plurality of radial apertures. An axis of the first plurality of radial apertures is offset from an axis of the second plurality of radial apertures. A substrate support that is operable to support a substrate below the first and second masks is included. The substrate support shares the common central axis.
US08709267B2 Double patterning method using tilt-angle deposition
Methods for patterning material layers, which may be implemented in forming integrated circuit device features, are disclosed. In an example, a method includes forming a first resist layer over a material layer; forming a second resist layer over the first resist layer; forming an opening that extends through the second resist layer and the first resist layer to expose the material layer, wherein the opening has a substantially constant width in the second resist layer and a tapered width in the first resist layer; and performing a tilt-angle deposition process to form a feature over the exposed material layer.
US08709262B2 Synthesizing and utilizing solar light activated nano-particle photocatalyst
Toxic organic materials contaminate water resources and one need to find an easy and energy efficient way to decontaminate water resources. The current invention discloses a photocatalyst Fe doped ZnO nano-particle photocatalyst that enables the decontamination process by degrading toxic organic material such as brilliant cresyl blue, indigo carmine and gentian blue by using solar light. In the current disclosure many examples of characterization of the photocatalyst, optimal working conditions and efficient use of solar light has been described. The process described to use the photocatalyst to degrade toxic organic material using the solar light to activate the photocatalyst is cost efficient and cheap to clean our water resources.
US08709257B2 Method and system for purifying liquid using waste heat
A method and system for purifying liquid using waste heat is provided. Initially, a liquid is mixed with an anti-sealant agent in a first filtering unit to form a liquid mixture. Thereafter, the liquid mixture is filtered in the first filtering unit to separate foreign objects from the liquid mixture. Subsequently, the liquid mixture is heated in a pipe arrangement connecting the first filtering unit and one or more second filtering units to generate steam. The steam obtained from the heated liquid mixture is then purified in the one or more second filtering units. Thereafter, the purified steam is condensed in the pipe arrangement to obtain the purified liquid.
US08709254B2 Hybrid silica membrane for water removal from lower alcohols and hydrogen separation
A microporous organic-inorganic hybrid membrane based on silica of the invention has an average pore diameter of less than 0.6 nm, and comprises bridging organosilane moieties of the formula ≡O1.5Si—CHR—SiO1.5≡ or ≡O1.5Si—CH(CH3)—SiO1.5≡. The membrane can be used in the separation of hydrogen from mixtures comprising hydrogen and CH4, CO2, CO, N2, and the like, and in the separation of water from alcohols having 1-3 carbon atoms, optionally in the presence of an inorganic or organic acid.
US08709251B2 Coolant demineralizer for fuel cell vehicle
The present invention provides a coolant demineralizer for a fuel cell vehicle, which removes ions released from coolant of a fuel cell stack. In preferred embodiments, the present invention provides a coolant demineralizer configured to reduce the occurrence of differential pressure due to an ion resin layer such that coolant can smoothly flow through a filter member, thereby increasing the effect of filtering ions and improving the efficiency of use of ion resin.
US08709243B2 Pool cleaning vehicle having structure for cleaning and sanitizing pool water
An improved pool cleaning vehicle with the ability to clean and sanitize pool water includes a housing having an interior. The vehicle includes a chemical dispenser member connected to the housing. The chemical dispenser member has a hollow interior suitable for storing chemicals for sanitizing pool water. The chemical dispenser member includes an inlet for allowing pool water to contact the chemical dispenser member. The chemical dispenser member includes a dissolvable seal and upon continued contact with water, the seal dissolves. The chemical dispenser member also includes an outlet facilitating communication between the chemical dispenser member and the pool water.
US08709239B2 Process for converting carbon-based energy carrier material
A process is disclosed process for converting a solid or highly viscous carbon-based energy carrier material to liquid and gaseous reaction products, said process comprising the steps of: a) contacting the carbon-based energy carrier material with a particulate catalyst material b) converting the carbon-based energy carrier material at a reaction temperature between 200° C. and 450° C., preferably between 250° C. and 350° C., thereby forming reaction products in the vapor phase. In a preferred embodiment the process comprises the additional step of: c) separating the vapor phase reaction products from the particulate catalyst material within 10 seconds after said reaction products are formed. In a further preferred embodiment step c) is followed by: d) quenching the reaction products to a temperature below 200° C.
US08709238B2 Process for separating crude tall oil for processing into fuels
Crude tall oil is subjected to a distillation process that substantially removes impurities. The process produces a combined pitch and a distillate of free fatty acids and rosin acids from two vacuum columns. The distillate stream is amenable to further downstream hydroprocessing.
US08709237B2 Process and system for recovery of asphaltene by-product in paraffinic froth treatment operations
A process for treating bitumen froth with paraffinic solvent is provided which uses three stages of separation. Froth and a first solvent are directed to a first stage at a solvent/bitumen ratio for precipitating few or substantially no asphaltenes. A first stage underflow is directed to a second stage and a first stage overflow is directed to a third stage. A second stage underflow is directed to waste tailings and the second stage overflow joins the first stage overflow. A third stage underflow is recovered as an asphaltene by-product and a third stage overflow is recovered as a diluted bitumen product. At least a second solvent is added to one or both of the second or third stages for controlling a fraction of asphaltenes in the third stage underflow. Asphaltene loss to waste tailings is minimized and asphaltenes are now recovered as asphaltene by-product.
US08709236B2 Process for removing nitrogen from fuel streams with caprolactamium ionic liquids
A process for removing a nitrogen compound from a fuel feed, such as vacuum gas oil or diesel fuel, wherein the process includes contacting the fuel feed comprising the nitrogen compound with a fuel-immiscible caprolactamium ionic liquid to produce a fuel and fuel-immiscible caprolactamium ionic liquid mixture, and separating the mixture to produce a vacuum gas oil or a diesel effluent having a reduced nitrogen content relative to the vacuum gas oil or diesel feed. The invention provides an alternate use for caprolactamium ionic liquid that is produced in large quantities for the manufacture of caprolactam.
US08709234B2 Process for producing middle distillates by hydroismerizing and hydrocracking a heavy fraction from a fischer-tropsch effluent
A process in which the paraffinic effluent derived from a Fischer-Tropsch synthesis unit is separated to obtain a heavy C5+ fraction, said heavy fraction then being hydrogenated in the presence of a hydrogenation catalyst at a temperature in the range 80° C to 200° C, at a total pressure in the range 0.5 to 6 MPa, at an hourly space velocity in the range 1 to 10 h-1, and at a hydrogen flow rate corresponding to a hydrogen/hydrocarbons volume ratio in the range 5 to 80 NI/I/h, the liquid hydrogenated effluent then being brought into contact with a hydroisomerization/hydrocracking catalyst, with no prior separation step, the hydroisomerized/hydrocracked effluent then being distilled to obtain middle distillates and possibly oil bases.
US08709233B2 Disposition of steam cracked tar
In the invention, tar is upgraded by deasphalting and then hydrocracking to produce valuable products such as low sulfur diesel fuel and mogas. The invention is also directed to a system integrating a pyrolysis furnace operation with refinery operations.
US08709232B2 Analyte measurement technique and system
Described are methods and systems to apply a plurality of test voltages to the test strip and measure a current transient output resulting from an electrochemical reaction in a test chamber of the test strip so that highly accurate glucose concentration can be determined.
US08709227B2 Method for reducing carbon dioxide
A method for reducing carbon dioxide utilizes a carbon dioxide reduction device including a cathode chamber, an anode chamber, a solid electrolyte membrane, a cathode electrode and anode electrode. The cathode electrode includes copper or copper compound. The anode electrode includes a region formed of a nitride semiconductor layer where an AlxGa1-xN (0
US08709221B1 Current regulator for mobile water electrolyzer
An embodiment of a system and method provides a current regulating device that controls or regulates the current provided to electrolysis chambers that produce hydrogen and oxygen gases. One embodiment of the current regulating device uses the temperature of the fluid in the electrolysis chambers to control the widths of the pulses delivered to the electrolysis chambers to regulate production. Another embodiment of the current regulating device regulates and limits the average current delivered to the electrolysis chambers by adjusting the pulse widths, according to the current demanded during each conduction pulse.
US08709215B2 Carbon dioxide fractionalization process
A process comprising receiving a hydrocarbon feed stream comprising carbon dioxide, separating the hydrocarbon feed stream into a light hydrocarbon stream and a heavy hydrocarbon stream, separating the light hydrocarbon stream into a carbon dioxide-rich stream and a carbon dioxide-lean stream, and feeding the carbon dioxide-lean stream into a hydrocarbon sweetening process, thereby increasing the processing capacity of the hydrocarbon sweetening process compared to the processing capacity of the hydrocarbon sweetening process when fed the hydrocarbon feed stream. Included is an apparatus comprising a first separation unit that receives a hydrocarbon feed stream containing carbon dioxide and produces a heavy hydrocarbon stream and a light hydrocarbon stream, and a second separation unit that receives the light hydrocarbon stream and produces a carbon dioxide-rich stream and a carbon dioxide-lean stream, wherein the apparatus is configured to feed the carbon dioxide-lean stream to a physical solvent, membrane, or carbon dioxide recovery process.
US08709210B2 Method and arrangement for fiber web machine, and software product
The invention relates to a method in a fiber web machine. In the method, a fabric (12) included in the fiber web machine is used for supporting a web (14) produced by the fiber web machine. Moreover, in the method the location of the fabric (12) is changed in the cross direction (CD) of the fiber web machine. The location is changed by means of oscillation, the amplitude (19) of which is kept so high that the area of impact (20) of the web (14) on the fabric (12) is wider than the width (21) of the web (14) throughout the lifetime of the fabric (12). The invention also relates to an arrangement in a fiber web machine, and to a software product.
US08709208B2 Method to increase dewatering, sheet wet web strength and wet strength in papermaking
The invention provides a method of improving dewatering efficiency, increasing sheet wet web strength, increasing sheet wet strength and enhancing filler retention in a papermaking process. The method improves the efficiency of dewatering aid by coating at least some of the filler particles with a material that prevents the filler materials form adhering to dewatering aids. The dewatering aid holds the paper fibers together tightly and is not wasted on the filler particles.
US08709207B2 Method of using aldehyde-functionalized polymers to increase papermachine performance and enhance sizing
Novel sizing mixtures to achieve improved sizing along with other benefits is disclosed and claimed. The invention is a composition comprising a sizing mixture having a stabilizing amount of one or more aldehyde-functionalized polymers and a sizing amount of a sizing composition. The invention is also a method of improving paper and paperboard production and enhancing sizing through adding an effective amount of the disclosed sizing mixture to the paper machine and a method of producing a medium having cellulosic fibers, wherein the method includes adding the disclosed sizing mixture to the medium at any point in a papermaking process.
US08709205B2 Polyimide short fibers and heat-resistant paper comprising same
Disclosed are polyimide short fibers having an extremely high heat resistance, suitable for non-woven fabrics and paper, and having many branches. Specifically, disclosed are polyimide short fibers having many branches, which are produced by beating and loosening a specific foamed polyimide material. The foamed material preferably comprises a polyimide produced using 2,3,3′,4′-biphenyltetracarboxylic acid as an aromatic tetracarboxylic acid component, preferably has a glass transition temperature of 300° C. or higher, and preferably has an expansion ratio of 20 times or more.
US08709204B1 System and process for recovering heat from weak black liquor in a wood pulping process
A wood pulping process includes producing weak black liquor and cooling the liquor as well as recovering heat therefrom by directing the weak black liquor through a spray film evaporator. A feed or condensate is directed into the spray film evaporator and the feed or condensate is sprayed onto the outer surfaces of a bundle of tubes. This results in the feed or the condensate being vaporized and producing a vapor that can be utilized as a heat source for other processes in a pulp mill.
US08709202B2 Upper electrode backing member with particle reducing features
Components of a plasma processing apparatus includes a backing member with gas passages attached to an upper electrode with gas passages. To compensate for the differences in coefficient of thermal expansion between the metallic backing member and upper electrode, the gas passages are positioned and sized such that they are misaligned at ambient temperature and substantially concentric at an elevated processing temperature. Non-uniform shear stresses can be generated in the elastomeric bonding material, due to the thermal expansion. Shear stresses can either be accommodated by applying an elastomeric bonding material of varying thickness or using a backing member comprising of multiple pieces.
US08709201B2 Method for gluing components, forming a temperature-resistant adhesive layer
A method for gluing components is provided, forming an adhesive layer which is capable of functioning, at least in a temperature range of ≧100° C. to ≦160° C., wherein the adhesive layer is obtained from a curable reactive resin system. The reactive resin system includes an epoxy resin component and polymer particles dispersed in the epoxy resin component, the dispersed polymer particles furthermore including addition-crosslinked silicone elastomer. Also provided is the use of a reactive resin system for gluing piezoelectric ceramics and/or permanent magnets including rare earth elements and a component configuration including a piezoelectric ceramic, an impedance matching layer and an adhesive layer in contact with the piezoelectric ceramic and the impedance matching layer.
US08709199B2 Method of preparing a water vapor transfer membrane
A method of making a water vapor transport membrane is described. The method can include providing two sheets, each sheet comprising a support layer with an ionomer layer thereon; applying a solvent to at least one sheet; and contacting the ionomer layers of the two sheets to form a composite membrane comprising a composite ionomer layer between the two support layers. A composite membrane is also described.
US08709193B2 Method of manufacturing adhesive-free laminate of aramid paper and polyphenylene sulfide film, and insulation material and insulation structure for rotating electric machinery
A low-temperature plasma treatment is applied to a surface of an aramid paper so as to allow the surface to have a compositional atomic ratio X (O/C) of the number of oxygen atoms (O) to the number of carbon atoms (C) ranging from 110% to 220% of a theoretical atomic ratio. The treatment is performed at an intensity ranging from 120 to 1500 W·min/m2 with a low-temperature plasma treatment apparatus of internal electrode system. The aramid paper is superposed with a nonhydrolyzable resin film and the resulting article is pressurized to give an aramid-resin film laminate. The laminate is inexpensive, has both superior electrical properties and high mechanical strength, excels in elasticity, and is useful as an insulation material.
US08709191B2 Latent elastic composite formed from a multi-layered film
A nonwoven composite that exhibits latent elastic properties is provided. The composite is formed from a multi-layered, elastic film laminated to a nonwoven web facing. Latent elasticity is imparted to the composite through the use of at least one base layer that contains a thermoplastic elastomer and at least one skin layer that contains a propylene/α-olefin copolymer. During formation, the film is stretched in one or more directions to orient the elastomer chains. Without intending to be limited by theory, the present inventors believe that the oriented state of the chains may be held in place by the relatively stiff semi-crystalline domains of the propylene/α-olefin copolymer. The stretched elastic film may subsequently be relaxed and bonded to a nonwoven web facing to form the composite. The composite may be later activated (e.g., heated at or above the softening point of the copolymer) to soften the crystalline domains and allow the chains to return to their unoriented state. This causes the film to retract, which forms buckles in the nonwoven facing. In this manner, the resulting composite becomes elastic in that it has the ability to stretch and recover due to the “latent” buckle formation in the nonwoven facing.
US08709188B2 Lay-up arrangement and structural arrangement and method for producing a component for aerospace
The invention provides a method for producing a component (37) for aerospace, with the following method steps: supplying a lay-up arrangement (1) to be laid by means of a tape-laying machine (25), with a glass-fiber lay-up (8), which is preimpregnated with a resin matrix (10), and/or supplying a structural arrangement (12) to be laid by means of a tape-laying machine (25), with a structure (16) which is made of metal and is preimpregnated with a resin matrix (18), laying the lay-up and/or structural arrangement (1; 12) on a laminating apparatus (23) by means of a tape-laying machine (25), and curing the lay-up and/or structural arrangement (1; 12) and therefore forming the component (37).
US08709182B2 Thermal pressure welding apparatus and thermal pressure welding method for waists of incontinent pants and training pants
The present invention relates to a thermal pressure welding apparatus for the waist of incontinent pants and training pants and a thermal pressure welding method. The apparatus can thermal pressure weld the waist of incontinent pants and training pants consists of multiple layers of non-woven fabric in a fast, accurate and secure manner. An upper synchronous transmission mechanism and a lower synchronous transmission mechanism are disposed vertically opposed, by means of a shaft, on a side of a vertical frame. The upper synchronous transmission surface of the upper synchronous transmission mechanism and the synchronous transmission surface of the lower synchronous transmission mechanism are opposed. A plurality of toothed pressing blocks are spacedly opposed on the upper synchronous transmission surface and the lower synchronous transmission surface respectively. An electrothermal tube inside the toothed pressing block is connected to supply lines in a conductive ring through extendable wires and wiring flanges, which conductive ring is rotated synchronously with the upper synchronous transmission mechanism and the lower synchronous transmission mechanism. The plane rails moving up and down are positioned on the inner sides of the synchronous transmission surfaces of the upper synchronous transmission mechanism and the lower synchronous transmission mechanism respectively and the rail surfaces of the plane rails are vertically opposed.
US08709181B2 Method for manufacturing optical display device and material roll for use therein
The invention provides a method for manufacturing an optical display device including an optical display unit and an optical film that includes a polarizing plate and is bonded to the optical display unit. The method comprises the steps of: unwinding and feeding a long sheet material from a roll of the long sheet material, wherein the long sheet material includes the optical film, a pressure-sensitive adhesive layer and a release film laminated in this order and has undergone a slitting process in a direction parallel or at a constant angle to an absorption axis of the polarizing plate so that it has a width corresponding to a short or long side of the optical display unit; inspecting the optical film of the fed long sheet material to detect a defect; cutting a part of the long sheet material other than the release film into a length corresponding to a long or short side of the optical display unit, while separating the detected defect; bonding a non-defective cut piece of the optical film to a surface of the optical display unit; and rejecting a defect-containing part of the optical film.
US08709180B2 Method and apparatus for positioning door edge guard
A template for positioning a door edge guard on a vehicle is disclosed. The template includes a main body, an edge, which can form a cutout, which aligns with an item of known fixed position on the vehicle, such as a door handle, and a stop extending from the main body of the template to position one end of the door edge guard. The template is taped onto the vehicle or an easily removed adhesive is used or the template is magnetic. A method for positioning a door edge guard on a vehicle, includes the steps of creating a template having a main body, a cutout formed in the main body to fit over an item of known fixed position on the vehicle, and a stop extending from the main body, positioning the template so that the cutout fits over the item of known fixed position on the vehicle and the stop extends to the edge of the door, which is to receive the door edge guard, and positioning the door edge guard on the edge of the door so the one end of the door edge guard abuts the stop. In a preferred method, the item of known fixed position on the vehicle is the door handle that is on the door to which the door edge guard is applied. A preferred method also includes either taping the template in place or including an easily removed adhesive on the vehicle side of the template.
US08709178B2 Titanium material for hot rolling and method of producing the same
The present invention provides a titanium material for hot rolling which enables reduction of defects on the surface (in the case of a flat material or strip coil, including not only the flat surfaces but also the side surfaces and edges) due to hot rolling. The titanium material for hot rolling has dimples imparted by cold plastic deformation whose mean value of the heights (Wc) of the undulation profile elements is 0.2 to 1.5 mm and mean value of the lengths (WSm) thereof is 3 to 15 mm. The invention also provides a method of producing the titanium material and a method of hot rolling the titanium material.
US08709173B2 Thrust bearing component
A thrust bearing component is formed of cold-reduced steel sheets and strip having surface roughness of Rmax≦2 μm and provided by cold rolling a high-carbon steel containing 0.9 wt % to 1.2 wt % of carbon, 1.2 wt % to 1.7 wt % of chrome, 0.1 wt % to 0.5 wt % of manganese, and 0.15 wt % to 0.35 wt % of silicon.
US08709166B2 Economical, food-safe extraction of bisphenols
Methods for extracting bisphenols from polymer substrates are described. The methods include contacting the polymer substrate with an aqueous composition which includes a phase transfer agent, a base and optionally an oxidant, whereby the bisphenol is extracted into the aqueous composition. Suitable phase transfer agents are delineated. Also described are compositions which can be used in the present methods.
US08709165B2 Method and apparatus for surface treatment using inorganic acid and ozone
Improved removal of ion-implanted photoresist in a single wafer front-end wet processing station is achieved by dissolving gaseous ozone into relatively cool inorganic acid, dispensing the acid ozone mixture onto a wafer, and rapidly heating the surface of the wafer to a temperature at least 30° C. higher than the temperature of the acid ozone mixture.
US08709162B2 Active cooling substrate support
A substrate support assembly and method for controlling the temperature of a substrate within a process chamber with a temperature uniformity of +/−5° C. are provided. A substrate support assembly includes a thermally conductive body comprising an aluminum material, a substrate support surface on the surface of the thermally conductive body and adapted to support the large area glass substrate thereon, one or more heating elements embedded within the thermally conductive body, and one or more cooling channels embedded within the thermally conductive body and positioned around the one or more heating elements. A process chamber comprising the substrate support assembly of the invention is also provided.
US08709159B2 Vertical heat treatment apparatus
A vertical heat treatment apparatus enabling the insertion of a temperature sensor in the reaction tube without disassembling the apparatus is disclosed. The vertical heat treatment apparatus includes a reaction tube; a heating section; a wafer holding section; a supporting section movably provided in the vertical direction so as to seal the reaction tube while the wafer holding section is in the reaction tube; a temperature sensor insertion section provided in the supporting section and having a through hole for guiding a temperature sensor so that the temperature sensor can be inserted into the reaction tube; and a cap section for opening and closing the through hole of the temperature sensor insertion section while the wafer holding section is on the supporting section.
US08709146B2 Thin, platelet-shaped iron pigments, production thereof and use thereof
Iron effect pigments having a thickness distribution, determined via thickness counting by scanning electron microscopy (SEM), as a cumulative undersize distribution with: a) an h50 value from 10 to 55 nm; and b) an h90 value from 20 to 80 nm, and also a method for producing the pigments, and the use of the iron effect pigments. The disclosure further relates to a printing ink comprising the iron effect pigments of the invention.
US08709141B2 Heat releasable composite coatings and related methods
Disclosed are heat releasable multi-component composite coatings. These coatings include an under coating and an over coating deposited over at least a portion of the under coating. The under coating is deposited from a coating composition that includes a film-forming resin and thermally expandable capsules having an average diameter of 5 to 25 μm. The over coating layer has a 60 degree gloss of no more than 60 gloss units.
US08709135B2 Vapor recovery system utilizing compression-condensation processes and related methods
An off gas extraction system cleans common sources of off gas, such as storage tanks and polluted soils. Off gas is extracted, followed by compression and condensation. Compression and condensation produce an off gas that can be reintroduced as a treated gas into the off gas source. Alternatively, a regenerative absorber cleans the treated gas by adsorbing residual chemical vapor and concentrates the removed chemical vapors and reprocesses them. If the treated gas is not reintroduced into the off gas source, conventional scrubbers may used on the back end of the system to produce a final exhaust as prescribed by environmental regulation. Methods of accomplishing the same are similarly provided, including novel methods for degassing storage tanks and treating polluted soils to meet current environmental regulations, as well as green technology and sustainability initiatives.
US08709129B2 Compounds useful as ligands of actinides, their synthesis and their uses
The invention relates to novel compounds which are useful as ligands of actinides, to the synthesis of these compounds and to their uses.These compounds fit the general formula (I) hereafter: wherein R1 and R2, either identical or different, represent H, a linear or branched, saturated or unsaturated C1-C12 hydrocarbon group, a phenyl, benzyl, diphenyl or tolyl group; R3 represents H, a linear or branched, saturated or unsaturated C1-C12 hydrocarbon group, a phenyl, tolyl or linear or branched C1-C12 alkoxy group; while R4 represents H, a linear or branched, saturated or unsaturated C1-C12 hydrocarbon group, a phenyl or tolyl group. Field of applications: the processing of used nuclear fuels via a hydrometallurgical route.
US08709128B2 Process for production of direct reduced iron
The present invention relates to a process for the direct reduction of iron ore performed by means of a plant comprising a gravitational furnace (2) having at least one iron ore reduction zone (8) in the upper part thereof, and at least one carbon deposition zone (9) and one reduced metal product cooling zone (10) in the lower part thereof, and means for feeding a reducing gas mixture into the reactor in correspondence to the with the reduction zone, means for recycle exhaust or reactor off gas from the reactor to syngas and mixing the recycled gas with natural gas to form a reducing gas mixture. According to the invention a in first reformation step (5) unreacted carbon monoxide CO and steam present in the reactor off gas is reformed to carbon dioxide and hydrogen following the water gas shift reaction CO+H2O=CO2+H2, in a secondary reformation step the de-watered reactor off gas comprising mainly of carbon dioxide and hydrogen is processed to remove carbon dioxide, and in a third reformation step physical separation of both nitrogen and carbon oxide CO from the reducing syngas is carried out to bring down the levels of CO and any existing other gases in the recycled gas to as low level as possible such that recycled reducing gas is as close to pure hydrogen H2 as possible.
US08709125B2 Methods of controlling nanostructure formations and shapes
A method of forming monodispersed metal nanowires comprising: forming a reaction mixture including a metal salt, a capping agent and a quaternary ammonium chloride in a reducing solvent at a first temperature; and forming metal nanowires by reducing the metal salt in the reaction mixture.
US08709119B2 Air filter cartridge and air cleaner assembly
An air filter arrangement is disclosed. The air filter arrangement includes strips of media comprising fluted sheets secured to facing sheets and forming inlet and outlet flutes secured to one another in a stack. Media pack arrangements including such stacked media with peripheral, perimeter, housing seal arrangements are described. Also described are air cleaners including the filter cartridges. Methods of assembly and use are also provided. Also, systems of use are described.
US08709118B2 Fine fiber media layer
Disclosed are improved polymer materials. Also disclosed are fine fiber materials that can be made from the improved polymeric materials in the form of microfiber and nanofiber structures. The microfiber and nanofiber structures can be used in a variety of useful applications including the formation of filter materials.
US08709105B2 Electrodes and their fabrication methods as well as applications
An electrode including a substrate and a complex metal oxide film deposited on the surface of the substrate. The complex metal oxide film includes manganese oxide, cobalt oxide, and zinc oxide. A main component of the complex metal oxide film is manganese oxide. The stability of the electrode is enhanced by adding little amount of cobalt oxide and zinc oxide. Furthermore, a method relates to fabricate the electrode. The method utilizes a dry process, simpler one-step radio frequency magnetron sputtering to fabricate the electrode of the present invention. The process can reduce residual impurities in the electrode and then prevent the electrochemical capacitor and cell from explosion. Moreover, an electrochemical capacitor and a cell relates to of the above electrode.
US08709101B2 Oxidation dye composition comprising a polycondensate of ethylene oxide and of propylene oxide, and an oxyethylenated fatty acid amide
The present invention relates to a dye composition comprising: * at least one oxidation dye precursor; * at least one polycondensate of ethylene oxide and of propylene oxide having the structure (A) below H—(O—CH2CH2)a—(O—CH(CH3)—CH2)b—(O—CH2CH2)a′—OH (A) in which formula a ranges from 2 to 150 and b ranges from 1 to 100; and * at least one oxyethylenated rapeseed fatty acid amide comprising from 1 to 20 mol of ethylene oxide; * the oxyethylenated rapeseed fatty acid amide(s)/polycondensate(s) of formula (A) weight ratio ranging from 1 to 20. The invention also relates to a dyeing process using this composition (free of oxidizing agent) after mixing with a composition comprising an oxidizing agent. Another subject of the invention is a two-compartment device, the first compartment comprising the composition according to the invention, free of oxidizing agent, and the second compartment containing a composition comprising at least one oxidizing agent.
US08709097B2 Actuator assembly for prosthetic or orthotic joint
A system and method associated with the movement of a limb. In one example, the system, such as a prosthetic or orthotic system, includes an actuator that actively controls, or adjusts, the angle between a foot unit and a lower limb member. The actuator preferably selectively locks during a desired phase in a gait cycle and minimizes friction against a rotor of the actuator. A processing module may control movement of the actuator based on data obtained from a sensor module. For instance, data may include information relating to a user's gait and may be used to adjust the foot unit to substantially mimic the movement of a natural ankle. The system may accommodate level ground walking, traveling up/down stairs or sloped surfaces, and various other user movements. In addition, the processing module may receive user input, such as a heel height, or display output signals through an external interface.
US08709090B2 Adjustable absorber designs for implantable device
A system for manipulating energy transferred by members defining a joint. The system includes a first attachment structure configured to be attached to a first member of the joint and a second attachment structure configured to be attached to a second member of the joint. There is also an adjustable energy absorbing device attached to the first attachment structure and second attachment structure, wherein adjusting the energy absorbing device changes the load manipulating characteristics of the energy absorbing device.
US08709089B2 Minimally invasive joint implant with 3-dimensional geometry matching the articular surfaces
This invention is directed to orthopedic implants and systems. The invention also relates to methods of implant design, manufacture, modeling and implantation as well as to surgical tools and kits used therewith. The implants are designed by analyzing the articular surface to be corrected and creating a device with an anatomic or near anatomic fit; or selecting a pre-designed implant having characteristics that give the implant the best fit to the existing defect.
US08709088B2 Fusion cage with combined biological delivery system
The present invention relates to an apparatus and method for near-simultaneous and integrated delivery of bone graft material during the placement of surgical cages or other medical implants in a patient's spine. The integrated fusion cage and graft delivery device according to various embodiments delivers and disperses biologic material through a fusion cage to a disc space and, without withdrawal from the surgical site, may selectably detach the fusion cage for deposit to the same disc space. The integrated fusion cage and graft delivery device is formed such that a hollow tube and plunger selectively and controllably place bone graft material and a fusion cage in or adjacent to the bone graft receiving area.
US08709086B2 Expandable fusion device and method of installation thereof
The present invention provides an expandable fusion device capable of being installed inside an intervertebral disc space to maintain normal disc spacing and restore spinal stability, thereby facilitating an intervertebral fusion. In one embodiment, the fusion device includes a body portion, a first endplate, and a second endplate, the first and second endplates capable of being moved in a direction away from the body portion into an expanded configuration or capable of being moved towards the body portion into an unexpanded configuration. The fusion device is capable of being deployed and installed in both configurations.
US08709085B2 Intervertebral implant
An intervertebral implant includes a three-dimensional body and a securing plate. The three-dimensional body includes a front surface and a rear surface. The three-dimensional body further includes a plurality of boreholes for accommodating fixation elements. The intervertebral implant also includes a front plate disposed at the front surface of the three-dimensional body and having a plurality of boreholes. A securing plate can be fastened to the front plate.
US08709078B1 Ocular implant with substantially constant retinal spacing for transmission of nerve-stimulation light
An improved prosthesis and method for stimulating vision nerves to obtain a vision sensation that is useful for the patient that has lost vision due to age-related macular degeneration (AMD) and retinitis pigmentosa (RP) and other diseases. The present invention utilizes infrared light to cause action potentials in the retinal nerves similar to those which result from rods and cones stimulated by visible light in healthy retinas. In some embodiments, the invention provides a pathway or “image pipe” for transmitting a stimulation pattern of infrared light from an external stimulator array through the eye and focusing the stimulation pattern of infrared light on the retina, especially the fovea. Some embodiments provide improved resolution down to a group of nerves, or even the individual nerve level, with sufficient energy density so as to cause a desired action potential.
US08709068B2 Multi-component bifurcated stent-graft systems
A multiple-component expandable endoluminal system for treating a lesion at a bifurcation including a self expandable tubular root member having a side-looking engagement aperture, a self expandable tubular trunk member comprising a substantially blood impervious polymeric liner secured therealong; both having a radially compressed state adapted for percutaneous intraluminal delivery and a radially expanded state adapted for endoluminal support.
US08709066B2 Implantable materials having engineered surfaces comprising a pattern of features and method of making same
Implantable materials having defined patterns of affinity regions for binding endothelial cells and providing for directed endothelial cell migration across the surface of the material. The affinity regions include photochemically altered regions of a material surface and physical members patterned on the material surface that exhibit a greater affinity for endothelial cell binding and migration than the remaining regions of the material surface.
US08709064B2 Introducer assembly and dilator tip therefor
An introducer assembly (60) is provided with a dilator tip (40) which has at least one portion (46) which is asymmetric in transverse cross-section, preferably being flattened or oval. A dilator tip (40) which is asymmetric over at least a part of its length gives the tip different flexure characteristics in different radial directions (54, 56). This provides advantages in trackability of the dilator tip (40) and thus of the introducer assembly (60) as well as providing for self-orientation of the dilator tip (40), useful in orienting medical devices or treatment tools.
US08709063B2 Bifurcated stent introducer system
A stent delivery system and a method for implanting a stent are provided. The system includes first and second elongate shafts, each shaft including a proximal portion, a distal portion, and a lumen extending at least partially therethrough. The second shaft is longitudinally movable relative to the first shaft. The system also includes a bifurcated stent having a first arm positioned on the first shaft and a second arm positioned on the elongate shaft and a main body positioned on the first and second shafts. Proximal and first and second distal constraining members are releasably connected to the stent. The proximal and the first and second distal constraining members cooperatively apply longitudinal tensile force to at least a portion of the stent with the proximal and first and second distal constraining members each in the first position.