Document Document Title
US08674567B2 Unit housing for motor unit
A unit housing for a motor unit includes a substantially cylindrical housing body, an end housing, and connectors. The end housing has a peripheral wall and an end wall. The connectors include bolts to connect respectively 3-phase power lines to the lead wires led from the ring-shaped stator. The peripheral wall includes a recess to secure an insulating space between an inner surface of the peripheral wall and each of the connectors. The recess is provided in the inner surface of the peripheral wall at a position close to the connectors. The inner surface of the peripheral wall is provided to linearly extend from a bottom surface of the recess toward an opening end of the peripheral wall.
US08674566B2 Electrical machine with a device for monitoring an air gap between a rotor and a stator
An electrical machine configured to operate at a power range of several MVA includes a rotor is configured to rotate about a rotor axis. The rotor includes a rotor lamination stack. A stator includes a stator lamination stack concentrically surrounding the rotor lamination stack, wherein a ring-like air gap separates the rotor lamination stack from the stator. An air gap monitoring device extends through the air gap in an axial direction and is configured to detect at least one of a change in a rotor geometry and a presence of debris in the air gap.
US08674562B2 Linear motor armature and linear motor
A linear motor armature according to an embodiment includes an armature coil and a cooling jacket. The cooling jacket is provided to surround the armature coil and has an internal space into which a refrigerant is supplied. The cooling jacket is formed in a thin plate shape having a structure in which a channel for supplying the refrigerant is provided in a multiple manner in its thickness direction.
US08674548B2 Inverters
We describe a photovoltaic power conditioning unit for delivering power from multiple photovoltaic panels to an ac mains power supply output, comprising: a dc input for receiving power from multiple photovoltaic panels; an ac output for delivering ac power to the ac supply; a bank of electrolytic energy storage capacitors for storing energy from the dc source for delivery to the ac supply; a dc-to-ac converter coupled to the ac output and having an input coupled to the bank for converting energy stored in the bank to ac power for the ac supply; and further comprising: a plurality of sense and control circuits, one for each capacitor in the bank, wherein each circuit is coupled in series with a capacitor, and is configured to disconnect the associated capacitor from the bank upon detection of a current flow thorough the associated capacitor of greater than a threshold current value.
US08674546B1 Redundant power over ethernet
A VoIP switch includes a first input Ethernet port configured to receive electrical power from a first power sourcing equipment, and a second input Ethernet port configured to receive electrical power from a second power sourcing equipment. The VoIP switch may be configured such that the first input Ethernet port is powered by the first power sourcing equipment concurrently with the second input Ethernet port being powered by the second power sourcing equipment.
US08674543B2 Electronic device for controlling consumption power and method of operating the same
An aspect of the present invention relates to a method of restricting power consumption of an electronic device. The method includes a request reception step of receiving a power consumption restriction request including a restricted power consumption value and a response transmission step of sending a response to the power consumption restriction request on the basis of the restricted power consumption value and a required power consumption value necessary for an operation.
US08674541B2 Rail based potential energy storage for utility grid ancillary services
A utility grid ancillary services system employs an inclined track with a utility grid connection system associated with the track. Shuttle units ride on the inclined track and have a motor/generator and an onboard electrical system for control. The motor/generator is connected to draw power from or provide power to the utility grid connection system. A controller in communication with an electric utility controls the onboard electrical system. Software modules in the controller increase uphill force responsive to a regulation down command from the utility thereby absorbing additional power from the utility grid or decreasing the amount of power provided to the grid. The software modules decrease force in an uphill direction responsive to a regulation up command from the utility thereby generating additional power to supply the utility grid or decreasing the amount of power absorbed from the grid.
US08674540B2 Electronic system having resistors serially connected
An electronic system has a capacitor that smoothes voltage of a direct current source, a resistance circuit that discharges charge of the capacitor, and an inverter circuit that converts the smoothed voltage into a three-phase ac voltage and applies this ac voltage to a motor. The resistance circuit has resistors and patterned wires disposed on a substrate such that the wires serially connect the resistors to discharge charge of the capacitor. The resistors are aligned in a straight line. The resistors located at positions different from ends of the series of resistors have resistance values lower than resistance values of the resistors located at respective ends of the series of resistors. More preferably, as the position of one resistor approaches the center of the series of resistors, the resistor is set at a lower the resistance value.
US08674536B2 Wind turbine with variable speed auxiliary generator and load sharing algorithm
An assembly for retrofitting fixed speed, doubly fed and fully converted wind turbines. A differential having an output shaft coupled to the main generator and a control shaft to change a ratio between differential input and output and coupled to the auxiliary generator. The main generator operates at constant frequency and is coupled to an electric power grid without a power converter. A variable speed auxiliary generator may be coupled to the electric grid through auxiliary power electronics. A controller may during low loads assign a full load to the auxiliary generator, during typical loads assign a variable shared load between the auxiliary and main generators based on an optimization algorithm and during high loads assign a fixed shared load between the auxiliary and main generators based on the internal gear ratio of the differential. For step-down differentials, at high loads the full load may go to the main generator.
US08674535B2 Method for power regulation of an underwater power plant
The invention concerns a method for the operation of an underwater power plant with a water turbine to take up kinetic energy from a surrounding flow; and an electrical generator coupled directly to the water turbine; characterized in that the power regulation in the generator mode is done by a control or regulation of the load moment produced by the electrical generator for a freely adjusting rpm speed of the water turbine, wherein the setpoint value of the load moment in a first, power-optimized operating range is established by a state controller and/or a search mechanism for the power maximum; and in a second, power-limited operating range the assigned load moment is chosen so that an operating point distant from the power optimum results for the water turbine.
US08674527B2 Apparatuses and methods for energy storage
Some embodiments relate to an energy storage and generation system, comprising a cable system having a first end portion located at a first elevation and a second end portion located at a second elevation, a plurality of mass carriers supported by the cable system, one or more motor generators coupled with the cable system and with an energy grid, a control system in communication with at least the one or more motor generators, a first mass pile area configured to store mass medium positioned at the first elevation, and a second mass pile area configured to store mass medium positioned at the second elevation that can be higher than the first elevation. The one or more motor generators can move the cable system in an energy storing state and be moved by the cable in an energy generating state. The system can store energy by transferring mass medium from the first mass pile area to the second mass pile area, and can generate energy by transferring mass medium from the second mass pile area to the first mass pile area.
US08674521B2 Semiconductor device package including a paste member
A semiconductor device package is provided. The semiconductor device package includes a package body; a plurality of electrodes including a first electrode on the package body; a paste member on the first electrode and including inorganic fillers and metal powder; and a semiconductor device die-bonded on the paste member, wherein a die-bonding region of the first electrode includes a paste groove having a predetermined depth and the paste member is formed in the paste groove.
US08674514B2 Wiring board, manufacturing method of the wiring board, and semiconductor package
A wiring board includes a ceramic substrate including a plurality of stacked ceramic layers, an internal wiring, and an electrode, the internal wiring being electrically connected to the electrode, the electrode being exposed from a first surface of the ceramic substrate; and a silicon substrate including a wiring layer, the wiring layer including a wiring pattern and a via-fill, the wiring pattern being formed on a main surface of the silicon substrate, an end of the via-fill being electrically connected to the wiring pattern, another end of the via-fill being exposed from a rear surface of the silicon substrate positioned opposite to the main surface, wherein the rear surface of the silicon substrate is anodically bonded to the first surface of the ceramic substrate; and the via-fill of the silicon substrate is directly connected to the electrode of the ceramic substrate.
US08674513B2 Interconnect structures for substrate
A device for use with integrated circuits is provided. The device includes a substrate having a through-substrate via formed therethrough. Dielectric layers are formed over at least one side of the substrate and metallization layers are formed within the dielectric layers. A first metallization layer closest to the through-substrate via is larger than one or more overlying metallization layers. In an embodiment, a top metallization layer is larger than one or more underlying metallization layers. Integrated circuit dies may be attached to the substrate on either or both sides of the substrate, and either side of the substrate may be attached to another substrate, such as a printed circuit board, a high-density interconnect, a packaging substrate, an organic substrate, a laminate substrate, or the like.
US08674512B2 Method to align mask patterns
Alignment tolerances between narrow mask lines, for forming interconnects in the array region of an integrated circuit, and wider mask lines, for forming interconnects in the periphery of the integrated circuit, are increased. The narrow mask lines are formed by pitch multiplication and the wider mask lines are formed by photolithography. The wider mask lines and are aligned so that one side of those lines is flush with or inset from a corresponding side of the narrow lines. Being wider, the opposite sides of the wider mask lines protrude beyond the corresponding opposite sides of the narrow mask lines. The wider mask lines are formed in negative photoresist having a height less than the height of the narrow mask lines. Advantageously, the narrow mask lines can prevent expansion of the mask lines in one direction, thus increasing alignment tolerances in that direction. In the other direction, use of photolithography and a shadowing effect caused by the relative heights of the photoresist and the narrow mask lines causes the wider mask lines to be formed with a rounded corner, thus increasing alignment tolerances in that direction by increasing the distance to a neighboring narrow mask line.
US08674510B2 Three-dimensional integrated circuit structure having improved power and thermal management
A three dimensional (3D) integrated circuit (IC) structure having improved power and thermal management is described. The 3D IC structure includes at least first and second dies. Each of the first and second dies has at least one power through silicon via (TSV) and one signal TSV. The at least one power and signal TSVs of the first die are connected to the at least one power and signal TSVs of the second die, respectively. The 3D IC structure also includes one or more peripheral TSV structures disposed adjacent to one or more sides of the first and/or the second die. The peripheral TSV structures supply at least power and/or signals.
US08674508B2 Seal ring structures with reduced moisture-induced reliability degradation
A semiconductor chip includes a seal ring adjacent to edges of the semiconductor chip; an opening extending from a top surface to a bottom surface of the seal ring, wherein the opening has a first end on an outer side of the seal ring and a second end on an inner side of the seal ring; and a moisture barrier having a sidewall parallel to a nearest side of the seal ring, wherein the moisture barrier is adjacent the seal ring and has a portion facing the opening.
US08674505B2 Integrated circuit packaging with ball grid array having differential pitch to enhance thermal performance
A ball grid array (BGA) includes a plurality of metal balls adapted for connection between an electrical circuit and a substrate. A first portion of the BGA contains a first group of the metal balls arranged according to a first pitch. A second portion of the BGA contains a second group of metal the balls arranged according to a second pitch that is less than the first pitch, to provide increased metal contact area and correspondingly enhanced thermal transfer capability.
US08674503B2 Circuit board, fabricating method thereof and package structure
The present invention provides a circuit board including a substrate, at least one lead, at least one bump, and a solder layer. The lead is disposed on the substrate, and the bump is disposed on the lead. The solder layer covers the lead and the bump.
US08674501B2 Semiconductor integrated circuit device
A semiconductor integrated circuit device includes plural circuit units each having plural logic circuits; and plural power terminals supplying power source from outside to the semiconductor integrated circuit device, in which the plural circuit units each having plural logic circuits have common packaging design with each other, and lengths in a vertical direction and a lateral direction of the circuit units each having plural logic circuits are equal to an even multiple of a distance between the power terminals adjacent to each other.
US08674492B2 Power module
A power module according to the present invention is a power module configured such that a power device chip is arranged within an outer casing and an electrode of the power device chip is connected to an external electrode that is integrated with the outer casing. The power module includes: a heat spreader fixed inside the outer casing; the power device chip solder-bonded on the heat spreader; an insulating dam formed on the heat spreader so as to surround the power device chip; and an internal main electrode having one end thereof solder-bonded to the electrode of the power device chip and the other end thereof fixed to an upper surface of the dam. The external electrode and the other end of the internal main electrode are electrically connected to each other by wire bonding.
US08674491B2 Semiconductor device
A semiconductor device including a silicon substrate, a plurality of silicon nanowire clusters, a first circuit layer and a second circuit layer. The silicon substrate has a first surface, a second surface opposite to the first surface and a plurality of through holes. The silicon nanowire clusters are disposed in the through holes of the silicon substrate, respectively. The first circuit layer is disposed on the first surface and connected to the silicon nanowire clusters. The second circuit layer is disposed on the second surface and connected to the silicon nanowire clusters.
US08674490B2 Semiconductor die package including IC driver and bridge
A semiconductor die package. Embodiments of the semiconductor die package are usable in backlight circuitry. Systems in packages may include a bridge circuit or a part thereof, and a integrated circuit die, such as a driver die, encapsulated by a molding material or other package. The bridge circuit may be stacked on opposing surfaces of a leadframe.
US08674489B2 Interconnect structure with cavity having one or several contact rises on the wall of the cavity and method for producing same
An interconnect device is disclosed including a support in which at least one hole is formed, the hole having walls forming a closed contour and being formed by a cavity and one or several slots communicating with the cavity. The slots extend in a direction making a non-zero angle with the main plane of the support. Several conducting elements are positioned on at least one wall of the hole and pass through the hole. The conducting elements are each intended to connect conducting areas to each other that are situated on either side of the support. At least one of the slots separates two of the conducting elements from each other.
US08674488B2 Light emitting diode (LED) packages
A method of manufacturing an LED package includes mounting a large panel frame/substrate (LPF/S) having a substantially square shape to a ring. The LPF/S includes a plurality of die pads and a corresponding plurality of leads arranged in a matrix pattern. Each of the die pads includes a planar chip attach surface. An LED chip is attached to the planar chip attach surface of each of the die pads. An encapsulant material is applied overlaying the LED chips and at least a part of the LPF/S. Each die pad and corresponding leads are separated from the LPF/S to form individual LED packages. The steps of attaching the LED chips and applying the encapsulant material are performed while the LPF/S is mounted to the ring.
US08674485B1 Semiconductor device including leadframe with downsets
In one embodiment, a semiconductor package includes a generally planar die paddle or die pad that defines multiple peripheral edge segments, and includes one or more tie bars protruding therefrom. In addition, the semiconductor package includes a plurality of leads, portions of which protrude from respective side surfaces of a package body of the semiconductor package. Connected to the top surface of the die pad is at least one semiconductor die which is electrically connected to at least some of the leads. At least portions of the die pad, the leads, and the semiconductor die are encapsulated by the package body. The one or more tie bars and the plurality of leads include downsets that are sized and oriented relative to each other to facilitate enhanced manufacturing.
US08674483B2 Methods and arrangements relating to semiconductor packages including multi-memory dies
Embodiments provide a method comprising providing a multi-memory die that comprises multiple individual memory dies. Each of the individual memory dies is defined as an individual memory die within a wafer of semiconductor material during production of memory dies. The multi-memory die is created by singulating the wafer of semiconductor material into memory dies where at least one of the memory dies is a multi-memory die that includes multiple individual memory dies that are still physically connected together. The method further comprises coupling a semiconductor die to the multi-memory die.
US08674482B2 Semiconductor chip with through-silicon-via and sidewall pad
Subject matter disclosed herein may relate to packaging for multi-chip semiconductor devices as may be used, for example, in flash memory devices. In an example embodiment, a semiconductor chip may comprise a through-silicon via and a sidewall pad.
US08674481B2 Active device on a cleaved silicon substrate
A hydrogen (H) exfoliation gettering method is provided for attaching fabricated circuits to receiver substrates. The method comprises: providing a Si substrate; forming a Si active layer overlying the substrate with circuit source/drain (S/D) regions; implanting a p-dopant into the S/D regions; forming gettering regions underling the S/D regions; implanting H in the Si substrate, forming a cleaving plane (peak concentration (Rp) H layer) in the Si substrate about as deep as the gettering regions; bonding the circuit to a receiver substrate; cleaving the Si substrate along the cleaving plane; and binding the implanted H underlying the S/D regions with p-dopant in the gettering regions, as a result of post-bond annealing.
US08674479B2 Method for producing MIM capacitors with high K dielectric materials and non-noble electrodes
A method of producing a Metal-Insulator-Metal (MIM) capacitor stack through doping to achieve low current leakage and low equivalent oxide thickness is disclosed. A high K dielectric material is deposited on a non-noble electrode; the dielectric material is doped with oxides from group IIA. The dopant increases the barrier height of metal/insulator interface and neutralizes free electrons in dielectric material, therefore reduces the leakage current of MIM capacitor. The electrode may also be doped to increase work function while maintaining a rutile crystalline structure. The method thereby enhances the performance of DRAM MIM capacitor.
US08674476B2 Anti-fuse device structure and electroplating circuit structure and method
Disclosed are embodiments of a circuit and method for electroplating a feature (e.g., a BEOL anti-fuse device) onto a wafer. The embodiments eliminate the use of a seed layer and, thereby, minimize subsequent processing steps (e.g., etching or chemical mechanical polishing (CMP)). Specifically, the embodiments allow for selective electroplating metal or alloy materials onto an exposed portion of a metal layer in a trench on the front side of a substrate. This is accomplished by providing a unique wafer structure that allows a current path to be established from a power supply through a back side contact and in-substrate electrical connector to the metal layer. During electrodeposition, current flow through the current path can be selectively controlled. Additionally, if the electroplated feature is an anti-fuse device, current flow through this current path can also be selectively controlled in order to program the anti-fuse device.
US08674474B2 Biosensors integrated with a microfluidic structure
A biosensor with a microfluidic structure surrounded by an electrode and methods of forming the electrode around the microfluidic structure of the biosensor are provided. A method includes forming a gate or electrode in a first layer. The method further includes forming a trench in a second layer. The method further includes forming a first metal layer in the trench such that the first metal layer is in electrical contact with the gate or the electrode. The method further includes forming a sacrificial material in the trench. The method further includes forming a second metal layer over the sacrificial material and in contact with the first metal layer. The method further includes removing the sacrificial material such that a microfluidic channel is formed surrounded by the first and the second metal layers.
US08674471B2 Semiconductor device supplying charging current to element to be charged
A semiconductor device supplying a charging current to a charging-target element includes: a semiconductor layer of a first conductivity type; a first semiconductor region of a second conductivity type formed on a main surface of the semiconductor layer and having a first node coupled to a first electrode of the charging-target element and a second node coupled to a power supply potential node supplied with a power supply voltage; a second semiconductor region of the first conductivity type formed in a surface of the first semiconductor region at a distance from the semiconductor layer and having a third node coupled to the power supply potential node; and a charge carrier drift restriction portion restricting drift of charge carrier from the third node to the semiconductor layer.
US08674467B2 Image sensor and method of fabricating same
Provided is a method of fabricating an image sensor device. The method includes providing a device substrate having a front side and a back side. The method includes forming first and second radiation-sensing regions in the device substrate, the first and second radiation-sensing regions being separated by an isolation structure. The method also includes forming a transparent layer over the back side of the device substrate. The method further includes forming an opening in the transparent layer, the opening being aligned with the isolation structure. The method also includes filling the opening with an opaque material.
US08674464B2 MEMS component, method for producing a MEMS component, and method for handling a MEMS component
A MEMS component includes a substrate in which at least one cavity is present. The cavity is closed off toward an active side of the substrate. An inactive side is arranged opposite the active side of the substrate, and the substrate is covered with a covering film on the inactive side.
US08674463B2 Multifunction MEMS element and integrated method for making MOS and multifunction MEMS
A multifunction MEMS element includes a first cantilever, a second cantilever and a MEMS component. The first cantilever, the second cantilever and the MEMS component together form a MEMS structure. The MEMS component includes an inductor device.
US08674460B2 Mechanical isolation for MEMS electrical contacts
In accordance with the disclosure, a MEMS substrate is provided that includes: a central planar portion configured to support a MEMS device; and a first electrical pad coplanar with the central planar portion, the first pad being connected to the central planar portion through a first flexure, wherein the first flexure is configured to substantially mechanically isolate the first electrical pad from the central planar portion.
US08674457B2 Methods to reduce gate contact resistance for AC reff reduction
A method (and semiconductor device) of fabricating a semiconductor device provides a field effect transistor (FET) with reduced gate contact resistance (and series resistance) for improved device performance. An impurity is implanted or deposited in the gate stack in an impurity region between the metal gate electrode and the gate contact layer. An anneal process is performed that converts the impurity region into a segregation layer which lowers the schottky barrier height (SBH) of the interface between the metal gate electrode (e.g., silicide) and gate contact layer (e.g., amorphous silicon). This results in lower gate contact resistance and effectively lowers the device's AC Reff.
US08674453B2 Mechanisms for forming stressor regions in a semiconductor device
The embodiments of processes and structures described above provide mechanisms for improving mobility of carriers. The dislocations in the source and drain regions and the strain created by the doped epitaxial materials next to the channel region of a transistor both contribute to the strain in the channel region. As a result, the device performance is improved.
US08674452B2 Semiconductor device with lower metal layer thickness in PMOS region
A semiconductor device includes: a substrate having a first region and a second region; a first gate structure disposed on the first region, wherein the first gate structure comprises a first high-k dielectric layer, a first work function metal layer, and a first metal layer disposed between the first high-k dielectric layer and the first work function metal layer; and a second gate structure disposed on the second region, wherein the second gate structure comprises a second high-k dielectric layer, a second work function metal layer, and a second metal layer disposed between the second high-k dielectric layer and the second work function metal layer, wherein the thickness of the second metal layer is lower than the thickness of the first metal layer.
US08674451B2 N/P metal crystal orientation for high-K metal gate Vt modulation
The present disclosure provides an integrated circuit. The integrated circuit includes a semiconductor substrate having a first region and a second region; a first gate stack of an n-type field-effect transistor (FET) in the first region; and a second gate stack of a p-type FET in the second region. The first gate stack includes a high k dielectric layer on the semiconductor substrate, a first crystalline metal layer in a first orientation on the high k dielectric layer, and a conductive material layer on the first crystalline metal layer. The second gate stack includes the high k dielectric layer on the semiconductor substrate, a second crystalline metal layer in a second orientation on the high k dielectric layer, and the conductive material layer on the second crystalline metal layer.
US08674449B2 Semiconductor device and method for manufacturing the same
A semiconductor device and a method for manufacturing the same are disclosed. In one embodiment, the semiconductor device may comprise a semiconductor layer, a fin formed by patterning the semiconductor layer, and a gate stack crossing over the fin. The fin may comprise a doped block region at the bottom portion thereof. According to the embodiment, it is possible to effectively suppress current leakage at the bottom portion of the fin by the block region.
US08674445B2 Electrostatic discharge failure protective element, electrostatic discharge failure protective circuit, semiconductor device and semiconductor device manufacturing method
An electrostatic discharge failure protective element (50) is provided with second conductivity type source region (4) and drain region (5), which are formed at a prescribed interval to sandwich a channel region (3) on the surface of a first conductivity type semiconductor substrate (1); a first conductivity type well region (7) formed to cover the source region; a second conductivity type buried layer (8) formed below the first conductivity type well region; a second conductivity type first impurity region (9a) formed between the drain region and the buried layer to constitute a current path; and a second conductivity type second impurity region (9b) to isolate the well region and the semiconductor substrate one from the other.
US08674439B2 Low loss SiC MOSFET
A Vertical Multiple Implanted Silicon Carbide Power MOSFET (VMIMOSFET) includes a first conductivity semiconductor substrate, a first conductivity semiconductor drift layer on the top of the substrate, a multitude of second conductivity layers implanted in the drift layer. The body layer is where the channel is formed. A first conductivity source layer is interspaced appropriately inside of the second conductivity layers. A gate oxide of a certain thickness and another oxide of a different thickness, a greater thickness than the gate oxide, placed in between the body layers but in such way that its shape does not distort the gate oxide in the channel. A charge compensated body layer of the second conductivity formed outside of the channel region and only at specific high electric field locations in the structure. The device and the manufacturing method deliver a power SiC MOSFET with increased frequency of operation and reduced switching losses.
US08674430B2 Nonvolatile semiconductor storage device
According to one embodiment, a control gate is formed on the semiconductor substrate and includes a cylindrical through hole. A block insulating film, a charge storage film, a tunnel insulating film, and a semiconductor layer are formed on a side surface of the control gate inside the through hole. The tunnel insulating film comprises a first insulating film having SiO2 as a base material and containing an element that lowers a band gap of the base material by being added. A density and a density gradient of the element monotonously increase from the semiconductor layer toward the charge storage film.
US08674426B2 Nonvolatile semiconductor memory device
According to one embodiment, a nonvolatile semiconductor memory device includes a stacked body, a semiconductor pillar and a charge storage layer. The stacked body includes a plurality of insulating films alternately stacked with a plurality of electrode films. The semiconductor pillar is buried in the stacked body, and extends in a stacking direction of the insulating films and the electrode films. The charge storage layer is provided between the electrode films and the semiconductor pillar. The electrode films are divided into a plurality of control gate electrodes. Each of the plurality of control gate electrodes faces the semiconductor pillar and sandwiches the charge storage layer with the semiconductor pillar.
US08674423B2 Semiconductor structure having vias and high density capacitors
A method of making a semiconductor structure includes forming at least a first trench and a second trench having different depths in a substrate, forming a capacitor in the first trench, and forming a via in the second trench. A semiconductor structure includes a capacitor arranged in a first trench formed in a substrate and a via arranged in a second trench formed in the substrate. The first and second trenches have different depths in the substrate.
US08674418B2 Method and apparatus for achieving galvanic isolation in package having integral isolation medium
An inductor device having an improved galvanic isolation layer arranged between a pair of coil and methods of its construction are described.
US08674405B1 Gallium—nitride-on-diamond wafers and devices, and methods of manufacture
Methods for integrating wide-gap semiconductors, and specifically, gallium nitride epilayers with synthetic diamond substrates are disclosed. Diamond substrates are created by depositing synthetic diamond onto a nucleating layer deposited or formed on a layered structure that comprises at least one layer made out of gallium nitride. Methods for manufacturing GaN-on-diamond wafers with low bow and high crystalline quality are disclosed along with preferred choices for manufacturing GaN-on-diamond wafers and chips tailored to specific applications.
US08674403B2 Lateral devices containing permanent charge
A lateral device includes a gate region connected to a drain region by a drift layer. An insulation region adjoins the drift layer between the gate region and the drain region. Permanent charges are embedded in the insulation region, sufficient to cause inversion in the insulation region.
US08674399B2 Semiconductor layer
A light-emitting element includes a β-Ga2O3 substrate, a GaN-based semiconductor layer formed on the β-Ga2O3 substrate, and a double-hetero light-emitting layer formed on the GaN-based semiconductor layer.
US08674395B2 System and method for LED packaging
System and method for LED packaging. The present invention is directed to optical devices. More specifically, embodiments of the presentation provide LED packaging having one or more reflector surfaces. In certain embodiments, the present invention provides LED packages that include thermal pad structures for dissipating heat generated by LED devices. In particular, thermal pad structures with large surface areas are used to allow heat to transfer. In certain embodiments, thick thermally conductive material is used to improve overall thermal conductivity of an LED package, thereby allowing heat generated by LED devices to dissipate quickly. Depending on the application, thermal pad structure, thick thermal conductive layer, and reflective surface may be individually adapted in LED packages or used in combinations. There are other embodiments as well.
US08674393B2 Substrate structure and fabrication thereof, and light emitting diode devices fabricated from the same
A substrate structure is described, including a starting substrate, crystal piers on the starting substrate, and a mask layer. The mask layer covers an upper portion of the sidewall of each crystal pier, is connected between the crystal piers at its bottom, and is separated from the starting substrate by an empty space between the crystal piers. An epitaxial substrate structure is also described, which can be formed by growing an epitaxial layer over the above substrate structure form the crystal piers. The crystal piers may be broken after the epitaxial layer is grown.
US08674391B2 Optoelectronic semiconductor component, lighting device and lens
An optoelectronic semiconductor component for a lighting device including a carrier, at least one optoelectronic semiconductor chip mounted on the carrier and which includes a radiation passage face remote from the carrier, by which a plane is defined, and a lens comprising 1) a radiation exit face, which, relative to a height above the plane, exhibits a minimum, in particular in a central region, and at least two local maxima, and at least two local maxima, and 2) at least two connecting embankments which each extend from one of the maxima to another of the maxima, and each connecting embankment comprises a saddle point higher than the minimum and lower than the maxima adjoining the connecting embankment.
US08674389B2 Light emitting device and light emitting device package having the same
Disclosed are a light emitting device and a light emitting device package. The light emitting device includes a light emitting structure including a first conductive type semiconductor layer, a second conductive type semiconductor layer, and an active layer between the first and second conductive type semiconductor layers, an electrode on the first conductive type semiconductor layer, a reflective layer under the second conductive type semiconductor layer, a protective layer on an outer portion of the reflective layer, the protective layer including a first portion between the reflective layer and the second conductive layer, and a second portion that extends beyond the second conductive type semiconductor layer; and a light extraction structure including a compound semiconductor on the second portion of the protective layer.
US08674379B2 Light-emitting device package and method of manufacturing the same
Provided are a light-emitting device package and a method of manufacturing the same. The light-emitting device package may include a plurality of light-emitting chips on one substrate (board). The plurality of light-emitting chips may produce colors around a target color. The target color may be produced by combinations of the colors of light emitted from the plurality of light-emitting chips. The colors around the target color may have the same hue as the target color and have color temperatures different from that of the target color. The plurality of light-emitting chips may have color temperatures within about ±250K of that of the target color.
US08674377B2 Optoelectronic device package, array and method of fabrication
An optoelectonice device package, an array of optoelectronic device packages and a method of fabricating an optoelectronic device package. The array includes a plurality of optoelectronic device packages, each enclosing an optoelectronic device, and positioned in at least one row. Each package including two geometrically parallel transparent edge portions and two geometrically parallel non-transparent edge portions, oriented substantially orthogonal to the transparent edge portions. The transparent edge portions are configured to overlap at least one adjacent package, and may be hermetically sealed. The optoelectronic device portion fabricated using R2R manufacturing techniques.
US08674374B2 Silicon carbide semiconductor device and method for manufacturing same
The present invention provides a silicon carbide semiconductor device having an ohmic electrode improved in adhesion of a wire thereto by preventing deposition of carbon so as not to form a Schottky contact, as well as a method for manufacturing such a silicon carbide semiconductor device. In the SiC semiconductor device, upon forming the ohmic electrode, a first metal layer made of one first metallic element is formed on one main surface of a SiC layer. Further, a Si layer made of Si is formed on an opposite surface of the first metal layer to its surface facing the SiC layer. The stacked structure thus formed is subjected to thermal treatment. In this way, there can be obtained a silicon carbide semiconductor device having an ohmic electrode adhered well to a wire by preventing deposition of carbon atoms on the surface layer of the electrode and formation of a Schottky contact resulting from Si and SiC.
US08674369B2 Light emitting device, method for manufacturing thereof and electronic appliance
An object of the invention is to provide a method for manufacturing a light emitting device capable of reducing deterioration of elements due to electrostatic charge caused in manufacturing the light emitting device. Another object of the invention is to provide a light emitting device in which defects due to the deterioration of elements caused by the electrostatic charge are reduced. The method for manufacturing the light emitting device includes a step of forming a top-gate type transistor for driving a light emitting element. In the step of forming the top-gate type transistor, when processing a semiconductor layer, a first grid-like semiconductor layer extending in rows and columns is formed over a substrate. The plurality of second island-like semiconductor layers are formed between the first semiconductor layer. The plurality of second island-like second semiconductor layers serve as an active layer of the transistor.
US08674367B2 Organic light-emitting display device having protrusions and recesses formed on conductive layer in pad portion and method of manufacturing the same
Provided is an organic light-emitting display device. The organic light-emitting display device includes: a substrate; a buffer layer formed on the substrate; a gate insulating layer formed on the buffer layer; a conductive layer formed on the gate insulating layer; and a pixel defined layer exposing a portion of the conductive layer to form a pad portion connected to bumps of a drive integrated circuit (IC) chip, wherein protrusions and recesses are formed on a surface of the conductive layer.
US08674363B2 Organic light emitting display apparatus
An organic light emitting display apparatus includes a substrate on which a display area and a non-display area are defined, a first electrode on the substrate, an intermediate layer on the first electrode, the intermediate layer includes an organic emission layer, a second electrode on the intermediate layer, a plurality of pad units on the non-display area, and an insulating layer on the pad units. The insulating layer includes contact holes overlapping upper surfaces of the pad units and grooves adjacent to the contact holes.
US08674362B2 Organic light emitting display device and method for manufacturing the same
An exemplary embodiment may include a substrate, an insulating layer on the substrate, and a pixel electrode including a transparent conductive layer on the insulating layer. A portion of a surface of the insulating layer contacting the transparent conductive layer has a plurality of recessed holes formed by etching with an etchant into an interface between the transparent conductive layer of the pixel electrode and the insulating layer.
US08674361B2 Pixel structure and method of making the same
A pixel structure includes a substrate, a gate line and a gate electrode disposed on the substrate, an insulating layer covering the substrate, a semiconductor layer disposed on the insulating layer, a data line, a source electrode, and a drain electrode which are disposed on the insulating layer and the semiconductor layer, a planarization layer disposed on the data line, the source electrode, and the drain electrode, and a pixel electrode disposed on the planarization layer. The planarization layer has a through hole exposing the drain electrode. The pixel electrode is electrically connected to the drain electrode via the through hole and includes an opaque main electrode and a plurality of transparent branch electrodes disposed on the planarization layer. One end of each transparent branch electrode is electrically connected to the opaque main electrode.
US08674357B2 Method for measuring impurity concentration profile, wafer used for same, and method for manufacturing semiconductor device using same
According to an embodiment, a method for measuring an impurity concentration profile uses a wafer including a semiconductor layer. The method includes measuring an impurity concentration profile in a depth direction from each surface of a plurality of first portions, each of the first portions being included in any one of a plurality of first regions provided in the semiconductor layer. Each of the first regions has a different size and is surrounded by a second region including a second portion having a different structure from the first portion. The method includes determining a change between the impurity concentration profiles measured in the first regions.
US08674355B2 Integrated circuit test units with integrated physical and electrical test regions
A device includes a test unit in a die. The test unit includes a physical test region including an active region, and a plurality of conductive lines over the active region and parallel to each other. The plurality of conductive lines has substantially a uniform spacing, wherein no contact plugs are directly over and connected to the plurality of conductive lines. The test unit further includes an electrical test region including a transistor having a gate formed of a same material, and at a same level, as the plurality of conductive lines; and contact plugs connected to a source, a drain, and the gate of the transistor. The test unit further includes an alignment mark adjacent the physical test region and the electrical test region.
US08674354B2 Display device with an oxide semiconductor including a crystal region
A more convenient and highly reliable semiconductor device which has a transistor including an oxide semiconductor with higher impact resistance used for a variety of applications is provided. A semiconductor device has a bottom-gate transistor including a gate electrode layer, a gate insulating layer, and an oxide semiconductor layer over a substrate, an insulating layer over the transistor, and a conductive layer over the insulating layer. The insulating layer covers the oxide semiconductor layer and is in contact with the gate insulating layer. In a channel width direction of the oxide semiconductor layer, end portions of the gate insulating layer and the insulating layer are aligned with each other over the gate electrode layer, and the conductive layer covers a channel formation region of the oxide semiconductor layer and the end portions of the gate insulating layer and the insulating layer and is in contact with the gate electrode layer.
US08674352B2 Overvoltage testing apparatus
An apparatus is provided. In the apparatus, there is comprises a substrate with a first region of a first conductivity type, a second region of a second conductivity type that is substantially surrounded by the first region, and a third region of the second conductivity type that is substantially surrounded by the second region. A first dielectric layer is formed over the substrate, and a first conductive layer is formed over the first dielectric layer, which is configured to form a first electrode of a capacitor. A second dielectric layer is formed over the first conductive layer. A plate is formed over the second dielectric layer so as to form a second electrode of the capacitor. A cap is formed over the second dielectric layer, being spaced apart from the plate. A via is electrically coupled to the cap and the third region, extending through the first and second dielectric layers.
US08674351B2 Semiconductor device and semiconductor memory device
A data retention period of a memory circuit is lengthened, power consumption is reduced, and a circuit area is reduced. Further, the number of times written data can be read to one data writing operation is increased. A memory circuit has a first field-effect transistor, a second field-effect transistor, and a third field-effect transistor. A data signal is input to one of a source and a drain of the first field-effect transistor. A gate of the second field-effect transistor is electrically connected to the other of the source and the drain of the first field-effect transistor. One of a source and a drain of the third field-effect transistor is electrically connected to a source or a drain of the second field-effect transistor.
US08674342B2 Pad-less gate-all around semiconductor nanowire FETs on bulk semiconductor wafers
A method for forming a nanowire field effect transistor (FET) device, the method includes forming a suspended nanowire over a semiconductor substrate, forming a gate structure around a portion of the nanowire, forming a protective spacer adjacent to sidewalls of the gate and around portions of nanowire extending from the gate, removing exposed portions of the nanowire left unprotected by the spacer structure, and epitaxially growing a doped semiconductor material on exposed cross sections of the nanowire to form a source region and a drain region.
US08674340B2 Nitride semiconductor light emitting device and fabrication method thereof
Provided is a nitride semiconductor light emitting device including: a first nitride semiconductor layer; an active layer formed above the first nitride semiconductor layer; and a delta doped second nitride semiconductor layer formed above the active layer. According to the present invention, the optical power of the nitride semiconductor light emitting device is enhanced, optical power down phenomenon is improved and reliability against ESD (electro static discharge) is enhanced.
US08674338B2 Semiconductor light emitting device
According to one embodiment, a semiconductor light emitting device includes an n-type semiconductor layer, a p-type semiconductor layer, and a light emitting portion. The light emitting portion is provided between the semiconductor layers and includes barrier layers and well layers alternately stacked. An n-side end well layer which is closest to the n-type semiconductor layer contains InwnGa1-wnN and has a layer thickness twn. An n-side end barrier layer which is closest to the n-type semiconductor layer contains InbnGa1-bnN and has a layer thickness tbn. A p-side end well layer which is closest to the p-type semiconductor layer contains InwpGa1-wpN and has a layer thickness twp. A p-side end barrier layer which is closest to the p-type semiconductor contains InbpGa1-bpN and has a layer thickness tbp. A value of (wp×twp+bp×tbp)/(twp+tbp) is higher than (wn×twn+bn×tbn)/(twn+tbn) and is not higher than 5 times (wn×twn+bn×tbn)/(twn+tbn).
US08674331B2 Electronic apparatus and control method
According to one embodiment, an electronic apparatus includes a proximity sensor, a control module and an adjustment module. The proximity sensor is configured to emit light and to detect reflection of the emitted light. The control module is configured to control an operation of the electronic apparatus, based on an output signal of the proximity sensor. The adjustment module is configured to adjust an intensity of the emitted light by monitoring the output signal of the proximity sensor while varying the intensity of the emitted light, when an event indicating that a detection distance of the proximity sensor is to be adjusted has occurred.
US08674330B2 Practical design for a walk-around, hands-free radiation protective shielding garment suspension apparatus
A rollable structure for suspending a heavy radiation protective garment so as to allow easy movement in a clinical environment subject to exposure to x-radiation. The structure comprises a vertically extending frame that is attachable to a user, and that permits the user to move freely around the clinical environment and to perform clinical duties without having to bear the weight of the shielding garment. The frame is vertically adjustable, and supports upper shield support surfaces for supporting the shoulder portions of a radiation protection apron. The upper support surfaces extend outwardly from the vertical frame. A rollable lower support frame for the vertical support frame is provided that includes a first lower frame extending forwardly from the vertically extending frame. A second lower frame for supporting the vertically extending frame is located at a position other than forwardly of the vertically extending frame. A pair of casters is provided at the lower end of each of the lower frames. A midbody attachment is provided for securing a flexible belt between the vertically extending framework and the use to allow the user to walk with the framework without the use of his hands.
US08674329B2 Method and apparatus for analyzing and/or repairing of an EUV mask defect
The invention relates to a method for analyzing a defect of a photolithographic mask for an extreme ultraviolet (EUV) wavelength range (EUV mask) comprising the steps of: (a) generating at least one focus stack relating to the defect using an EUV mask inspection tool, (b) determining a surface configuration of the EUV mask at a position of the defect, (c) providing model structures having the determined surface configuration which have different phase errors and generating the respective focus stacks, and (d) determining a three dimensional error structure of the EUV mask defect by comparing the at least one generated focus stack of the defect and the generated focus stacks of the model structures.
US08674324B2 Charged particle beam apparatus and sample transporting apparatus
A charged particle beam apparatus includes: a sample chamber; a sample stage; an electron beam irradiation system for irradiating the sample with an electron beam; a focused ion beam irradiation system for irradiating the sample with a focused ion beam; a sample stage drive unit having a rotational axis orthogonal to at least one of an irradiation axis of the electron beam irradiation system and an irradiation axis of the focused ion beam irradiation system; and a sample transporting mechanism for transporting the sample to the sample stage. The sample transporting mechanism includes a transportation path provided in the sample stage drive unit in a direction parallel to the rotational axis of the sample stage drive unit, and is configured to transport the sample to the sample stage through the transportation path.
US08674323B2 Forming an electron microscope sample from high-pressure frozen material
A method of forming a sample from a capillary with high-pressure frozen sample material comprises providing a high-pressure capillary with vitrified sample material at a temperature T1 below the glass transition temperature Tg, cutting the capillary, warming the capillary to a temperature T2 between temperature T1 and temperature Tg, cooling the capillary to a temperature T3 below temperature T2, as a result of which material is extruded from the capillary, and freeing a sample from the extruded sample material at a temperature below temperature Tg. Repeating this temperature cycle results in further extrusion of the sample material. The extruded material can then be sliced by, for example, ion beam milling.
US08674322B2 Sterilizing device and a method for sterilizing of fluids
The invention is directed to an improved sterilizing device (1) for sterilizing of a fluid by UV-radiation. The sterilizing device (1) has a modular setup with at least one flange (2, 3), an inner and an outer tube (4, 5) and comprises at least one UV-lamp (16) for emitting UV-radiation. A lamp tag (32) attached to or incorporated in the at least one UV-lamp (16) comprises information regarding the UV-lamp (16). The lamp tag (32) is interconnected with a lamp sensor unit (18) and/or a control unit (19) and may comprise sensors to control the sterilizing process.
US08674321B2 Microplasma ion source for focused ion beam applications
The present invention provides a method of obtaining a bright source of ions with narrow energy spread for focused ion beam applications using micro plasmas. As a preferred embodiment, a high pressure microplasma source operating in a normal glow discharge regime is used to produce a cold bright focused beam of Xe+ and/or Xe2+ ions having ion temperature of the order of 0.5-1 eV and a current density on the order of 0.1-1 A/cm2 or higher.
US08674320B2 Deconvolution of time-gated cathodoluminescence images
A method for generating a cathodoluminescence map comprising the steps of: generating an intensity modulated charged particle beam; focusing said charged particle beam on a specimen; gating temporally the cathodoluminescence emitted by said specimen to provide time-gated cathodoluminescence; measuring the time-gated cathodoluminescence for different charged particle beam positions on the specimen to generate a cathodoluminescence map; deconvoluting the cathodoluminescence map to improve the resolution of said cathodoluminescence map. The invention further provides devices for carrying out such methods.
US08674318B2 Particle beam irradiation apparatus and particle beam therapy system
The objective of the present invention is to eliminate noise caused by driving a ridge filter and to achieve a uniform dose distribution without making a patient sense discomfort or anxiety. There are provided a ridge filter having a thickness distribution in which the energy that a charged particle beam loses differs depending on the position thereon through which the charged particle beam passes, a deflector that deflects the charged particle beam, and a controller that controls the deflector in such a way that the charged particle beam passes through the thickness distribution of the ridge filter.
US08674316B1 Electromagnetic radiation imaging devices and associated methods
Electromagnetic radiation detection systems and associated methods are provided. In one aspect, for example, an electromagnetic radiation detection system includes a semiconductor material operable to detect electromagnetic radiation, the semiconductor material having a responsivity of greater than or equal to 0.3 A/W at an operating voltage of less than or equal to about 50 volts and at a wavelength of from about 0.01 nm to about 100 nm. The system can further include an electromagnetic radiation source positioned to deliver electromagnetic radiation to the semiconductor material.
US08674314B2 Solid-state nuclear detector
The present invention provides an innovative solid-state neutron detector that exhibits superior neutron-sensitivities. One embodiment of the present invention includes a Gadolinium-oxide (Gd2O3)-based neutron detector that is highly sensitive to the presence of neutrons, and experiences significant changes in film conductivity, capacitance or both as a result of thermal neutron exposure thereby providing for detection of nuclear radiation.
US08674313B2 X-ray image detection device
An X-ray image detection device includes a scintillator, a data integration processing unit and a plurality of X-ray image sensors. The X-ray image sensors are arranged in a matrix form and located on the back of the scintillator and connected to the data integration processing unit. Each X-ray image sensor includes a plurality of pixels, and each pixel has a dual driving pixel structure and includes two thin film transistors and two thin film photodiodes. The source electrodes of the thin film transistors are connected to the cathodes of the thin film photodiodes respectively, and the gate electrodes are connected to an odd row driving line and an even row driving line respectively, and the drain electrodes are connected to a common signal output line. Both anodes of the two thin film photodiodes are connected to a common ground wire of the pixels.
US08674312B2 Radiation detection with optical amplification
A device for detecting ionizing radiation includes a radiation interaction region configured to generate light in response to an interaction with the ionizing radiation, an optical gain medium region in optical communication with the radiation interaction region and configured to amplify the light, and an energy source coupled to the optical gain medium region and configured to maintain a state of population inversion in the optical gain medium region. The optical gain medium region has an emission wavelength that corresponds with a wavelength of the light generated by the radiation interaction region.
US08674308B2 Dewar assembly for IR detection systems
A dewar assembly is presented for use in an optical IR detection system defining a light collecting region. The dewar assembly comprises a warm shield unit configured as an enclosure for optically enclosing the light collection region and having an optical window through which incident light enters the dewar. The warm shield defines a reflective inner surface configured such that light portions of the incident light propagating through said optical window onto said inner surface are reflected by the inner surface towards regions outside said light collecting region.
US08674300B2 Feedback loop for emitter flashing
A method and a device for stabilizing the emission current of an emitter of a charged particle beam device are provided. In the method, the emitter is operated under predetermined operation parameters including at least one voltage with a predetermined value. The method includes determining a first value of the emission current under the predetermined operation parameters and flash cleaning the emitter while a first electric field is applied to the emitter. The first electric field is generated by the at least one voltage having a first value of the at least one voltage, wherein the first value of the at least one voltage is provided in dependence of the determined first value of the emission current.
US08674292B2 Reflector time-of-flight mass spectrometry with simultaneous space and velocity focusing
A time-of-flight mass spectrometer includes an ion source that generates ions. A two-field ion accelerator accelerates the ions through an ion flight path. A pulsed ion accelerator focuses the ions to a first focal plane where the ion flight time is substantially independent to first order of an initial velocity of the ions prior to acceleration. An ion reflector focuses ions to a second focal plane where the ion flight time is substantially independent to first order of an initial velocity of the ions prior to acceleration. An ion detector positioned at the second focal plane detects the ions. The two-field ion accelerator and the ion reflector cause the ion flight time to the ion detector for the ion of predetermined mass-to-charge ratio to be substantially independent to first order of both the initial position and the initial velocity of the ions prior to acceleration.
US08674291B2 Mass spectrometry assay for congenital adrenal hyperplasia
Methods are provided for detecting the amount of one or more CAH panel analytes (i.e., pregnenolone, 17-OH pregnenolone, progesterone, 17-OH progesterone, dehydroepiandrosterone (DHEA), androstenedione, testosterone, deoxycorticosterone, 11-deoxycortisol, and cortisol) in a sample by mass spectrometry. The methods generally involve ionizing one or more CAH panel analytes in a sample and quantifying the generated ions to determine the amount of one or more CAH panel analytes in the sample. In methods where amounts of multiple CAH panel analytes are detected, the amounts of multiple analytes are detected in the same sample injection.
US08674286B2 Optical position detection device and apparatus with position detection function
An optical position detection device that detects a position of a target object, includes plural detection light sources that output detection light, a light source drive unit that drives the plural detection light sources, a first light receiving unit that receives the detection light reflected by the target object located in an output space of the detection light, a compensation light source unit that outputs compensation light that enters outside the output space, a second light receiving unit that receives the compensation light, and a position detection unit that detects the position of the target object based on a difference between first received light intensity in the first light receiving unit and second received light intensity in the second light receiving unit.
US08674284B2 Sensing device having photo sensing element alternately operated in different biased states and related touch-controlled display device
The present invention provides a sensing device and a display device utilizing the sensing device. A photo sensing element of the sensing device is alternatively operated in a biased state and a reverse-biased state to prevent the stress issue. Furthermore, the sensing device improves the S/N ratio by generating an output signal through an active component. The display device including the sensing device prevents the stress issue and improves the S/N ratio by using specific driving signals.
US08674283B2 Image sensor with reduced optical crosstalk
A method of fabricating an image sensor includes the steps of: forming at least two photosites in a semiconductor substrate; forming a trench between the photosites; forming a thin liner on at least the sidewalls of the trench; depositing a conductive material having a first refractive index in the trench; and forming a region surrounded by the conductive material and having a second refractive index lower than the first index of refraction within the conductive material in the trench.
US08674281B2 Solar energy harvesting system using luminescent solar concentrator with distributed outcoupling structures and microoptical elements
A solar energy harvesting system including a luminescent solar concentrator for generating light emissions in response to received sunlight, and for redirecting and concentrating the light emissions onto a predetermined target (e.g., a PV cell). The luminescent solar concentrator includes a light-guiding slab containing a luminescent material that generates the light emissions, spaced-apart outcoupling structures that provide a distributed outcoupling of the light emissions through predetermined locations on one of the “broadside” (e.g., upper or lower) surfaces of the light-guiding slab, and optical elements positioned to redirect the outcoupled light emissions such that the light emissions are concentrated onto the predetermined target.
US08674278B2 Control of projectiles or the like
A gun-fired projectile or ballistic missile (1) is equipped with a pair of canards (3) and an array of tail fins (2). Selected tail fins can be retracted or jettisoned following the ballistic phase to vary the geometry of the array from a rotationally symmetrical configuration to an asymmetric configuration for the glide phase, which together with the canards tends to stabilize the projectile in roll. The canards (3) can be independently extended and retracted with respect to the body of the projectile to generate differential lift for banking the projectile to turn. The canards (3) also preferably have a positive dihedral angle with respect to the intended gliding attitude. In an alternative embodiment the canards are replaced by functionally equivalent thrusters.
US08674277B2 Guidance device
There is disclosed a collar (100) which may be attached to a munition in order to control the trajectory of the munition. The collar (100) has a collar body (10); a surface (12) for capturing the projectile as it leaves the barrel; a sill (14) for supporting the surface (12) at the muzzle of the barrel; and a guidance means (20a, 20b, 21a, 21b) for altering the flow of air around the collar (100). The collar (100) supports itself at the muzzle and may attach to the projectile at the surface (12) to integrate with the projectile as the projectile is fired. The collar (100) is particularly suited for attachment to mortar rounds. Such a collar (100) gives a weapon operator the option of increasing the precision of a munition without having to carry a plurality of munition types.
US08674276B2 Defense system
An exo-atmospheric intercepting method for intercepting in space multiple objects, including acquiring and tracking multiple inflated objects which fly towards a protected territory. The method further includes launching an interceptor missile accommodating a plurality of kill vehicles each hosting a plurality of punching objects and classifying the multiple objects into clusters. In respect of each cluster of objects, determining an ejection condition responsive to meeting of which a kill vehicle is ejected from the interceptor missile towards the cluster of objects and thereafter releasing from the kill vehicle a plurality of punching objects such that every inflated object in the cluster is likely, with a high degree of certainty, to be punched by one or more punching objects.
US08674274B2 Apparatus and method for heating material by adjustable mode RF heating antenna array
An apparatus for heating a material that is susceptible to RF heating by an RF antenna array. The apparatus includes a source of RF power connected to an antenna array having a plurality of loop antenna sections connected to each other by dipole antenna sections wherein the loop antenna sections and dipole antenna sections create a magnetic near field and an electric near field such that the ratio of magnetic field strength to electric field strength is approximately a predetermined value. Material is heated by the apparatus by placing the material in the near fields of the antenna array and creating magnetic near fields and electric near fields that approximate a ratio that is predetermined to efficiently heat the material and connecting the antenna array to an RF power source.
US08674273B2 Heat treatment apparatus
Provided is a heat treatment apparatus which, when simultaneously heating substrates placed on susceptors, is capable of controlling the uniformity of temperature within each substrate. The heat treatment apparatus includes: a reaction tube which performs predetermined treatment to wafers; a plurality of susceptors each of which has a mounting surface for mounting the wafer and is made of a conductive material; a rotatable quartz boat wherein the susceptors spaced apart in a direction perpendicular to the mounting surfaces are arranged and supported in the reaction tube; a magnetic field generating unit which is arranged on a sidewall of the processing chamber and includes a pair of electromagnets which generate an AC magnetic field in a direction parallel to the mounting surfaces of the susceptors and inductively heat the susceptors; and a control unit which controls the AC magnetic field generated by the magnetic field generating unit.
US08674272B2 Induction heating cooker
An induction heating cooker includes: a top plate on which a cooking container is placed; a temperature measuring device which has an infrared ray sensor operable to detect infrared rays radiated from the cooking container and a temperature converting unit operable to calculate a temperature of the cooking container from an output of the infrared ray sensor; a heating coil operable to receive a supply of a high frequency current and generate an induction magnetic field for heating the cooking container; and a heating control unit operable to control the high frequency current of the heating coil based on the temperature measured by the temperature measuring device, and control heating power to be supplied to the cooking container. The temperature measuring device further includes a temperature detecting unit operable to measure a temperature of the infrared ray sensor, and calculate the temperature of the cooking container from an output of the infrared ray sensor based on the temperature of the infrared ray sensor measured by the temperature detecting unit.
US08674267B2 Steam cooker
A steam cooker includes: a heating chamber for an item to be cooked; a removable water tank; a steam generating device with a housing to which water is supplied from the water tank and that heats the water within the housing and supplies steam to the heating chamber. A hardness detection portion includes a plurality of electrodes immersed in water within the water tank that detect the hardness of the water within the water tank by resistance between the electrodes. When the hardness of the water within the water tank is high, the interval of cleaning is shorter than an interval set when the hardness detected is low.
US08674266B2 Heater covers and methods of using the same
Heater covers and methods of using the same are disclosed. The covers can be used on stand-type movable or fixed patio heaters or table top heaters. The covers can be removably attached to the heaters. The covers can have body covers separate or attached to head covers. The covers can be resilient or rigid. The rigid covers can have hinges and can clamshell or telescope around the heaters.
US08674264B2 Method for cleaning a contact tube of a welding torch, as well as a welding torch and a contact tube
The invention relates to a method for cleaning a contact tube (20) of a welding torch (10), via which contact tube a welding wire (13) is supplied with electrical energy, said welding wire being moved towards the welding torch (10) by a wire reel (14) or a welding drum via a wire feeder (11), as well as to a welding plant (1) and a contact tube (20) for the welding torch (10) of such a welding plant (1). In order to prolong the service life of a contact tube (20) of a welding torch (10), the invention provides that the welding wire (13) is at least once moved backwards in the direction of the wire reel (4) or wire drum until the end (27) of the welding wire (13) lies at least within the length (36) of the contact tube (20), and that the welding wire (13) will subsequently again be moved forwards, in particular moved backwards into its starting position.
US08674257B2 Automatic focus and emissivity measurements for a substrate system
An apparatus for thermally processing a substrate includes a first radiation source configured to heat a substrate and emit radiation at a heating wavelength, focusing optics configured to direct radiation from the first radiation source to the substrate, and a second radiation source configured to emit radiation at a second wavelength different from the heating wavelength and at a lower power than the first radiation source. Radiation from the second radiation source is directed onto the substrate. The apparatus further includes a first detector configured to receive reflected radiation at the second wavelength and a computer system configured to receive an output from the first detector and adjust a focus plane of the first radiation source relative to the substrate. The second radiation source is configured to have substantially the same focus plane as the first radiation source.
US08674255B1 Apparatus and method for controlling etch uniformity
A plasma processing system is provided. The plasma processing system includes a radio frequency (RF) power generator configured to have a tunable frequency power output, the frequency output being adjustable within a range. A processing chamber having a bottom electrode and a top electrode is included. A plasma region being defined between the bottom and top electrodes and the processing chamber receives RF power from the RF power generator. A match network is coupled between the RF power generator and the processing chamber. The match network has a first tunable element and a second tunable element. The first tunable element adjusts a split between a first grounding pathway defined within an inner region of the plasma region and a second grounding pathway defined within an outer region of the plasma region. The second tunable element adjusts a load delivered to the processing chamber from the power generator.
US08674252B2 Method of optimizing a process for handling and transporting mail in bins using layered sorting
The invention relates to a method of optimizing a process for handling mail, which method comprises the following steps: subjecting mailpieces to a first machine-sorting cycle in which a plurality of first sorting outlets are allocated to respective ones of a plurality of first delivery destinations having high rates of filling and one other sorting outlet is allocated to second delivery destinations having low rates of filling; directing separators to the first sorting outlets; re-circulating the mailpieces coming from the other sorting outlet; and subjecting them to a second sorting cycle in which the first sorting outlets are allocated to the second delivery destinations. If the sorting outlets use storage bins, at the end of the second sorting cycle, the mailpieces of a first destination and the mailpieces of a second destination are sorted in layers in the same bin by being separated by a separator.
US08674250B2 Substrate of touch panel in manufacturing and the method for forming the same
A substrate is formed with a plurality of identical positioning indications which cause the coordinate on the upper or lower surfaces of the substrate are identical; the identical positioning indications is selected from one of a plurality of holes formed on an area out of the touch sensing area of the touch panel; a plurality of gaps at edges of the substrate and vertical to the upper or lower main surface of the substrate; positioning indications formed by engraving of laser; and a pair of positioning indications on the upper and lower main surface of the substrate and positioned along a same line vertical to the upper or lower main surface of the substrate; and a combination of above mentioned ways; after manufacturing process, the positioning indications can be removed or not removed. A method for forming the same is also included.
US08674249B2 Capacitive touch pad
A capacitive touch panel has precise etching lines formed on a transparent conductive film so as to form with a desired pattern. As a result, the hollowed portion on the conductive film will be reduced greatly and thus the flatness of the capacitance sensing structure will be improved and the transmittances in different areas will tend to be uniform. Thus the image distortion in the screen below the touch panel will be improved. Besides, the etching lines will divide the waste etching areas on the conductive lines into a plurality of small units which can reduce the capacitances of the noises.
US08674247B2 Switch panel with indicator
A switch panel with an indicator is disclosed. The switch panel has a transparent region. There is a light source disposed in the switch panel for emitting light when turning off a switch body. A shape of the transparent region is projected onto the wall for forming a large area of indicating pattern, and this can replace a night light. The drawback of a luminous region in prior art which is limited to be on the switch body or on the switch panel is solved.
US08674245B2 Switch device
A switch device includes a switch shifting a pair of input and output terminals in a housing and a manipulation knob for shifting the switch. The manipulation knob includes a rotating member rotatable to a plurality of rotating positions relative to the housing and a sliding member rotating with the rotating member and slidable along both positive and negative directions at each of the plurality of rotating positions, so that the manipulation knob is interconnected with a rotary shaft member which rotates with the rotating member. The switch gives an output corresponding to each direction according to the sliding of the sliding member in both the positive and negative directions even though the manipulation knob is at any one of the plurality of rotating positions. The rotary shaft member has a shifting unit for driving an external shifting mechanism accompanied by the rotating.
US08674242B2 Laundry weight sensing method using counter electromotive force of motor
A laundry weight sensing method. A motor is consecutively turned on and off plural times, the number of pulses output from a counter electromotive force detector according to counter electromotive force of the motor when the motor is finally turned off is counted, and a laundry weight is sensed using the counted number of pulses.
US08674241B2 Weighing device having a plurality of digital weighing cells providing digital measurement values and associated time values to a central control unit, weighing cell, and method
A weighing device having a plurality of digital weighing cells (164), each including a sensor connected to a force transmission unit (163), each generating a digital measurement value corresponding to a transmitted force at a measurement time point, and including a data processing device that converts the digital measurement values to transmission units configured to be transmitted over a data communications line (20) to a central control unit (18) connected to all weighing cells for analysis of the transmission units. The central control unit (18) calculates a digital combination value based on the transmission units originating from the various weighing cells (164), the combination value representing a weight force with which the weighing device (16) is loaded at a weighing time point. The conversion of the measurement values to transmission units involves a pairing of each converted measurement value with a time value.
US08674235B2 Microelectronic substrate for alternate package functionality
The present disclosure relates to microelectronic substrates, such as interposers, motherboards, test platforms, and the like, that are fabricated to have overlapping connection zones, such that different microelectronic devices, such as microprocessors, chipsets, graphics processing devices, wireless devices, memory devices, application specific integrated circuits, and the like, may be alternately attached to the microelectronic substrates to form functional microelectronic packages.
US08674229B2 Ultra-thin copper foil with carrier and copper-clad laminate board or printed circuit board substrate
The invention has as its object to provide an ultra-thin copper foil with a carrier which suppresses occurrence of blistering and is stable in peeling strength, in particular provides an ultra-thin copper foil with a carrier enabling easy peeling of a carrier foil from an ultra-thin copper foil even under a high temperature environment. As means for that, there is provided an ultra-thin copper foil with a carrier comprised of a carrier foil, a release layer, and a copper foil, wherein the release layer is formed by a first release layer disposed on the carrier foil side and a second release layer disposed on the ultra-thin copper foil side, there is a first interface between the carrier foil and the first release layer, a second interface between the ultra-thin copper foil and the second release layer, and a third interface between the first release layer and the second release layer, and the peeling strengths at the interfaces are first interface>third interface, and second interface>third interface.
US08674227B2 High performance cable splice
A cable repair system having a splicing member. The splicing member includes at least two conductor pairs and an insulation member disposed intermediate to the at least two conductor pairs. The insulation member is configured to shield the conductor pairs from electrical interference from adjacent conductor pairs. The conductor pairs and insulation member are configured to permit splicing of two more cable segments. A method for splicing a data cable and a repaired cable are also disclosed.
US08674224B2 Low cost high speed data cable
A high speed video cable carries signals according to the High-Definition Multimedia Interface (HDMI) or DisplayPort standards, and includes a raw cable. The raw cable is constructed with either Shielded Twisted Pairs (STP) or coaxial lines which carry all signals on either shielded conductors or their shields. Some auxiliary signals including power are carried on ungrounded shields.
US08674221B2 Switch structure
A switch structure includes a cover plate unit having a holding case and a transparent cover movably connected to the holding case with a patterned section sandwiched between them; at least one switch coupled with the cover plate unit and having a switching key; a light emitting unit arranged in the holding case and electrically connected to the switch; and at least one press section including a push plate movably coupled with the cover plate unit and the switching key with a front side protruded from the cover plate unit, a transparent cover movably connected to the push plate, and a label located between the push plate and the transparent cover. The patterned section provides esthetic visual effect; the label indicates a position of which the lighting is controlled by the switch; and the light emitting unit works cooperatively with the switch to serve as an auxiliary light source.
US08674220B2 Electronics housing with standard interface
A housing for an electronic control device includes at least two housing parts, at least one housing base, a housing lid and an electronic connection between components disposed inside the housing and components disposed outside the housing. The connection is fixed to the base of the housing. The electronic connection is either constructed as a single-component flexible printed circuit board or as at least one partial flexible printed circuit board including at least one uniform open area of a copper conductor track outside an area covered by the housing lid. The width of the uniform region is oriented to a predefined contacting type of peripheral components and is longer than necessary for the contacting type. The invention therefore provides for a variable adaptation of generic electronics housings, thereby not necessarily requiring a novel structure of the housing with corresponding expensive individual packaging.
US08674217B2 Cable-organizing circuit board and an electronic device incorporating the same
A cable-organizing circuit board includes a board body and a protruding hanger arm. The board body has a circuit-layout section and a non-circuit-layout section that are connected to each other. The protruding hanger arm is disposed at the non-circuit-layout section of the board body, and is adapted to be permit a segment of the transmission cable to pass thereunder. The segment of the transmission cable is disposed beneath a bottom surface of the protruding hanger arm, and remaining segments of the transmission cable are disposed above a top surface of the board body opposite to the bottom surface of the protruding hanger arm when the segment of the transmission cable passes under the protruding hanger arm.
US08674215B2 Photoelectric device
This invention aims to provide a photpelectrical device with a superior conversion efficiency, which comprises an electron transport layer giving a superior electron-transporting performance and a sufficiently large dimentional interface. The photoelectric device further comprises a pair of electrode and a hole transport layer with the electron transport layer and the hole transport layer being interposed between electrodes. The electron transport layer is made of an organic compound having a redox moiety capable of being oxidized and reduced repeatedly. The organic compound is included in a gel layer containing an electrolyte solution which stabilizes a reduction state of the redox moiety.
US08674213B2 Photovoltaic apparatus having a filler layer and method for making the same
Devices for converting light into electric current are provided. A representative device has an encasing structure having at least one portion transparent. The encasing structure is configured to pass light energy into an interior of the encasing structure. The device further has a photovoltaic device positioned within the interior of the encasing structure. The photovoltaic device is positioned to receive light energy. The photovoltaic device is operable to transform the light energy into electric current. The device further has a protective space material, disposed between the encasing structure and the photovoltaic device. The protective space material is operable to transmit the light energy. The protective space material is a non-solid material having a physical property such as a viscosity of less than 1×106 cP and/or a thermal coefficient of expansion of greater than 500×10−6/° C.
US08674208B2 Thermoelectric generator, thermoelectric generation method, electrical signal detecting device, and electrical signal detecting method
A thermoelectric generation method using a thermoelectric generator includes: placing a thermoelectric generator in a temperature-changing atmosphere; drawing to outside a current that is generated due to a temperature difference between first and second support members when the temperature of the second support member is higher than that of the first support member, and that flows from a second thermoelectric conversion member to a first thermoelectric conversion member, using first and second output sections as a positive terminal and a negative terminal, respectively; and drawing to outside a current that is generated due to a temperature difference between the first and second support members when the temperature of the first support member is higher than that of the second support member, and that flows from a fourth thermoelectric conversion member to a third thermoelectric conversion member, using third and fourth output sections as a positive terminal and a negative terminal, respectively.
US08674203B2 Heel-driven pedal for a percussion instrument
A heel-driven drum pedal system for playing a primary percussion instrument and a secondary percussion instrument. The heel-driven drum pedal system includes a heel-driven pedal that strikes the secondary percussion instrument with a separate beater unit connected to the heel-driven pedal with an extension rod. The heel-driven pedal further including at least one cut-out, allowing the heel-driven pedal to be coupled to a standard toe-driven drum pedal for the primary percussion instrument. The heel-driven pedal coupled to the toe-driven drum pedal so that both pedals can be operated with one foot.
US08674200B2 Plants and seeds of corn variety CV959861
According to the invention, there is provided seed and plants of the corn variety designated CV959861. The invention thus relates to the plants, seeds and tissue cultures of the variety CV959861, and to methods for producing a corn plant produced by crossing a corn plant of variety CV959861 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV959861 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV959861.
US08674197B2 Plants and seeds of corn variety CV431256
According to the invention, there is provided seed and plants of the corn variety designated CV431256. The invention thus relates to the plants, seeds and tissue cultures of the variety CV431256, and to methods for producing a corn plant produced by crossing a corn plant of variety CV431256 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV431256 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV431256.
US08674192B2 Plants and seeds of hybrid corn variety CH083866
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH083866. The invention thus relates to the plants, seeds and tissue cultures of the variety CH083866, and to methods for producing a corn plant produced by crossing a corn plant of variety CH083866 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH083866.
US08674187B2 Soybean variety A1026807
The invention relates to the soybean variety designated A1026807. Provided by the invention are the seeds, plants and derivatives of the soybean variety A1026807. Also provided by the invention are tissue cultures of the soybean variety A1026807 and the plants regenerated therefrom. Still further provided by the invention are methods for producing soybean plants by crossing the soybean variety A1026807 with itself or another soybean variety and plants produced by such methods.
US08674186B2 Soybean variety A1026759
The invention relates to the soybean variety designated A1026759. Provided by the invention are the seeds, plants and derivatives of the soybean variety A1026759. Also provided by the invention are tissue cultures of the soybean variety A1026759 and the plants regenerated therefrom. Still further provided by the invention are methods for producing soybean plants by crossing the soybean variety A1026759 with itself or another soybean variety and plants produced by such methods.
US08674184B2 Soybean variety A1026732
The invention relates to the soybean variety designated A1026732. Provided by the invention are the seeds, plants and derivatives of the soybean variety A1026732. Also provided by the invention are tissue cultures of the soybean variety A1026732 and the plants regenerated therefrom. Still further provided by the invention are methods for producing soybean plants by crossing the soybean variety A1026732 with itself or another soybean variety and plants produced by such methods.
US08674180B2 Nucleic acids useful in the manufacture of oil
Novel gene sequences from microalgae are disclosed, as well as novel gene sequences useful in the manufacture of triglyceride oils. Also disclosed are sequences and vectors that allow microalgae to be cultivated on sugar cane and sugar beets as a feedstock. In some embodiments, the vectors are useful for the purpose of performing targeted modifications to the nuclear genome of heterotrophic microalgae.
US08674179B2 Modifying the DNA recombination potential in eukaryotes
The present invention provides methods of modifying in vivo mutagenesis or homologous and homeologous recombination in a eukaryote. The method of modifying in vivo mutagenesis involves transforming a eukaryote with a nucleotide sequence capable of expressing a wild-type prokaryotic MutS, MutL, MutH, MutU, NLS-MutS, NLS-MutL, NLS-MutH, NLS-MutU protein, or a combination thereof, and expressing the protein. A method of modifying recombination between homologous chromosomes in an allopolyploid eukaryotic organism comprising, expressing a nucleotide sequence encoding prokaryotic NLS-MutS in combination with one or more than one of NLS-MutL, NLS-MutH, or NLS-MutU, within a germ cell of the allopolyploid eukaryotic organism is also disclosed.
US08674178B2 Production of cellulase enzymes in plant hosts using transient agroinfiltration
Described herein are methods useful for producing proteins, such as enzymes, by agrofiltration. The methods involve producing an Agrobacterium with a Ti plasmid encoding a cellulase, infecting plant cells with the Agrobacterium, allowing expression of the cellulase, and recovering the cellulase from the plant cells. In one embodiment, the protein produced is an endoglucanase.
US08674169B2 Absorbent core
Absorbent core for disposable absorbent articles, for example for the absorption of menses or blood.
US08674168B2 Disposable wearable absorbent articles with multiple indicating colors
A disposable wearable absorbent article comprises a topsheet, an absorbent core, an outer cover, a waist opening, leg openings, a first visual fullness indicating area, and a second visual fullness indicating area. The first visual fullness indicating area is configured to change to a first subsequent color when indicating the presence of a bodily exudate. The second visual fullness indicating area is configured to change to a second subsequent color when indicating the presence of a bodily exudate. The second subsequent color is visually distinguishable from the first subsequent color. At least a portion of each of the visual fullness indicating areas is visible from outside of the disposable wearable absorbent article when the article is worn by a wearer.
US08674161B2 Method for isolation and quantification of naphthenate forming acids (“ARN-acids”)
A method for isolation and quantification of naphthenate forming acids (ARN-acids) in crude oils is disclosed. The method involves selective absorbtion/adsorption of ARN acids by a solid medium. Isolation of the solid medium and transferring the ARN acids to an organic solvent which can by analysed for its ARN acid content.
US08674156B2 Mixed manganese ferrite catalysts, method of preparing thereof and method of preparing 1,3-butadiene using thereof
A method of producing a mixed manganese ferrite catalyst, and a method of preparing 1,3-butadiene using the mixed manganese ferrite catalyst. Specifically, a method of producing a mixed manganese ferrite catalyst through a coprecipitation method which is performed at a temperature of 10˜40° C., and a method of preparing 1,3-butadiene using the mixed manganese ferrite catalyst through an oxidative dehydrogenation reaction, in which a C4 mixture containing n-butene, n-butane and other impurities is directly used as reactants without performing additional n-butane separation process or n-butene extraction. 1,3-butadiene can be prepared directly using a C4 mixture including n-butane at a high concentration as a reactant through an oxidative hydrogenation reaction without performing an additional n-butane separation process, and 1,3-butadiene, having high activity, can be also obtained in high yield for a long period of time.
US08674154B2 Apparatus and method for conducting thermolysis of plastic waste in continuous manner
The invention provides an apparatus for thermolysis of waste plastics, particularly polyolefins. The apparatus includes a feeding system, an extruder, a main thermolysis reactor, a dual propeller/mixer housed within the reactor, and a discharging system. The height of the thermolysis reactor is at least 1.5 times bigger than the diameter. The apparatus is arranged such that the extruder follows the plastic feeding system, the thermolysis reactor follows the extruder, and the discharge system follows the thermolysis reactor. The invention also provides a method for using the apparatus for thermolysis of waste plastics. In this method, reaction feedstock, reaction residuals, and reaction products are removed continuously.
US08674146B2 Method for producing alkylene oxide addition products
The invention relates to a method for producing alkylene oxide addition products by reaction of compounds having a nucleophilic center with alkylene oxides in a reactor having a large inner surface. The invention is characterized in that the reaction is carried out exclusively in the liquid phase.
US08674139B2 Process for making tertiary aminoalcohol compounds
Provided is a process for making a tertiary aminoalcohol compound. The process comprises using an excess amount of a carbonyl compound in a condensation step between the carbonyl compound and a nitroalkane, and conducting a hydrogenation/alkylation step to produce the tertiary aminoalcohol. The process uses fewer steps than conventional processes.
US08674138B2 Binaphthalene derivatives, preparation method thereof and organic electronic device using the same
The present invention relates to a new binaphthalene derivative, a preparation method thereof, and an organic electronic device using the same. The binaphthalene derivative according to the present invention can perform functions of hole injection and transportation, electron injection and transportation, or light emission in an organic electronic device including an organic light-emitting device, and the device according to the present invention has excellent characteristics in terms of efficiency, drive voltage and stability, and in particular excellent effects such as a low voltage and a long life time.
US08674131B2 Process for preparation of pure alkyl esters from alkali metal salt of carboxylic acid
The dehydrated alkali metal salts of carboxylic acid are dissolved in the alcohol such as methanol or ethanol or butanol to make their solution in corresponding alcohol. The solution alcohol is further treated with carbon dioxide under pressure or at atmospheric pressure at elevated temperature such as 150 to 200° C. The carboxylic acid gets converted into corresponding alkyl ester and calcium carbonate or sodium carbonate or potassium carbonate as the byproduct. Calcium lactate or sodium lactate or sodium acetate or sodium benzoate or sodium salicylate as alkali metal salt solution prepared in methanol or ethanol or butanol when treated with carbon dioxide under pressure or at atmospheric pressure at elevated temperature gets converted to methyl lactate or ethyl lactate or methyl acetate or methyl benzoate or methyl salicylate as product.
US08674124B2 Preparation of an active intermediate
A process for the preparation of an organic reactive intermediate that contains a combination of epoxy groups, hydroxy groups and unsaturated groups wherein the process can be utilized to control the amounts of each of the functional groups in the final product. The reactive intermediates are prepared from natural triglyceride plant and animal oils containing unsaturation.
US08674121B2 Process for the production of benzofurans
A process for the production of 2-alkyl-3-aroyl-5-nitrobenzofurans by acylation of 2-(2-hydroxy-5-nitrophenyl)-1-aryl-ethanones and subsequent treatment of the esters with combinations of bases and proton acids or Lewis acids. This process can be used for the production of Dronedarone. Furthermore, novel intermediates for the manufacture of Dronedarone are provided.
US08674119B2 Chemical processes for the manufacture of substituted benzofurans
The present invention relates to the scaled-up synthesis of biologically active compounds which display useful therapeutic activity in treating proliferative disorders. In particular the invention relates to process methods for the kilogram scale synthesis of a particular class of substituted benzofuran tubulin polymerisation inhibitors.
US08674117B2 Organoboron compound and method for manufacturing the same
To provide a novel organoboron compound which is useful as a reactant of organic synthesis. To provide a method for manufacturing the organoboron compound. A novel organoboron compound represented by General Formula (G1) below is provided. Note that in General Formula (G1), R1 to R9 separately represent any one of hydrogen, an alkyl group having 1 to 6 carbon atoms, and an aryl group having 6 to 16 carbon atoms. R10 and R11 separately represent hydrogen or an alkyl group having 1 to 6 carbon atoms, and R10 and R11 may be bonded with each other to form a ring. Further, X represents an oxygen atom or a sulfur atom.
US08674116B2 Metal complex and use thereof
There is provided a metal complex that is large in degree of a change in emission intensity, the change being caused by a change in molecular structure of a ligand through a photochromic reaction. The metal complex is arranged such that a diarylethene-based photochromic molecule coordinates to a metal ion via two groups directly bonded to respective reaction site carbons and that the groups are each independently a group selected from Formula Group (1) below.
US08674114B2 Carbazole compound, light-emitting element material, and organic semiconductor material
A novel carbazole compound that can be used for a transport layer, a host material, or a light-emitting material in a light-emitting element. In the carbazole compound, two carbazole skeletons each include carbazole, the 3-position of which is bonded to the 4-position of a dibenzofuran skeleton or a dibenzothiophene skeleton and these two carbazole skeletons are linked via benzene or biphenyl. The carbazole compound has an excellent carrier-transport property and a wide energy gap and can be suitably used for a material in a light-emitting element or for an organic semiconductor material.
US08674108B2 Solid forms of N-[2,4-bis(1,1-dimethylethy)-5-hydroxyphenyl]-1,4-dihydro-4-oxoquinoline-3-carboxamide
The present invention relates to crystalline solvate forms of N-[2,4-bis(1,1-dimethylethyl)-5-hydroxyphenyl]-1,4-dihydro-4-oxoquinoline-3-carboxamide (Compound 1) and methods for their preparation. The present invention further relates to pharmaceutical compositions comprising the crystalline solvate forms, as well as methods of treatment therewith.
US08674106B2 Alkynyl derivatives as modulators of metabotropic glutamate receptors
The present invention relates to novel compounds of formula I wherein W, n, X and W′ are defined in the description; invention compounds are modulators of metabotropic glutamate receptors—subtype 5 (“mGluR5”) which are useful for the treatment of central nervous system disorders as well as other disorders modulated by mGluR5 receptors.
US08674105B2 Crystalline hydrochloride salts of c-Met kinase inhibitors
The instant invention relates to crystalline forms of Compound A, an inhibitor of c-MET kinase. Specifically, the instant invention relates to hydrochloride salts of Compound A.
US08674103B2 Lyotropic liquid crystal systems based on aromatic tetracarboxylic bisbenzoimidazole derivatives and methods for making
Compounds derived from aromatic tetracarboxyl bisbenzoimidazoles are disclosed. These compounds are capable of forming liquid crystal systems that can produce optically isotropic or anisotropic films with desirable optical properties. Formulae (I) or (II), or a salt thereof; wherein y is an integer in the range from 0 to about 4.
US08674102B2 Fluorescent dyes
The present invention provides dyes, reactive dyes and labeled reagents that may be used in the detection or quantification of desirable target molecules, such as proteins, nucleic acids and cellular organelles. Dyes are provided that may be used free in solution where the binding of the dye to the target molecule provides signal generation. Dyes are also provided that comprise reactive groups that may be used to attach the dyes to probes that will bind to desirable target molecules. The novel dyes of the present invention have been modified to provide beneficial properties.
US08674098B2 Compositions to effect neuronal growth
Compositions containing neurogenic agents for inhibition of neuron death and inducing proliferation of neural cells are disclosed.
US08674090B2 Benzimidazole derivatives as PI3 kinase inhibitors
This invention relates to the use of benzimidazole derivatives for the modulation, notably the inhibition of the activity or function of the phosphoinositide 3′ OH kinase family (hereinafter PI3 kinases), suitably, PI3Kα, PI3Kδ, PI3Kβ, and/or PI3Kγ. Suitably, the present invention relates to the use of benzimidazoles in the treatment of one or more disease states selected from: autoimmune disorders, inflammatory diseases, cardiovascular diseases, neurodegenerative diseases, allergy, asthma, pancreatitis, multiorgan failure, kidney diseases, platelet aggregation, cancer, sperm motility, transplantation rejection, graft rejection and lung injuries. More suitably, the present invention relates to PI3Kβ selective benzimidazoles compounds for treating cancer.
US08674088B2 Antiviral phosphinate compounds
The invention is related to anti-viral phosphinate compounds, compositions containing such compounds, and therapeutic methods that include the administration of such compounds, as well as to processes and intermediates useful for preparing such compounds.
US08674085B2 2′-branched nucleosides and Flaviviridae mutation
The present invention discloses a method for the treatment of Flaviviridae infection that includes the administration of a 2′-branched nucleoside, or a pharmaceutically acceptable prodrug and/or salt thereof, to a human in need of therapy in combination or alternation with a drug that directly or indirectly induces a mutation in the viral genome at a location other than a mutation of a nucleotide that results in a change from serine to a different amino acid in the highly conserved consensus sequence, XRXSGXXXT (SEQ ID NO: 63), of domain B of the RNA polymerase region, or is associated with such a mutation. The invention also includes a method to detect a mutant strain of Flaviviridae and a method for its treatment.
US08674082B2 Rationally designed antibodies
Antibodies or fragments thereof having at least two CDR regions replaced or fused with biologically active peptides are described. Compositions containing such antibodies or fragments thereof are useful in therapeutic and diagnostic modalities.
US08674081B2 Methods and compositions to elicit multivalent immune responses against dominant and subdominant epitopes, expressed on cancer cells and tumor stroma
The present invention provides a method of treating cancer by providing to a subject in need thereof an immunogenic composition comprising a nucleic acid construct encoding a polypeptide comprising CTL epitopes PSMA288-297 and PRAME425-433, or a cross-reactive analogue. In embodiments of the present invention there is provided methods and compositions for inducing, entraining, and/or amplifying the immune response to MHC class-I restricted epitopes of carcinoma antigens to generate an effective anti-cancer immune response.
US08674080B2 Dye composition for liquid transfer control
The present invention provides kits and methods for composition ratio control based on dyes that are designed to enable energy transfer between each other. In more detail, with the method of the present invention it is possible to verify the mixing ratio of a first component comprising a first dye with a second component comprising a second dye.
US08674076B2 BSL2vcvc-lg polypeptides
The present invention provides nucleic acids encoding B7-related factors that modulate the activation of immune or inflammatory response cells, such as T-cells. Also provided are expression vectors and fusion constructs comprising nucleic acids encoding B7-related polypeptides, including BSL1, BSL2, and BSL3. The present invention further provides isolated B7-related polypeptides, isolated fusion proteins comprising B7-related polypeptides, and antibodies that are specifically reactive with B7-related polypeptides, or portions thereof. In addition, the present invention provides assays utilizing B7-related nucleic acids, polypeptides, or peptides. The present invention further provides compositions of B7-related nucleic acids, polypeptides, fusion proteins, or antibodies that are useful for the immunomodulation of a human or animal subject.
US08674072B2 Cosmetic and/or pharmaceutical composition comprising a peptidic hydrolyzate that can reinforce the barrier function
A peptidic hydrolyzate enriched in bioactive peptide, capable of reinforcing the skin barrier function and stimulating epidermal differentiation is described. Additionally, a cosmetic and/or pharmaceutical composition that includes a physiologically acceptable medium and the peptidic hydrolyzate as active principle are described. The cosmetic composition activates the HMG-CoA reductase in the cutaneous cells and treats the cutaneous signs of aging and photo-aging.
US08674070B2 Cancer-targeting peptides and uses thereof in cancer therapy
Cancer-targeting peptides and uses thereof in cancer therapy.
US08674069B2 MELK epitope peptides and vaccines containing the same
According to the present invention, peptides having the amino acid sequence of SEQ ID NOs: 14, 21, 23, 27, 36, 46, 57, 60 and 62 were demonstrated to have cytotoxic T lymphocyte (CTL) inducibility. Therefore, the present invention provides a peptide having the amino acid sequence selected from among SEQ ID NOs: 14, 21, 23, 27, 36, 46, 57, 60 and 62. The peptide can include one, two, or several amino acid substitutions, deletions, insertions, or additions so long as its CTL inducibility is retained. Furthermore, the present invention provides pharmaceutical agents for the treatment and/or prophylaxis of cancers, and/or prevention of postoperative recurrence thereof, which contain any of these peptides. Pharmaceutical agents of this invention include vaccines.
US08674060B2 Peptide ligand to impair cancer cell migration
Loss of Wnt-5a protein expression in breast carcinoma patients is associated with a shorter recurrence-free survival as well as increased motility in mammary cell lines. Based on sequence analysis of Wnt-5a, peptide fragments were identified and investigated for their ability to mimic effects of the Wnt-5a protein on mammary cell adhesion and motility. Two of these peptides significantly increased adhesion and impaired the motility of non-tumorigenic breast cancer cell lines, both low in endogenous Wnt-5a protein expression. To identify the shortest possible peptide that still had an anti-motile effect, sequential deletions of two amino acids from the N-terminal side of the shorter of these two peptides were performed. The effect on tumor cell adhesion was gradually lost, and when only 6 amino acids remained the effect was not detectable. However, formulation of the N-terminal methionine of this hexapeptide restored its effect on adhesion and reduced tumor cell motility. The formyl-Met-Asp-Gly-Cys-Glu-Leu (formylated SEQ ID NO: 15) peptide ligand can serve as a lead substance for anti-metastatic treatment in the 50% of human breast cancers where the endogenous expression of Wnt-5a is reduced.
US08674059B2 Colon cancer related gene TOM34
Objective methods for detecting and diagnosing colon cancer are described herein. In one embodiment, the diagnostic method involves determining the expression level of TOM34 that discriminates between colon cancer cells and normal cells. Finally, the present invention provides methods of screening for therapeutic agents useful in the treatment of colon cancer, methods of treating colon cancer and method for vaccinating a subject against colon cancer.
US08674056B2 Methods for producing lactide with recycle of meso-lactide
An S,S- and R,R-lactide stream suitable for polymerization is prepared by producing a low molecular weight poly(lactic acid), depolymerizing the low molecular weight poly(lactic acid) to form a mixture of S,S-, R,R- and meso-lactide, and separating meso-lactide from this mixture to form an S,S- and R,R-lactide stream. Meso-lactide is recycled into the process, and shifts the mole fractions of the lactides in the lactide mixture that is produced.
US08674054B2 Polyester resin and optical lens
A polyester resin having a diol unit containing a unit derived from ethylene glycol and a unit derived from a diol represented by the following formula (I), and a dicarboxylic acid unit containing a unit derived from an aromatic dicarboxylic acid in an amount of 50 mol % or more; wherein the entire diol unit contains the unit derived from ethylene glycol in an amount of 40 to 99 mol %, and the unit derived from a diol represented by formula (I) in an amount of 1 to 60 mol %: wherein A represents an aromatic ring selected from the group consisting of benzene, naphthalene, anthracene, phenanthrene and pyrene; R1 represents a C1 to C12 alkyl group, a substituted or unsubstituted C6 to C12 aryl group or a halogen atom; n represents an integer of 0 to 4; and when plural R1s are present, R1s may be the same as or different from each other.
US08674046B2 Source material for preparing low dielectric constant material
A low dielectric constant material having an excellent water resistance obtained by heat-treating a borazine compound of the formula (1-2): or an inorganic or organic compound having a group derived from the borazine compound (1-2) to undergo a condensation reaction, thereby producing an oligomer or polymer, wherein R1 to R6 are independently a hydrogen atom, an alkyl group having 1 to 20 carbon atoms, an aryl group, a substituted aryl group, an alkenyl group, an amino group, an alkylamino group, an alkoxyl group, a thioalkoxyl group, a carbonyl group, a silyl group, an alkylsilyl group, a phosphino group, an alkylphosphino group, or a group of the formula: Si(OR7)(OR8)(OR9), and at least one of R1 to R6 is not hydrogen atom.
US08674040B2 Pyridyldiamido transition metal complexes, production and use thereof
Pyridyldiamido transition metal complexes are disclosed for use in alkene polymerization to produce multimodal polyolefins.
US08674038B2 Curable resin composition for molded bodies, molded body, and production method thereof
The present invention provides a curable resin composition which exhibits the following properties: excellent basic performances such as heat resistance; sufficient optical characteristics such as transparency; and excellent demoldability when a molded body of the composition is demolded at the time of molding. The present invention further provides a molded body obtainable by molding the curable resin composition and a production method thereof. A curable resin composition for molded bodies, including a thermocurable resin, wherein the curable resin composition for molded bodies includes at least one compound selected from the group consisting a compound having a boiling point of 260° C. or less at one atmospheric pressure, a silicon compound having a polyoxyalkylene chain, a silicon compound having an aryl group, and a silicon compound having a polyoxyalkylene chain and an aryl group.
US08674031B2 Method of preparing water- and oil-repellent soil-resistant composition
The present invention provides a water- and oil-repellent soil-resistant composition comprising (A) a fluorine-containing polymer having repeating units derived from a monomer comprising a fluorine-containing monomer and (B) a silane compound. The water- and oil-repellent soil-resistant composition comprising the fluorine-containing acrylate polymer can impart the excellent water- and oil-repellency and soil resistance to the porous substrate and is free from an organic solvent.
US08674030B2 Modified high cis conjugated diene copolymer and manufacturing method of the same
A modified conjugated diene polymer and a manufacturing method for the same are provided. The modified conjugated diene polymer is manufactured by the method including, forming a conjugated diene polymer by a polymerization step and making it react with a first modifier and then react with a second modifier. The modified conjugated diene polymer has over 97% of cis-1,4 structure. A PDI of the modified conjugated diene polymer is bigger than 1.8 and smaller than 2.5. The first modifier has a chemical formula of X—R1-Si(R2)3. The second modifier has a chemical formula of R3-Si(R4)3.
US08674029B2 Method of preparing stabilized polymeric systems using polymeric peroxides
The present invention generally relates to a process for preparing stabilized polymeric systems with excellent light, thermal and oxidation stability using an active, peroxide containing polymer oxidizing agents, amine-containing reducing agents, and/or nano-additives, and the polymer articles made therefrom.
US08674026B2 Ethylene copolymer having multiple pitch in molecular weight distribution and the method of preparing the same
Disclosed are an ethylene polymerization process, a catalyst for use in the process, a production method employing the catalyst, and a product produced thereby. More specifically, disclosed is a process of producing an ethylene copolymer from ethylene and an alpha-olefin comonomer, in which the produced ethylene copolymer has a multimodal molecular weight distribution and excellent processability and physical properties, and thus can increase the value and productivity of products, including pipes and films. Particularly, the produced ethylene copolymer has a trimodal or higher molecular weight distribution or density distribution, and thus, when it is a linear low-density copolymer, it has an excellent effect of improving the impact strength of films, and when it is a medium-density ethylene copolymer, it can be produced into pipes, which have slow crack growth rate and can be used even at high temperature.
US08674022B2 Polyolefin elastomer composition for artificial leather applications
The present invention relates to a thermoplastic polyolefin elastomer composition in powder form comprising (i) an olefin block copolymer or (ii) a substantially linear ethylene polymer and/or a linear ethylene polymer and propylene polymer blend. Said composition demonstrates good pulverizing and flow characteristics at ambient temperature. In another aspect, this invention relates to a process for preparing said thermoplastic polyolefin elastomer powder and applications for using said powder. In a further aspect, this invention relates to paper transfer molding said thermoplastic polyolefin elastomer composition into artificial leather, particularly for skins for automotive interior applications such as instrument panels.
US08674021B2 Sulfonated graft copolymers
Sulfonated graft copolymer obtained by radical graft copolymerization of one or more synthetic monomers in the presence of hydroxyl-containing naturally derived materials. The graft copolymer includes 0.1 to 100 wt %, based on weight of the total synthetic monomers, of at least one monoethylenically unsaturated monomer having a sulfonic acid group, monoethylenically unsaturated sulfuric acid ester or salt thereof, with the monomer and hydroxyl-containing naturally derived materials present in a weight ratio of 5:95 to 95:5.
US08674019B2 Composite products made with lewis acid catalyzed binder compositions that include tannins and multifunctional aldehydes
Composite products made with binder compositions that include one or more tannins, one or more multifunctional aldehydes, and one or more Lewis acids and methods for making same. The method can include contacting a plurality of substrates with the binder composition and at least partially curing the binder composition to produce a composite product. The one or more multifunctional aldehyde compounds can include (1) two or more carbon atoms and two or more aldehyde functional groups, or (2) two or more carbon atoms, at least one aldehyde functional group, and at least one functional group other than an aldehyde functional group. A carbon atom of at least one aldehyde functional group can have a first bond with a first tannin molecule and a second bond with (a) the first tannin molecule, (b) a second tannin molecule, or (c) an oxygen atom of the at least one aldehyde functional group.
US08674017B2 (Poly)carbonate polyol and carboxyl group-containing polyurethane obtained from the (poly)carbonate polyol
An object of the invention is to provide carboxyl group-containing polyurethanes that have small curing warpage and can give cured products having excellent electrical insulating properties and flexibility, curable compositions that contain the carboxyl group-containing polyurethane and can give cured products having good electrical insulating properties, cured products obtained from the compositions, flexible circuit boards covered with the cured products, and processes for manufacturing flexible circuit boards. The carboxyl group-containing polyurethane is obtainable from materials including a (poly)carbonate polyol (a), a polyisocyanate (b) and a carboxyl group-containing polyol (c). The (poly) carbonate polyol includes an organic residue derived from a dimer diol and an organic residue derived from a polyol having a C10-20 alicyclic structure.
US08674016B2 Process for producing organic fiber-containing polyolefin resin composition
A production process of an organic fiber-containing polyolefin resin composition includes the step of mixing in a rotary impeller-carrying mixer (1) more than 10 parts by weight to less than 90 parts by weight of an organic fiber, with (2) more than 10 parts by weight to less than 90 parts by weight of a polyolefin resin containing (a) 50 to 100% by weight of polyolefin resin powders passing through a sieve having 2 mm openings, and (b) 0 to 50% by weight of polyolefin resin pellets not passing through a sieve having 2 mm openings and passing through a sieve having 4.75 mm openings.
US08674015B2 Rubber composition for sidewall and tire having sidewall using thereof, and rubber composition for clinch and tire having clinch using thereof
A rubber composition for sidewall and a tire having sidewall using thereof as well as a rubber composition for clinch and a tire having clinch using thereof that adjust scorch time and suppress surface bloom, reduce rolling resistance, can obtain sufficient rigidity as sidewall and clinch, and can improve elongation at break and durability is disclosed. Specifically, a rubber composition for sidewall and a rubber composition for clinch including specific amount of an alkylphenol-sulfur chloride condensate indicated by the formula (B1): and specific filler, based on a rubber component including specific amount of a natural rubber and/or an isoprene rubber and a butadiene rubber and/or an epoxidized natural rubber, and a tire having sidewall using thereof and clinch using thereof is disclosed.
US08674012B1 Poly(phenylene ether) composition, article, and method
A composition includes specific amounts of a poly(phenylene ether)-polysiloxane block copolymer reaction product and an impact modifier. The poly(phenylene ether)-polysiloxane block copolymer reaction product includes a poly(phenylene ether) and a poly(phenylene ether)-polysiloxane block copolymer. The composition exhibits a desirable balance of impact strength, heat resistance, and flame retardancy while minimizing or excluding flame retardant additives such as organophosphate esters and halogenated flame retardants.
US08674011B2 Organic/inorganic hybrid material and fabrication method thereof
An organic/inorganic hybrid material is provided, including an organic polymer, and a plurality of inorganic nano-platelets, wherein the inorganic nano-platelets are self-connected or connected via a linker to constitute an inorganic platelet network. By the formation of the inorganic network structure, the hybrid materials can keep their transparency and flexibility at a high inorganic content, and exhibit greatly reduced coefficients of thermal expansion A method for fabricating the organic/inorganic hybrid material is also provided.
US08674010B2 Blends of nanocomposites and their use
A blend of nanocomposites is disclosed, with a polyolefin nanocomposite preferably serving as a continuous phase and a polyamide nanocomposite preferably serving as a discontinuous phase. The exfoliated nanoclay in both nanocomposites contributes stiffness, toughness and flame retardancy to the blend. Other optional ingredients include conventional essentially halogen-free flame retardants, intumescent essentially halogen-free flame retardants, and other typical polymer compounding additives. The compound can be processed as a thermoplastic into any practical article needing stiff, tough, and flame retardancy properties.
US08674007B2 Flame retardant and scratch resistant thermoplastic polycarbonate compositions
A flame retardant thermoplastic composition comprising in combination a polycarbonate homopolymer or copolymer comprising repeat carbonate units having the following structure: wherein R1 and R2 are independently at each occurrence a C1-C4 alkyl, n and p are each an integer having a value of 1 to 4, and T is selected from the group consisting of C5-C10 cycloalkanes attached to the aryl groups at one or two carbons, C1-C5 alkyl groups, C6-C13 aryl groups, and C7-C12 aryl alkyl groups; an impact modifier, wherein the impact modifier comprises wherein the impact modifier comprises a rubber modified thermoplastic resin comprising a discontinuous elastomeric phase dispersed in a rigid thermoplastic phase, and wherein the impact modifier has a specific mean particle size and Q value; and a flame retardant. The compositions have excellent scratch resistance as well as an improved balance of physical properties such as impact strength and spiral flow, while at the same time maintaining their good flame performance.
US08674005B2 Flame retardant resin composition, method for production thereof, and molded article thereof
An object of the present invention is to provide a flame retardant resin composition including magnesium hydroxide particles and a cellulose resin and having excellent flame retardance, mechanical characteristics, and moldability. The flame retardant resin composition includes a thermoplastic resin including a cellulose resin and a flame retardant. The flame retardant includes, at 40% by weight to 60% by weight of the total flame retardant, a first type of magnesium hydroxide particles with a particle diameter of 10 nm to 50 nm, having a particulate surface modified by an epoxy silane coupling agent, and, at 60% by weight to 40% by weight of the total flame retardant, a second type of magnesium hydroxide particles with a particle diameter of 100 nm to 1,000 nm, having a particulate surface modified by an amino silane coupling agent.
US08674002B2 Vibration-proof rubber composition and vibration-proof rubber using the same
A vibration-proof rubber composition excellent in both characteristics of durability and compression set characteristic is provided. A vibration-proof rubber composition includes components (A) to (C) below, wherein an amount of reacted silane coupling agent in the component (B) is 6.5% by weight or more, and an amount of unreacted silane coupling agent is in a range of 0.5 to 2.5% by weight: (A) diene rubber; (B) pre-treated silica prepared by surface-treating, with a silane coupling agent, silica having a BET specific surface area in a range of 180 to 230 m2/g; and (C) sulfur.
US08674001B2 Encapsulated pigments containing cross-linking agent
Polymer-encapsulated pigment which includes a pigment core and a polymer shell, the shell being a polymerized polymer including hydrophobic monomers and acidic monomers, and also including a specific cross-linking agent.
US08673999B2 Water based primer composition for isocyante and silane functional adhesives
The present invention is directed to a unique solution for promoting adhesion of substrates to adhesives containing a prepolymer having isocyanate, silane or both functional groups. The solution comprises a composition comprising: a) from about 0.05 to about 10.0 parts by weight of a hydrolysate of one or more of alkoxysilyl amines; b) from about 0.05 to about 1.0 parts by weight of one of more alkoxylated alcohols, alkoxysilyl terminated alkoxylated alcohols, fatly acids or fatty acid esters; and, c) from about 80 to about 99.9 parts by weight of water: wherein the composition contains 100 parts by weight and the composition exhibits a pH of about 9 to about 12.
US08673998B2 Polymer compositions with improved cold flow
A method for preparing a polymeric composition, the method comprising providing a polymer cement including a polymer and a solvent; introducing a cold-flow inhibitor with the polymer cement, where the cold-flow inhibitor is selected from the group consisting of vicinal diamides, vicinal diureas and vicinal amide-ureas; and isolating at least a portion of the polymer and the cold-flow inhibitor from the solvent to provide a polymeric composition including the polymer and the cold-flow inhibitor.
US08673997B2 Polycarbonate resin composition and molded article including the same
A polycarbonate resin composition includes about 100 parts by weight of a base resin including about 45 to about 86 parts by weight of a polycarbonate resin (A), about 10 to about 45 parts by weight of a polysiloxane-polycarbonate copolymer (B) and about 4 to about 10 parts by weight of an aromatic vinyl graft copolymer (C), about 0.03 to about 5 parts by weight of a sulfonate flame retardant (D) based on about 100 parts by weight of the base resin, and about 1 to about 10 parts by weight of a polycaprolactone polymer (E) based on about 100 parts by weight of the base resin.
US08673995B2 Radiation curable poly(isobutylene) adhesive copolymers having pendent amine groups
The disclosure provides pressure-sensitive adhesives and adhesive sealants prepared from amine-modified, crosslinked isobutylene copolymers, and tape articles prepared therefrom.
US08673994B2 Ink composition, two-pack curing ink composition set, and recording method and recorded matter using these
The present invention provides: an ink composition which contains at least a polymerizable compound, a photopolymerization initiator and a coloring material, and is free from an aqueous solvent, wherein the polymerizable compound comprises at least a urethane oligomer; a two-pack curing ink composition set comprising an ink composition containing a coloring material and a reaction liquid containing a photopolymerization initiator and capable of forming an image with an ink composition obtained by mixing the ink composition and the reaction liquid, wherein the ink composition after mixing contains at least a polymerizable compound, a photopolymerization initiator and a coloring material, and is free from an aqueous solvent, wherein the polymerizable compound comprises a urethane oligomer.
US08673992B2 Polyurethane gel foams
Polyurethane gel foams are proposed as is their use in modern wound treatment. In particular, wound dressings comprise polyurethane gel foams for treating moderately to severely exuding wounds. The polyurethane gel foams are thereby produced from an isocyanate component A having a functionality f of fB≦3, a polymeric polyol component B having a functionality f of fB≦6, and a polysaccharide C, comprising at least one uronic acid or a salt thereof, wherein the ratio of the number of isocyanates groups of the isocyananate component A to the total number of hydroxyl groups, carboxy groups and carboxylate groups in the polymeric polyol component B and the polysaccharide C corresponds to the ratio 1:2 to 1:30.
US08673990B2 Process of making polyester latex with buffer
Methods herein include mixing at least one polyester resin with at least one solvent to form a resin mixture, adding water to cause phase inversion and form a polyester latex, adding at least one buffering agent to the phase inverted mixture to stabilize the phase inverted mixture, and subsequent to the buffering agent addition, substantially removing the at least one solvent from the phase inverted mixture.
US08673988B2 Graft copolymers for ion exchange chromatography
The invention relates to a modified separating material having improved properties, to the preparation thereof, and to the use thereof for the separation of charged biopolymers from liquids.
US08673983B2 Melanins synthesized chemically or via enzyme catalysis
The present invention provides an enzymatic and a chemical synthesis of melanins and novel melanins.
US08673980B2 Closthioamides, compositions, and methods for treating bacterial or fungal infections or cancer
This invention relates to the field of biologically active compounds and specifically to closthioamides, pharmaceutical compositions comprising these compounds, and their use as antibiotic, antifungal, and/or cytotoxic agent.
US08673979B2 Pharmaceutical compositions for headache, migraine, nausea and emesis
S-alkylsiothiouronium derivatives such as S-ethylisothiouronium diethylphosphate are used for the treatment of headaches, in particular, migraines, as well as for the prevention or treatment of nausea and vomiting. The compositions of the invention are also effective in preventing or alleviating emesis associated with migraines or other medical conditions such as chemotherapy or radiotherapy, as well as other symptoms of migraines including phonophobia and photophobia.
US08673978B2 Polymorphs and salts
Novel polymorphic forms and salts of 1-(3′,4′-dichloro-2-fluorobiphenyl-4-yl)cyclopropanecarboxylic acid are useful for the prevention or treatment of Alzheimer's Disease.
US08673972B2 Human papilloma virus as predictor of cancer prognosis
Methods of treating a head and neck cancer are disclosed.
US08673969B2 Substituted 4-β-acrylamidopodophyllotoxin congeners as antitumour antibiotics and the process for preparation thereof
The present invention provides compounds of general formula (3) as useful potential antitumour agents against human cancer cell lines. The present invention further provides a process for the synthesis of 4β-acrylamidopodophyllotoxin congeners of general formula (3), wherein R and R1 are an aryl group and R is selected from 3,4,5-trimethoxyphenyl or 2-methoxy phenyl and R1 is selected from the group consisting of 4-hydroxy-3-methoxyphenyl, 3-hydroxy-4-methoxyphenyl, 4-fluoro-3-methoxyphenyl, 3-fluoro-4-methoxyphenyl, 2-fluoro-5-methoxyphenyl, 2-fluoro-4-methoxyphenyl, 4-hydroxy-3-nitrophenyl, 4-methoxy-3-nitrophenyl, 4-nitrophenyl, 3-nitrophenyl, 2-nitro phenyl, 4-methoxyphenyl, 3-methoxyphenyl and 4-hydroxyphenyl.
US08673964B2 Use of drug combinations for treating insulin resistance
The present invention provides pharmaceutical compositions comprising: (a) a modulator of hepatic parasympathetic tone, (b) at least one diabetes drug, and (c) a pharmaceutically acceptable carrier. The present invention includes methods for the treatment and/or prevention of insulin resistance, type 2 diabetes, impaired glucose intolerance, and other associated disorders with pharmaceutical compositions described herein. The invention also provides for a kit comprising a pharmaceutical composition and instructions for its use.
US08673961B2 Pyrrole inhibitors of S-nitrosoglutathione reductase as therapeutic agents
The present invention is directed to inhibitors of S-nitrosoglutathione reductase (GSNOR), pharmaceutical compositions comprising such GSNOR inhibitors, and methods of making and using the same.
US08673960B2 External liquid preparation containing indomethacin
The present invention provides an indomethacin-containing external liquid preparation which provides good feeling of use, which exhibits high percutaneous absorption of indomethacin, and which, particularly in a low-temperature environment, does not cause precipitation of crystals with time.The present invention is drawn to an external liquid preparation containing indomethacin, a lower alcohol, water, a sulfite, and polyethylene glycol having an average molecular weight of 3,000 to 15,000.
US08673957B2 Fluorescent probe
A compound represented by the formula (I) wherein R1 and R2 represent amino groups that substitute at adjacent positions on the benzene ring, wherein one of the amino groups may have one alkyl group; R3 and R4 represent hydrogen atom, a C1-6 alkyl group, or a C1-6 alkoxy group, R5 and R8 independently represent a C1-6 alkyl group, R6 and R9 represent a carboxy-substituted C1-6 alkyl group, an alkoxycarbonyl-substituted C1-6 alkyl group, a sulfo-substituted C1-6 alkyl group, or an alkyl sulfonate-substituted C1-6 alkyl group, and R7 and R10 represent a C1-6 alkyl group, an aryl group, a C1-6 alkoxycarbonyl group, a vinyl group, a thienyl group, or a pyrrolyl group, and an agent for measurement of nitrogen monoxide containing the compound. Said compound can give a fluorescent substance that is free from change in fluorescence intensity in a wide pH range.
US08673955B2 Imidazole derivatives as fructose-1,6-bisphosphatase inhibitors and pharmaceutical compositions containing them
Imidazole derivatives of formula (I): wherein R1, R2a, R2b, A, V and W are as defined in the description, as inhibitors of fructose-1,6-bisphosphatase, their preparation process and their use in the prevention or treatment of diabetes, and other diseases where the inhibition of gluconeogenesis, control of blood glucose levels, reduction in glycogen stores, or reduction in insulin levels is beneficial and diseases related to the insulin resistance syndrome.
US08673953B2 Compositions and methods for treating purpura
Embodiments of the present invention are directed to compositions and methods for the treatment of purpura. Preferred compositions comprise an α adrenergic receptor agonist selected from selective α1 adrenergic receptor agonist, selective α2 adrenergic receptor agonist, non-selective α1/α2 adrenergic receptor agonist, agents with α2 adrenergic receptor agonist activity and combinations thereof, in a pharmaceutically acceptable carrier in order to treat and improve the cosmetic appearance of hemorrhagic (purpuric) lesions in the skin.
US08673951B2 Isoxazoline-substituted benzamide compound and pesticide
An isoxazoline-substituted benzamide compound of formula (1) or a salt thereof: wherein A1, A2 and A3 independently of one another are carbon atom or nitrogen atom, G is benzene ring, etc., W is oxygen atom or sulfur atom, etc., X is halogen atom, C1-C6haloalkyl, etc., Y is halogen atom, C1-C6alkyl, etc., R1 is —CH═NOR1a, —C(O)OR1c, —C(O)NHR1d, phenyl substituted with (Z)p1, D-14, D-52, D-53, D-55 to D-59, etc., R1a is C1-C6alkyl, etc., R1c is C1-C6alkyl, etc., R1d is hydrogen atom, —C(O)R15, —C(O)OR15, etc., R2 is C1-C6alkyl, —CH2R14a, C1-C6alkynyl, —C(O)R15, —C(O)OR15, etc., further when R1 is —CH═NOR1a, —C(O)OR1c or —C(O)N(R1e)R1d, R2 may be hydrogen atom, R3 is C1-C6haloalkyl, etc., R14a is cyano, —OR25, etc., R15 is C1-C6alkyl, C1-C6haloalkyl, C1-C4alkoxy C1-C4alkyl, C1-C4alkylthio C1-C4alkyl, C3-C6cycloalkyl, C2-C6alkenyl, etc., R25 is C1-C4alkyl, C1-C4haloalkyl, —C(O)R32 or —C(O)OR32, etc., R32 is C1-C6alkyl or C3-C6cycloalkyl, etc., Z is halogen atom, cyano, nitro, C1-C6alkyl, C1-C6alkoxy, etc., m is an integer of 0 to 5, n is an integer of 0 to 4, p1 is an integer of 1 to 5. The pesticide containing these compounds.
US08673950B2 Dihydrooxazol-2-amine derivatives
The invention relates to compounds of formula wherein R1, R2, R3, R4, X, Ar, and are defined herein or to a pharmaceutically suitable acid addition salt thereof. Compounds of formula I have a good affinity to the trace amine associated receptors (TAARs), especially for TAAR1. The compounds can be used for the treatment of depression, anxiety disorders, bipolar disorder, attention deficit hyperactivity disorder (ADHD), stress-related disorders, psychotic disorders such as schizophrenia, neurological diseases such as Parkinson's disease, neurodegenerative disorders such as Alzheimer's disease, epilepsy, migraine, hypertension, substance abuse and metabolic disorders such as eating disorders, diabetes, diabetic complications, obesity, dyslipidemia, disorders of energy consumption and assimilation, disorders and malfunction of body temperature homeostasis, disorders of sleep and circadian rhythm and cardiovascular disorders.
US08673948B2 Chemical compounds
This invention relates to non-steroidal compounds that are modulators of androgen receptor, and also to the methods for the making and use of such compounds.
US08673945B2 Solid pharmaceutical composition comprising amlodipine and losartan
The present invention relates to a solid pharmaceutical composition for preventing or treating cardiovascular disorders comprising amlodipine and losartan as active ingredients, and a disintegrant which is a mixture of at least two components selected from the group consisting of sodium starch glycolate, crosscarmellose sodium, and crosspovidone, which exhibits a high and stable level of amlodipine and losartan dissolution rates.
US08673943B2 Fluorinated derivatives of deferiprone
The present invention relates to novel derivatives of deferiprone. In particular, the present invention relates to fluorinated derivatives of deferiprone or pharmaceutically acceptable salts thereof, pharmaceutical compositions comprising same, processes for the manufacture thereof and their use in the treatment of neurodegenerative diseases caused by the presence of free iron or iron accumulation in neural tissues and in diseases wherein excess iron must be removed or redistributed.
US08673942B2 Fused ring compounds and use thereof
The present invention aims to provide a glucokinase activator useful as a pharmaceutical agent such as an agent for the prophylaxis or treatment of diabetes, obesity and the like. The present invention provides a glucokinase activator containing a compound represented by the formula (I): wherein each symbol is defined in the specification, or a salt thereof or a prodrug thereof.
US08673940B2 Compounds for the treatment of diseases associated with amyloid or amyloid-like proteins
The present invention relates to novel compounds of formula (II) that can be employed in the treatment of a group of disorders and abnormalities associated with amyloid protein, such as Alzheimer's disease, and of diseases or conditions associated with amyloid-like proteins. The compounds of the present invention can also be used in the treatment of ocular diseases associated with pathological abnormalities/changes in the tissues of the visual system. The present invention further relates to pharmaceutical compositions comprising these compounds and to the use of these compounds for the preparation of medicaments for treating, or preventing diseases or conditions associated with amyloid and/or amyloid-like proteins. A method of treating or preventing diseases or conditions associated with amyloid and/or amyloid-like proteins is also disclosed.
US08673939B2 Methods for treating multiple myeloma with 4-(amino)-2-(2,6-dioxo(3-piperidyl))-isoindoline-1,3-dione
Methods of treating, preventing and/or managing cancer as well as and diseases and disorders associated with, or characterized by, undesired angiogenesis are disclosed. Specific methods encompass the administration of an immunomodulatory compound alone or in combination with a second active ingredient. The invention further relates to methods of reducing or avoiding adverse side effects associated with chemotherapy, radiation therapy, hormonal therapy, biological therapy or immunotherapy which comprise the administration of an immunomodulatory compound. Pharmaceutical compositions, single unit dosage forms, and kits suitable for use in methods of the invention are also disclosed.
US08673938B2 Chemical compounds
Compounds of formula (I): wherein variable groups are defined within; their use in the inhibition of 11βHSD1, processes for making them and pharmaceutical compositions comprising them are described.
US08673937B2 Eye-drop preparation and use thereof
Disclosed is a technique for preventing the decomposition of latanoprost (a thermally unstable substance) contained in an eye-drop solution to stabilize the eye-drop solution. Specifically disclosed is an eye-drop preparation comprising latanoprost and carteolol hydrochloride. By adding carteolol hydrochloride to an eye-drop solution containing latanoprost which is a thermally unstable substance and is likely to be adhered on the surface of a container, it becomes possible to prevent the decomposition of latanoprost in the eye-drop solution and also prevent the loss of latanoprost caused by the adsorption of latanoprost on the surface of a container.
US08673936B2 Indazole inhibitors of the Wnt signal pathway and therapeutic uses thereof
Indazole compounds for treating various diseases and pathologies are disclosed. More particularly, the present invention concerns the use of an indazole compound or analogs thereof, in the treatment of disorders characterized by the activation of Wnt pathway signaling (e.g., cancer, abnormal cellular proliferation, angiogenesis, Alzheimer's disease, lung disease and osteoarthritis), the modulation of cellular events mediated by Wnt pathway signaling, as well as genetic diseases and neurological conditions/disorders/diseases due to mutations or dysregulation of the Wnt pathway and/or of one or more of Wnt signaling components. Also provided are methods for treating Wnt-related disease states.
US08673935B2 Drugs for the treatment of sarcogylcanopathies
Inhibitors of the endoplasmic reticulum associated degradation (ERAD) pathway, particularly inhibitors of mannosidase I, are used for the preparation of a medicinal product intended to treat sarcoglycanopathies.
US08673934B2 Anti-infective agents and/or immunomodulators used for preventive therapy following an acute cerebrovascular accident
The invention refers to agents for the preventive therapy after acute stroke, in particular having the aim to prevent infections after stroke. The agents inventively employed in pharmaceutical preparations are anti-infective agents and/or immunomodulating agents, e.g. cytokines and/or inhibitors of the SNS.
US08673929B2 4,6-di- and 2,4,6-trisubstituted quinazoline derivatives and pharmaceutical compositions useful for treating viral infections
This invention provides the treatment of viral infections with a 4,6-disubstituted or 2,4,6-trisubstituted quinazoline derivative represented by the structural formula [(I)] wherein: R2 is selected from the group consisting of hydrogen, NR′R″ and C1-7 alkyl; —A is selected from the group consisting of a bond, O, S(O)n, C1-7 alkylene, C2-7 alkenylene and C2-7 alkynylene; R4 is selected from the group consisting of C1-7 alkyl, C2-7 alkenyl, C3-10cycloalkyl, C3-10 cycloalkenyl, aryl, heterocyclic, arylalkyl, heterocyclic-substituted alkyl and cycloalkyl-alkyl; —Y is selected from the group consisting of a single bond, C1-7 alkylene, C2-7 alkenylene, and C2-7 alkynylene; n is 0, 1 or 2; and R6 is selected from the group consisting of halogen, heteroaryl and aryl; a pharmaceutically acceptable addition salt, a stereoisomer, a mono- or a di-Λ/-oxide, a solvate or a pro-drug thereof.
US08673925B1 Tyrosine kinase inhibitors
The present disclosure provides compounds such as pyrazolpyrimidine compounds, and pharmaceutically acceptable salts thereof, that are tyrosine kinase inhibitors, in particular BLK, BMX, EGFR, HER2, HER4, ITK, TEC, BTK, and TXK and are therefore useful for the treatment of diseases treatable by inhibition of tyrosine kinases such as cancer and inflammatory diseases such as arthritis, and the like. Also provided are pharmaceutical compositions containing such compounds and pharmaceutically acceptable salts thereof and processes for preparing such compounds and pharmaceutically acceptable salts thereof.
US08673922B2 Azaindazole compounds
Disclosed are azaindazole compounds of Formula (I), or pharmaceutically acceptable salts thereof, wherein W is CR4 or N; and R1, R2, R3, and R4 are defined herein. Also disclosed are methods of using such compounds in the treatment of at least one CYP17 associated condition, such as, for example, cancer, and pharmaceutical compositions comprising such compounds.
US08673917B2 2-heteroaryl-pyrrolo [3,4-C]pyrrole derivatives, and use thereof as SCD inhibitors
The invention relates to compounds of the formula (I), where the groups R1, M, A, B, D, L, and R have the specified meanings, and to the physiologically compatible salts thereof. The compounds are suitable, for example, for treating metabolic syndrome, insulin resistance, obesity, and diabetes.
US08673916B2 Methods of treating disorders mediated by histamine H3 receptors using pyridazinone derivatives
The present invention is directed to methods of treating disorders mediated by Histamine H3 receptors by administering novel pyridazinone derivatives. In particular, the pyridazinone compounds may be effective in the treatment of diseases or disease states related to the activity of the histamine H3 receptor, including, for example, neurodegenerative disorders, sleep/wake disorders, attention deficit hyperactivity disorder and cognition/cognitive disorders.
US08673914B2 Use of phosphodiesterase inhibitors for treating multidrug resistance
The present invention relates to methods of treating multidrug resistance in cancerous cells with phosphodiesterase (PDE) inhibitors, e.g., PDE5 inhibitors. More specifically, the invention relates to methods of treating multidrug resistance that arises, e.g., during administration of chemotherapeutic/antineoplastic (anticancer) agents for treatment of cancer, with a PDE5 inhibitor (e.g., sildenafil, vardenafil, and tadalafil). The invention also relates to methods of treating cancer, e.g., multidrug resistant cancer, using a PDE5 inhibitor in combination with an antineoplastic therapeutic agent. Further, the invention relates to pharmaceutical compositions for treating multidrug resistant cancers comprising a PDE5 inhibitor, or a combination of a PDE5 inhibitor and an antineoplastic agent.
US08673912B2 Crystalline Forms on N-[3-fluoro-4-({6-(methyloxy)-7-[(3-morpholin-4-ylpropyl)oxy]-quinolin-4-yl}oxy)phenyl]-N′-(4-fluorophenyl)cyclopropane-1,1-dicarboxamide
This invention relates to three crystalline forms of N-[3-fluoro-4-((6-(methyloxy)-7-[(3-morpholin-4-ylpropyl)oxy]quinolin-4-yl|oxy)phenyl]-N′-(4-fluorophenyl)cyclopropane-1,1-dicarboxamide. Compound (I), designated as Form A, Form B, and Form C. The invention provides methods for treatment of cancer by exploiting the modulation of protein kinase activity. The invention also provides pharmaceutical compositions containing a crystalline form of Compound (I) and a pharmaceutically acceptable excipient.
US08673911B2 Inhibitors of histone deacetylase
This invention relates to compounds and methods for the inhibition of HDAC enzymatic activity. More particularly, the invention provides for compounds of formula (I), (I) and N-oxides, hydrates, solvates, pharmaceutically acceptable salts, prodrugs and complexes thereof, and racemic and scalemic mixtures, diastereomers and enantiomers thereof, wherein L, M, n, R, W, X and Y are as defined in the specification.
US08673904B2 Epoxide inhibitors of cysteine proteases
Provided herein are novel epoxide inhibitors of cysteine proteases, compositions comprising the epoxide inhibitors, and packaged pharmaceuticals. Also provided are methods of inhibiting a papain-family cysteine protease and methods of treating or preventing a disease by administering a composition containing an epoxide inhibitor of the invention. The compositions may be administered in combination with another therapeutic agent.
US08673903B2 Substituted 8-alkoxy-2-aminotetralin derivatives, and use thereof
The present application relates to novel substituted 8-alkoxy-2-aminotetraline derivatives, to processes for their preparation, to their use for the treatment and/or prevention of diseases and to their use for preparing medicaments for the treatment and/or prevention of diseases, in particular for the treatment and/or prevention of cardiovascular disorders.
US08673902B2 Method of treating otic infections with moxifloxacin compositions
Ophthalmic, otic and nasal compositions containing a new class of antibiotics (e.g., moxifloxacin) are disclosed. The compositions preferably also contain one or more anti-inflammatory agents. The compositions may be utilized to treat ophthalmic, otic and nasal conditions by topically applying the compositions to the affected tissues.
US08673901B2 Potassium channel blockers
The present invention provides a compound of formula (I) or its salts or pharmaceutically acceptable derivatives thereof wherein X1, R1, R2, R3, R4, and R5 are defined as set forth in the specification. The compounds are useful as potassium ion channel inhibitors.
US08673900B2 Gamma secretase modulators
In many embodiments, the present invention provides novel heterocyclic compounds which are modulators of gamma secretase, methods of preparing such compounds, pharmaceutical compositions containing one or more such compounds, methods of preparing pharmaceutical formulations comprising one or more such compounds, and methods of treatment, prevention, inhibition, or amelioration of one or more disease associated with the central nervous system using such compounds or pharmaceutical compositions.
US08673899B2 Cyclic inhibitors of 11beta-hydroxysteroid dehydrogenase 1
This invention relates to novel compounds of the Formula Ik, Im1, Im2, Im5, In1, In2, In5, Io1, Io2, Io5, Ip1, Ip3, pharmaceutically acceptable salts thereof, and pharmaceutical compositions thereof, which are useful for the therapeutic treatment of diseases associated with the modulation or inhibition of 11β-HSD1 in mammals. The invention further relates to pharmaceutical compositions of the novel compounds and methods for their use in the reduction or control of the production of cortisol in a cell or the inhibition of the conversion of cortisone to cortisol in a cell.
US08673898B2 Aminodiazepines as toll-like receptor modulators
Provided are compositions and methods useful for modulation signaling through the Toll-like receptor TLR8. The compositions and methods have use in the treatment of autoimmunity, inflammation allergy, asthma, graft rejection, graft versus host disease, infection, sepsis, cancer and immunodeficiency.
US08673895B2 Tetrahydro-pyrimidoazepines as modulators of TRPV1
Certain tetrahydro-pyrimidoazepine compounds are described, which are useful as TRPV1 modulators. Such compounds may be used in pharmaceutical compositions and methods for the treatment of disease states, disorders, and conditions mediated by TRPV1. Thus, the compounds may be administered to treat, e.g., pain, itch, cough, asthma, or inflammatory bowel disease.
US08673892B2 Phenyl bicyclic methyl azetidine derivatives as sphingosine-1 phosphate receptors modulators
The present invention relates to novel phenyl bicyclic methyl azetidine derivatives, processes for preparing them, pharmaceutical compositions containing them and their use as pharmaceuticals as modulators of sphingosine-1-phosphate receptors.
US08673891B2 Aminopyrazine derivative and medicine
The present invention relates to a compound represented by general formula [1] satisfying the following (I) or (II), or a pharmaceutical acceptable salt of the compound. (I) X is CH or N; R1 is a halogen atom, and R2 is H, a halogen atom, CN, [2], [3], [8], [9], an —O-alkyl, an —O-(saturated ring), etc. [2]: —C(RC)(RD)(RE) (RC to RE each are H, an alkyl, etc.) [3]: —N(RF)(RG) (RF and RG each are H, OH, amino, a (hetero)aryl, etc.) [8]: —C(═O)RL (RL is an alkyl, OH, an alkoxy, amino, etc.) [9]: a (substituted)phenyl; (II) X is >C—C(—O)RB (RB is a (substituted)amino, an alkoxy, OH, etc.); R1 is a halogen atom; R2 is H; R3 is H or OH; and R3 and R4 each are H or an alkyl.
US08673888B2 Depsipeptide for therapy of kidney cancer
The present invention provides a therapeutic agent of kidney cancer, which comprises FK228 of the formula (I) or a salt thereof. FK228 or a salt thereof, which is an active ingredient in the present invention, shows a superior antitumor activity in vivo against kidney cancer.
US08673887B2 Non-hormonal steroid modulators of NF-kB for treatment of disease
The present invention relates to compounds and methods which may be useful for the treatment of inflammatory bowel disease, Crohn's disease and colitis.
US08673885B1 Compounds for the protection of sulfur containing linkers in nucleic acid synthesis
Aromatic and alkyl thiocarbonates with and without a neighboring group that participates in the hydrolysis of a thiocarbonate are described. The aromatic or alkyl thiocarbonates can be used for the protection of sulfur during oligonucleotide synthesis. Their facile processes of manufacture and methods of using the same are provided.
US08673884B2 Anti-influenza compounds
The present invention provides pyrimidinyl compounds of formula (I) and pharmaceutically acceptable salts thereof. These compounds may be used for the inhibition of influenza. In particular, the compounds of the invention may be used for the treatment or prophylaxis of influenza A, most particularly H1N1 or H5N1 influenza. The compounds of the invention can also be used for the treatment or prophylaxis of a disease caused by Vibrio cholerae, Clostridium perfringens, Streptococcus pneumoniae, Arthrobacter sialophilus, an orthomyxovirus, a paramyxovirus, a parainfluenza virus, mumps virus, Newcastle disease virus, fowl plague virus or Sendai virus.
US08673879B2 Skin protectant, particularly against hydrophobic (lipophilic) and against hydrophilic (lipophobic) harmful substances
The invention relates to a skin protectant, particularly against hydrophobic (lipophilic) and hydrophilic (lipophobic) harmful substances, obtainable by means of an amount of at least one barrier-forming component, particularly against hydrophobic (lipophilic) harmful substances, wherein the nephelometric turbidity unit of the barrier-forming component is determined by means of turbidimetry and at least one barrier-forming component, a 1% solution in water thereof having a nephelometric turbidity unit of greater than 40 (NTU), is used to produce the protectant and a method for producing skin protectants, particularly against hydrophobic (lipophilic) and hydrophilic (lipophobic) harmful substances, where in the barrier-forming component is selected for production of the protectant such that the nephelometric turbidity unit of the barrier-forming component of the skin protectant is determined by means of turbidimetry and at least one barrier-forming component, a 1% solution in water thereof having a nephelometric turbidity unit of greater than 40 (NTU), is used to produce the skin protectant.
US08673876B2 Pharmaceutical combinations for treatment of specific cancers
The present invention relates to the field of oncology and provides compositions and methods for treating specific cancers, including non small cell lung cancer, breast cancer, thyroid cancer, pancreatic cancer, colon cancer, melanoma, hepatoma and adenocarcinoma. Particularly, compositions and methods involving administration, either simultaneously or sequentially, of pharmaceutical combinations comprising (S)—N-(3,4-difluoro-2-(2-fluoro-4-iodophenylamino)-6-methoxyphenyl)-1-(2,3-dihydroxypropyl)cyclopropane-1-sulfonamide with other compounds, to patients suffering from cancer are described.
US08673871B2 Compounds and methods for modulating expression ApoB
The present disclosure describes short antisense compounds, including such compounds comprising chemically-modified high-affinity monomers 8-16 monomers in length. Certain such short antisense compound are useful for the reduction of target nucleic acids and/or proteins in cells, tissues, and animals with increased potency and improved therapeutic index. Thus, provided herein are short antisense compounds comprising high-affinity nucleotide modifications useful for reducing a target RNA in vivo. Such short antisense compounds are effective at lower doses than previously described antisense compounds, allowing for a reduction in toxicity and cost of treatment. In addition, the described short antisense compounds have greater potential for oral dosing.
US08673867B2 Compositions including triciribine and epidermal growth factor receptor inhibitor compounds or salts thereof and methods of use thereof
This application relates to combination therapies including triciribine compounds and epidermal growth factor receptor inhibitor compounds, particularly erlotinib-like compounds and compositions with reduced toxicity for the treatment and prevention of tumors, cancer, and other disorders associated with abnormal cell proliferation.
US08673865B2 Agent for enhancing antiseptic power
The present invention relates to a preservative efficacy-enhancing agent capable of allowing an antiseptic agent to exhibit a high preservative efficacy even when the antiseptic agent is used at a low concentration; a preservative efficacy-enhancing composition containing the same; and a method for enhancing a preservative efficacy of antiseptic agents. The present invention relates to: (1) a preservative efficacy-enhancing agent for antiseptic agents which includes an amphiphilic galactose derivative (A) as an effective ingredient; (2) a preservative efficacy-enhancing composition including 0.01 to 30% by mass of an amphiphilic galactose derivative (A) and 0.01 to 1.0% by mass of an antiseptic agent (B); and (3) a method for enhancing a preservative efficacy of an antiseptic composition which includes the step of allowing 0.01 to 30% by mass of an amphiphilic galactose derivative (A) to coexist with an antiseptic agent (B) in the composition.
US08673864B2 Mangiferin-berberine salt, manufacturing method and use thereof
The present invention provides a mangiferin-berberine salt and manufacturing method thereof. Otherwise, the present invention also provides the use of mangiferin-berberine salt as AMPK activator.
US08673862B1 Peptides and use thereof in the inhibition of angiotensin converting enzyme
Peptides useful as angiotensin converting enzyme inhibitors are provided. Also provided are compositions comprising one or more of the peptides and methods for preventing, treating and/or diminishing one or more syndromes associated with angiotensin converting enzyme by using the peptides.
US08673858B2 Method for treating wrinkles using a paralytic peptide from the shrew Blarina brevicauda
The invention relates to a low molecular weight peptide (or suite of related peptides) isolated from the submaxiliary saliva glands of shrews of the species Blarina as a paralytic agent. This novel paralytic agent is useful as a neuromuscular blocker and analgesic or as an insecticide.
US08673856B2 Omega conotoxins
The present invention relates to a method for increasing the binding reversibility of a ω-conotoxin to a N-type calcium channel, which comprises preparing a ω-conotoxin having a Ile and/or Ala residue at a position of amino acid (11 and/or 12), respectively in the second loop between cysteine residues (2 and 3) of the ω-conotoxin represented by the formula I, such that the prepared ω-conotoxin has the increased binding reversibility to N-type calcium channel. In addition, the present invention relates to a novel ω-conotoxin and a pharmaceutical composition having plausible properties in view of blocking activity to and specificity to N-type calcium channel, and dramatically improved binding reversibility to N-type calcium channel.
US08673850B2 PCSK9 inhibitors and methods of use thereof
A method for identifying a compound for preventing or treating a LDLR-associated disease, a VLDLR-associated disease or an ApoER2-associated disease, said method comprising determining whether: a) a level of expression of Annexin A2 nucleic acid or encoded polypeptide; b) a level of Annexin A2 activity; or c) a combination of a) and b), is increased in the presence of a test compound relative to in the absence of said test compound, wherein said increase is indicative that said test compound can be used for preventing or treating a LDLR-associated disease, a VLDLR-associated disease, an ApoER2-associated disease.
US08673843B2 NMDA receptors modulators and uses thereof
Disclosed are compounds having enhanced potency in the modulation of NMDA receptor activity. Such compounds are contemplated for use in the treatment of diseases and disorders, such as learning, cognitive activities, and analgesia, particularly in alleviating and/or reducing neuropathic pain. Orally available formulations and other pharmaceutically acceptable delivery forms of the compounds, including intravenous formulations, are also disclosed.
US08673842B2 Polypeptoid pulmonary surfactants
The present invention provides spreading agents based on sequence-specific oligomers comprising a peptoid, a peptide-peptoid chimera, a retropeptoid or a retro(peptoid-peptide) chimera, and methods for using the same, including for the treatment of respiratory distress of the lungs. The spreading agents are sequence-specific oligomers, including retrosequence-specific oligomers, based on a peptide backbone, that are designed as analogs of surfactant protein-B or surfactant protein-C.
US08673839B2 Lactoferrin complex and method of producing the same
A biologically active complex of lactoferrin with a branched non-peptide hydrophilic polymer, one example of which is represented by the following formula [1]: LF-[X-Lp-R—(Yp-POLY)q]n  [I] Wherein LF is lactoferrin, X is a linkage generated by reaction of functional groups, L is a linker, R is an aliphatic hydrocarbon group having at least 3 carbon atoms, Y is a heteroatom linkage, POLY is a non-peptide hydrophilic polymer, p is 0 or 1, q is an integer of 2 to 10, and n is an integer of 1 to 10.
US08673837B2 Shaped solid cleaning composition
A shaped solid cleaning composition, especially in bar format, which utilises the detergency properties of new materials which are more efficient, relatively inexpensive and are environmentally friendly alternatives to conventional soaps or synthetics surfactants; more particularly, a shaped solid cleaning composition which comprises a specific amount of structuring agent and a specific amount of a treated particle. The precursor of the treated particle is an asymmetric 1:1 or 2:1:1 clay particle having alternating tetrahedral and octahedral sheets terminating with a tetrahedral sheet at a first external surface plane and an octahedral sheet at a second external surface plane. Either or both of said external surfaces is attached with a fatty acid or derivative thereof.
US08673832B2 Liquid skin cleanser with multiple signals of adequate wash duration with adequate mechanical force
A personal cleansing composition includes a carrier, and a first plurality of beads entrained in the carrier. The beads impart, to a user applying the cleansing composition with hand rubbing force, perceivable tactility for a limited predetermined duration that corresponds to a minimum adequate cleansing time period. In accordance with another embodiment of the present invention, the personal cleansing composition further includes a second plurality of beads entrained in the carrier. The second plurality of beads imparts to the user a perceivable change in color for the cleansing composition for a second limited predetermined duration that is substantially equal to the duration that the tactility imparted by the first plurality of beads is perceivable to the user.
US08673815B2 Herbicidal composition
At present, various herbicidal compositions have been developed and used, but they are not necessarily sufficient to control undesired plants such as weeds to be controlled, and a highly active herbicidal composition has been desired.A herbicidal composition comprising 1-(4,6-dimethoxypyrimidin-2-yl)-3-(3-trifluoromethyl-2-pyridylsulfonyl) urea or its salt and 2-(4,6-dimethoxypyrimidin-2-ylcarbamoylsulfamoyl)-N,N-dimethylnicotinamide or its salt, and a method for controlling undesired plants using it.
US08673812B2 Thermosensitive recording medium
The present invention present a thermosensitive recording medium having excellent printed image quality on a thermosensitive recording surface, particularly for bar code readability, and also good record density and recording property after storage.In a thermosensitive recording medium obtained by installing on a substrate a thermosensitive recording layer containing a colorless or pale electron donating leuco dye and an electron accepting coloring agent, the water drop absorbency of the surface on which a thermosensitive recording layer is installed on said substrate is adjusted to at least 50 seconds by having at least 5 wt. % of mechanical pulp present in the substrate and controlling the sizing agent treatment of the substrate.
US08673809B2 Low level noble metal-supporting three-way catalyst
The invention provides a catalyst for catalytically removing three components, which are carbon monoxide, hydrocarbons and nitrogen oxides, from combustion exhaust gas generated by combusting fuel at around the stoichiometric air to fuel ratio. The catalyst includes: (A) a first catalyst component including at least rhodium, platinum, or palladium in a content of 0.01 to 0.5% by weight; and (B) a second catalyst component, which is the remainder, including a composite oxide or a mixed oxide including (a) at least zirconium oxide or titanium oxide, and (b) an oxide of at least praseodymium, yttrium, neodymium, tungsten, niobium, silicon, or aluminum, wherein the content of the oxide (a) in the composite oxide or the mixed oxide is in a range of 70 to 95% by weight. The invention further provides a two-layer catalyst that includes a surface catalyst layer containing the above-mentioned catalyst.
US08673802B2 Catalyst for catalytic cracking of hydrocarbon, which is used in production of light olefin and production method thereof
Disclosed are a molecular sieve catalyst and a preparation method thereof to produce light olefins from cracking naphtha catalytically in severe environments of high temperature and high moisture. In detail, the catalyst is prepared by spray-drying and calcining the mixed slurry, in which 0.01˜5.0 wt % of MnO2 and 1˜15 wt % of P2O5 are simultaneously imbedded in catalyst which consists of zeolite, clay and inorganic complex. According to the present invention, the method that manganese and phosphate are imbedded simultaneously in zeolite and inorganic complex is used to increases thermal-stability of obtained spherical catalyst, and increase olefin yield of cracking hydrocarbon such as naphtha by protecting acid-site of zeolite. To synthesize the required catalyst, the important procedures are mixing ratio and mixing sequence of Mn, P, zeolite, and inorganic complex.
US08673801B2 Process for regenerating a reforming catalyst
The invention concerns a process for regenerating a catalyst for the production of aromatic hydrocarbons or for reforming. Said process comprises a step for combustion in a zone A comprising at least 2 beds A1 and A2, a step for oxychlorination in a zone B, and a step for calcining in a zone C. A portion of the effluent gas from the oxychlorination zone is recycled via at least one scrubbing section D to the inlet to beds A1 and A2. Further, a portion of the effluent gas from zone B is recycled, passing via a blower and without passing via said scrubbing section D, to the combustion bed A2.
US08673800B2 Hydrolysis of used ionic liquid catalyst for disposal
We provide a process and apparatus for preparing a used catalyst for disposal, comprising: a. hydrolyzing a used ionic liquid catalyst comprising an anhydrous metal halide to produce a hydrolyzed product; and b. separating the hydrolyzed product into a liquid phase and a solid phase; wherein the liquid phase comprises a non-water-reactive aqueous phase and a hydrocarbon phase; and wherein the solid phase comprises a solid portion of the hydrolyzed product, that is not water reactive. A vessel is used for the hydrolyzing and a separator is used for the separating.
US08673799B2 Dielectric ceramic composition and ceramic electronic device
A dielectric ceramic composition comprises barium titanate as a main component, and as subcomponents, 1.00 to 2.50 moles of an oxide of Mg, 0.01 to 0.20 mole of an oxide of Mn and/or Cr, 0.03 to 0.15 mole of an oxide of at least one element selected from a group consisting of V, Mo and W, 0.20 to 1.50 mole of an oxide of R1 where R1 is at least one selected from a group consisting of Y and Ho, 0.20 to 1.50 mole of an oxide of R2 where R2 is at least one selected from a group consisting of Eu, Gd and Tb and 0.30 to 1.50 mole of an oxide of Si and/or B, in terms of each oxide with respect to 100 moles of the barium titanate.
US08673797B2 Binder for monolithic refractories and monolithic refractory
The binder for monolithic refractories according to the present invention includes SrAl2O4; SrAl2O4 and 5 mass % or less of the remainder; or a mixture of SrAl2O4 and Al2O3.
US08673793B2 Method for automatic offset calculation for deposition of an aligned metal pattern onto selective emitter pattern and subsequent monitoring of alignment
A method for calculating an offset value for aligned deposition of a second pattern onto a first pattern, comprising steps of: (a) loading a substrate with the first pattern on a surface of the substrate into a pattern recognition device at an original position inside the pattern recognition device; (b) determining a coordinate of a prescribed point of the first pattern by the pattern recognition device; (c) superimposing the second pattern onto the first pattern on the surface of the substrate; (d) bringing back the substrate with the first pattern and the second pattern into the original position inside the pattern recognition device; (e) determining a coordinate of a prescribed point of the second pattern by the pattern recognition device; wherein the prescribed point of the first pattern corresponds to the prescribed point of the second pattern; and (f) calculating the offset value between the first pattern and the second pattern.
US08673792B2 p-Terphenyl compound mixture and electrophotographic photoreceptors made by using the same
An object of the invention is to provide a method of making a p-terphenyl compound mixture which includes two symmetric p-terphenyl compounds respectively represented by formula (1) and formula (2) and an asymmetric p-terphenyl compound represented by formula (3)
US08673788B2 Method of forming a layer on a semiconductor substrate having a plurality of trenches
A method of fabricating a semiconductor device is illustrated. A substrate having a plurality of trenches is provided. The plurality of trenches include trenches having differing widths. A first layer is formed on the substrate including in the plurality of trenches. Forming the first layer creates an indentation in the first layer in a region overlying a trench (e.g., wide trench). A second layer is formed in the indentation. The first layer is etched while the second layer remains in the indentation. The second layer may protect the region of indentation from further reduction in thickness. In an embodiment, the first layer is polysilicon and the second layer is BARC of photoresist.
US08673781B2 Plasma etching method
The present invention relates to a plasma etching method with which a wide-gap semiconductor substrate can be etched with high accuracy. An inert gas is supplied into a processing chamber and plasma is generated from the inert gas, a bias potential is applied to a platen on which a wide-gap semiconductor substrate is placed, thereby making ions generated by the generation of plasma from the inert gas incident on the semiconductor substrate on the platen to thereby heat the semiconductor substrate. After the temperature of the semiconductor substrate reaches an etching temperature between 200° C. and 400° C., an etching gas is supplied into the processing chamber and plasma is generated from the etching gas and a bias potential is applied to the platen, thereby etching the semiconductor substrate while maintaining the temperature of the semiconductor substrate at the etching temperature.
US08673780B2 Methods of processing semiconductor substrates in forming scribe line alignment marks
A method of processing a semiconductor substrate in forming scribe line alignment marks includes forming pitch multiplied non-circuitry features within scribe line area of a semiconductor substrate. Individual of the features, in cross-section, have a maximum width which is less than a minimum photolithographic feature dimension used in lithographically patterning the substrate. Photoresist is deposited over the features. Such is patterned to form photoresist blocks that are individually received between a respective pair of the features in the cross-section. Individual of the features of the respective pairs have a laterally innermost sidewall in the cross-section. Individual of the photoresist blocks have an opposing pair of first pattern edges in the cross-section that are spaced laterally inward of the laterally innermost sidewalls of the respective pair of the features. Individual of the photoresist blocks have an opposing pair of second pattern edges in the cross-section that self-align laterally outward of the first pattern edges to the laterally innermost sidewalls of the features during the patterning.
US08673779B1 Interconnect with self-formed barrier
A method of filling of vias and trenches in a dual damascene structure with a filling comprising copper or copper alloy is provided. An electroless deposition filling of the vias with a via filling comprising copper or copper alloy is provided. A trench barrier layer is formed over the via filling with a trench barrier layer comprising Mn or Al. The trench barrier layer is annealed at a temperature that causes a component of the trench barrier layer to pass into the via filling. The trenches are filled with a trench filling comprising copper or copper alloy.
US08673777B2 In-line deposition system and process for deposition of a thin film layer
An apparatus for vapor deposition of a sublimated source material as a thin film on a substrate is provided. The apparatus includes a receptacle configured to hold a source material and a distribution plate positioned above the receptacle. The distribution plate defines a pattern of passages therethrough. The apparatus also includes a conveyor configured to travel in a continuous loop such that its transfer surface passes above the distribution plate in a first direction to receive thereon sublimated source material passing through the passages of the distribution plate. The conveyor is also configured to travel in a second direction while carrying a substrate on its raised edges. A heating system heats the conveyor while it travels in the second direction to transfer the source material from the transfer surface to the substrate. A process is provided for vapor deposition of a sublimated source material to form thin film.
US08673775B2 Methods of forming semiconductor structures
In a method of forming a semiconductor structure, a through-silicon-via (TSV) opening is formed in a substrate. A dielectric layer is formed to continuously extend over the substrate and into the TSV opening. At least one conductive material is formed over the dielectric layer and in the TSV opening. A portion of the at least one conductive material that is over the dielectric layer is removed to form a TSV structure in the substrate. A metallic line is formed in the dielectric layer. A portion of the substrate is removed, such that the TSV structure continuously extends through the substrate and the dielectric layer.
US08673771B2 Pattern forming method
According to one embodiment, a pattern forming method comprises forming, on a metal layer and an insulating layer, an underlying layer the surface state of which is changeable by irradiation with a light ray, radiating the light ray to the underlying layer, thereby changing the surface state of a portion of the underlying layer above the metal layer, forming a block polymer layer on the underlying layer, forming, on the underlying layer, a directed self-assembly phase which contains a first polymer portion and a second polymer portion, the first polymer portion being positioned above the underlying layer portion the surface state of which has been changed by the radiation of the light ray, removing the first polymer portion, and the underlying layer portion underneath the first polymer portion to make a hole, and burying a conductive film into the hole.
US08673770B2 Methods of forming conductive structures in dielectric layers on an integrated circuit device
One method disclosed herein includes the steps of forming a ULK material layer, forming a hard mask layer above the ULK material layer, forming a patterned photoresist layer above the hard mask layer, performing at least one etching process to define an opening in at least the ULK material layer for a conductive structure to be positioned in at least the ULK material layer, forming a fill material such that it overfills the opening, performing a process operation to remove the patterned photoresist layer and to remove the fill material positioned outside of the opening, removing the fill material from within the opening and, after removing the fill material from within the opening, forming a conductive structure in the opening.
US08673768B2 Fabrication method for improving surface planarity after tungsten chemical mechanical polishing
A fabrication method for improving surface planarity after tungsten chemical mechanical polishing (W-CMP) is disclosed. The method forms contact holes and dummy patterns by performing two respective photolithography-and-etching processes to ensure that the dummy patterns have a depth smaller than that of the contact holes. Then the method fills tungsten into the contact holes and dummy patterns and removes the redundant tungsten by a W-CMP process. With such a method, difference of wiring density between areas can be reduced by the dummy patterns, and hence a better surface planarity of the contact hole layer can be achieved. Besides, as the dummy patterns are formed in a pre-metal dielectric layer and their depth is well controlled, tungsten filled in the dummy patterns will not contact with the device area below the pre-metal dielectric layer, and thus will not affect the performance of the device.
US08673767B2 Manufacturing method for semiconductor device
A semiconductor device includes: a semiconductor substrate including a first face and a second face on a side opposite to the first face; an external connection terminal formed on the first face of the semiconductor substrate; a first electrode formed on the first face of the semiconductor substrate and electrically connected to the external connection terminal; an electronic element formed on or above the second face of the semiconductor substrate; a second electrode electrically connected to the electronic element and having a top face and a rear face; a groove portion formed on the second face of the semiconductor substrate and having a bottom face including at least part of the rear face of the second electrode; and a conductive portion formed in the groove portion and electrically connected to the rear face of the second electrode.
US08673763B2 Stacked digital/RF system-on-chip with integral isolation layer
An apparatus includes a device package, a first Integrated Circuit (IC) that is packaged in the device package, and a second IC, which is packaged in the device package and is fabricated on a multi-layer interconnection circuit including a plurality of interconnection layers for interconnecting components of the second IC, wherein a selected layer in the plurality is configured to serve as a conductive shield for reducing interference between the first and second ICs.
US08673762B2 Solder, soldering method, and semiconductor device
A solder includes Sn (tin), Bi (bismuth) and Zn (zinc), wherein the solder has a Zn content of 0.01% by weight to 0.1% by weight.
US08673761B2 Reflow method for lead-free solder
A reflow method for solder includes heating the solder to a first temperature that is above a liquidus temperature of the solder; cooling the solder to a second temperature that is below a solidification temperature of the solder; reheating the solder to a third temperature that is above a solidus temperature of the solder and below the liquidus temperature of the solder; cooling the solder to a fourth temperature that is below the solidification temperature of the solder.
US08673760B1 Methods of forming structures on an integrated circuit product
One illustrative method disclosed herein includes forming a seed layer above a substrate that includes a conductive region, wherein the seed layer is comprised of a metal-containing material, forming a nucleation layer on the seed layer, wherein the nucleation layer is comprised of a transition metal oxide ceramic material, and performing a thermal treatment process at a temperature so as to generate a plurality of spaced-apart, vertically oriented alloy structures, wherein the alloy structures are comprised of at least one material from the seed layer and at least one material from the nucleation layer.
US08673758B2 Structure of metal gate and fabrication method thereof
A method for fabricating a metal gate includes the following steps. First, a substrate having an interfacial dielectric layer above the substrate is provided. Then, a gate trench having a barrier layer is formed in the interfacial dielectric layer. A source layer is disposed above the barrier layer. Next, a process is performed to have at least one element in the source layer move into the barrier layer. Finally, the source layer is removed and a metal layer fills up the gate trench.
US08673753B1 Multi-energy ion implantation
In a multi-energy ion implantation process, an ion implanting system having an ion source, an extraction assembly, and an electrode assembly is used to implant ions into a target. An ion beam having a first energy may be generated using the ion source and the extraction assembly. A first voltage may be applied across the electrode assembly. The ion beam may enter the electrode assembly at the first energy, exit the electrode assembly at a second energy, and implant ions into the target at the second energy. A second voltage may be applied across the electrode assembly. The ion beam may enter the electrode assembly at the first energy, exit the electrode assembly at a third energy, and implants ions into the target at the third energy. The third energy may be different from the second energy.
US08673752B2 Method of forming epitaxial based integrated circuit
A method of growing an epitaxial semiconductor structure is disclosed. The growth and transfer are made using an epitaxy lateral overgrowth technique. The formed epitaxial film on an assembly substrate can be further processed to form devices such as solar cell, light emitting diode, and other devices and assembled into higher integration of desired applications.
US08673749B2 Semiconductor device manufacturing method
In a semiconductor device manufacturing method, an insulating layer is formed on a front surface of a semiconductor substrate. Trenches are formed in the substrate by using the insulating layer as a mask so that a first portion of the insulating layer is located on the front surface between the trenches and that a second portion of the insulating layer is located on the front surface at a position other than between the trenches. The entire first portion is removed, and the second portion around an opening of each trench is removed. The trenches are filled with an epitaxial layer by epitaxially growing the epitaxial layer over the front surface side. The front surface side is polished by using the remaining second portion as a polishing stopper.
US08673746B2 Ammonium sulfide passivation of semiconductors
The present invention includes methods directed to improved processes for producing a monolayer of sulfur on the surface of a semiconductor. As a surface layer, it functions to passivate the surface; if annealed, it provides a doping element.
US08673745B2 Method of cutting object to be processed
A method of cutting an object which can accurately cut the object is provided. An object to be processed 1 such as a silicon wafer is irradiated with laser light L while a light-converging point P is positioned therewithin, so as to form a modified region 7 due to multiphoton absorption within the object 1, and cause the modified region 7 to form a starting point region for cutting 8 shifted from the center line CL of the thickness of the object 1 toward the front face 3 of the object 1 along a line along which the object should be cut. Subsequently, the object 1 is pressed from the rear face 21 side thereof. This can generate a fracture from the starting point region for cutting 8 acting as a start point, thereby accurately cutting the object 1 along the line along which the object should be cut.
US08673742B2 Method for manufacturing semiconductor device
A method for manufacturing a semiconductor device includes forming a starting-point crack on a cleavage line on a surface of a semiconductor substrate; forming preliminary cracks intermittently along the cleavage line on the surface of the semiconductor substrate; and cleaving the semiconductor substrate along the cleavage line passing through the preliminary cracks, from the starting-point crack, wherein each of the preliminary cracks has a crack joining the cleavage line from outside of the cleavage line, in a direction of a progress of cleaving.
US08673740B2 Method for formation of an electrically conducting through via
A method is for formation of an electrically conducting through-via within a first semiconductor support having a front face and comprising a silicon substrate. The method may include forming of a first insulating layer on top of the front face of the first semiconductor support, fabricating a handle including, within an additional rigid semiconductor support having an intermediate semiconductor layer, and forming on either side of the intermediate semiconductor layer of a porous region and of an additional insulating layer. The method may also include direct bonding of the first insulating layer and of the additional insulating layer, and thinning of the silicon substrate of the first semiconductor support so as to form a back face opposite to the front face.
US08673739B2 Method of manufacturing semiconductor device
It is an object of the invention to provide a lightweight semiconductor device having a highly reliable sealing structure which can prevent ingress of impurities such as moisture that deteriorate element characteristics, and a method of manufacturing thereof. A protective film having superior gas barrier properties (which is a protective film that is likely to damage an element if the protective film is formed on the element directly) is previously formed on a heat-resistant substrate other than a substrate with the element formed thereon. The protective film is peeled off from the heat-resistant substrate, and transferred over the substrate with the element formed thereon so as to seal the element.
US08673737B2 Array and moat isolation structures and method of manufacture
An array or moat isolation structure for eDRAM and methods of manufacture is provided. The method includes forming a deep trench for a memory array and an isolation region. The method includes forming a node dielectric on exposed surfaces of the deep trench for the memory array and the isolation region. The method includes filling remaining portions of the deep trench for the memory array with a metal, and lining the deep trench of the isolation region with the metal. The method includes filling remaining portions of the deep trench for the isolation region with a material, on the metal within the deep trench for the memory array. The method includes recessing the metal within the deep trench for the memory array and the isolation region. The metal in the deep trench of the memory array is recessed to a greater depth than the metal in the isolation region.
US08673730B2 Manufacturing method of charging capacity structure
A method of manufacturing a charging capacity structure includes steps of: forming a first oxide layer, a support layer and a second oxide layer on a substrate in sequence; forming a plurality of etching holes on the surface of the second oxide layer in a matrix to run through the substrate that are spaced from each other at a selected distance; forming a plurality of pillar layers in the etching holes; removing the second oxide layer by etching; forming an etching protection layer on the surfaces of the support layer and pillar tubes that is formed at a thickness one half of the spaced distance between the etching holes such that the pillar tubes at diagonal locations form a self-calibration hole; and finally removing the first oxide layer from the self-calibration hole by etching. Through the self-calibration hole, the invention needn't to provide extra photoresists to form holes.
US08673723B1 Methods of forming isolation regions for FinFET semiconductor devices
One method includes forming first trenches in a semiconducting substrate to define at least one fin for a FinFET device, forming a second trench in the substrate that is wider than the first trenches, forming a flowable oxide material in the first and second trenches, removing substantially all the flowable oxide material from the second trench and a portion of the flowable oxide material from the first trenches, forming a thermal oxide material in the first trenches above the flowable oxide material and in the second trench, removing substantially all of the thermal oxide material from the second trench and a portion of the thermal oxide material from the first trenches, depositing a silicon dioxide material in the first trenches above the thermal oxide material and in the second trench, removing the silicon dioxide material from the first trenches, and forming a gate structure around the fin of the device.
US08673722B2 Strained channel field effect transistor and the method for fabricating the same
The present invention discloses a strained channel field effect transistor and a method for fabricating the same. The field effect transistor comprises a substrate, a source/drain, a gate dielectric layer, and a gate, characterized in that, an “L” shaped composite isolation layer, which envelops a part of a side face of the source/drain adjacent to a channel and the bottom of the source/drain, is arranged between the source/drain and the substrate; the composite isolation layer is divided into two layers, that is, an “L” shaped insulation thin layer contacting directly with the substrate and an “L” shaped high stress layer contacting directly with the source and the drain. The field effect transistor of such a structure improves the mobility of charge carriers by introducing stress into the channel by means of the high stress layer, while fundamentally improving the device structure of the field effect transistor and improving the short channel effect suppressing ability of the device.
US08673719B2 DRAM with a nanowire access transistor
A semiconductor nanowire is formed integrally with a wraparound semiconductor portion that contacts sidewalls of a conductive cap structure located at an upper portion of a deep trench and contacting an inner electrode of a deep trench capacitor. The semiconductor nanowire is suspended from above a buried insulator layer. A gate dielectric layer is formed on the surfaces of the patterned semiconductor material structure including the semiconductor nanowire and the wraparound semiconductor portion. A wraparound gate electrode portion is formed around a center portion of the semiconductor nanowire and gate spacers are formed. Physically exposed portions of the patterned semiconductor material structure are removed, and selective epitaxy and metallization are performed to connect a source-side end of the semiconductor nanowire to the conductive cap structure.
US08673717B2 Self-aligned process to fabricate a memory cell array with a surrounding-gate access transistor
A method to prevent a gate contact from electrically connecting to a source contact for a plurality of memory cells on a substrate. The method includes forming pillars with a doped silicon region on the substrate. An electrically conductive gate material is deposited between and over the pillars. The gate material is etched such that the gate material partially fills a space between the pillars. The pillars are then etched such that a pair of pillars from the pillars include an insulating material over the doped silicon region. A gate contact is deposited between the pair of pillars such that the gate contact electrically couples the gate material at a contact interface level, and the insulating material extends below the contact interface level.
US08673716B2 Memory manufacturing process with bitline isolation
A method of manufacturing an integrated circuit is provided with a semiconductor substrate having a core region and a periphery region. A charge-trapping dielectric layer is deposited in the core region, and a gate dielectric layer is deposited in the periphery region. Bitlines are formed in the semiconductor substrate in the core region and not in the periphery region. A wordline-gate layer is formed and implanted with dopant in the core region and not in the periphery region. A wordline and gate are formed. Source/drain junctions are implanted with dopant in the semiconductor substrate around the gate, and the gate is implanted with a gate doping implantation in the periphery region and not in the core region.
US08673711B2 Methods of fabricating a semiconductor device having a high-K gate dielectric layer and semiconductor devices fabricated thereby
A method of fabricating a semiconductor device includes forming a lower interfacial layer on a semiconductor layer, the lower interfacial layer being a nitride layer, forming an intermediate interfacial layer on the lower interfacial layer, the intermediate interfacial layer being an oxide layer, and forming a high-k dielectric layer on the intermediate interfacial layer. The high-k dielectric layer has a dielectric constant that is higher than dielectric constants of the lower interfacial layer and the intermediate interfacial layer.
US08673710B2 Formation of a channel semiconductor alloy by a nitride hard mask layer and an oxide mask
When forming sophisticated high-k metal gate electrode structures, the uniformity of the device characteristics may be enhanced by growing a threshold adjusting semiconductor alloy on the basis of a hard mask regime, which may result in a less pronounced surface topography, in particular in densely packed device areas. To this end, in some illustrative embodiments, a deposited hard mask material may be used for selectively providing an oxide mask of reduced thickness and superior uniformity.
US08673709B2 FinFETs with multiple fin heights
An integrated circuit structure includes a semiconductor substrate, and a FinFET over the semiconductor substrate. The FinFET includes a semiconductor fin; a gate dielectric on a top surface and sidewalls of the semiconductor fin; a gate electrode on the gate dielectric; and a source/drain region at an end of the semiconductor fin. A first pair of shallow trench isolation (STI) regions includes portions directly underlying portions of the source/drain regions, wherein the first pair of STI regions is separated by, and adjoining a semiconductor strip. The first pair of STI regions further has first top surfaces. A second pair of STI regions comprises portions directly underlying the gate electrode, wherein the second pair of STI regions is separated from each other by, and adjoining, the semiconductor strip. The second pair of STI regions has second top surfaces higher than the first top surfaces.
US08673689B2 Single layer BGA substrate process
Embodiments of the present disclosure provide semiconductor packaging techniques that form a substrate using metal and insulating materials. The substrate includes a first surface that is bonded to a semiconductor device and a second surface that is bonded to a printed circuit board. The substrate is formed using several techniques that minimize the amount of mask levels used to form the substrate. For example, a metal substrate is patterned to form a three dimensional pattern on the surface. A dielectric material is deposited on the three dimensional pattern. Using several patterning and polishing embodiments described herein, the metal/dielectric substrate is patterned and polished to form a substantially flush surface that is bonded to the semiconductor device. In one embodiment, the top surface of the metal/dielectric substrate is patterned to expose the underlying metal substrate and the bottom surface of the metal substrate is polished to be substantially flush with the dielectric material.
US08673683B2 Graphene field effect transistor
Manufacturing a semiconductor structure including: forming a seed material on an insulator layer; forming a graphene field effect transistor (FET) on the seed material; and forming an air gap under the graphene FET by removing the seed material.
US08673682B2 High order silane composition and method of manufacturing a film-coated substrate
A composition containing a high order silane compound and a solvent, wherein the solvent contains a cyclic hydrocarbon which has one or two double bonds and no alkyl group, is composed of only carbon and hydrogen and has a refractive index of 1.40 to 1.51, a specific permittivity of not more than 3.0 and a molecular weight of not more than 180. Method of manufacturing a film-coated substrate using the high order silane composition.
US08673680B2 Nanoneedle plasmonic photodetectors and solar cells
The present disclosure provides a method for a catalyst-free growth mode of defect-free Gallium Arsenide (GaAs)-based nanoneedles on silicon (Si) substrates with a complementary metal-oxide-semiconductor (CMOS)-compatible growth temperature of around 400° C. Each nanoneedle has a sharp 2 to 5 nanometer (nm) tip, a 600 nm wide base and a 4 micrometer (μm) length. Thus, the disclosed nanoneedles are substantially hexagonal needle-like crystal structures that assume a 6° to 9° tapered shape. The 600 nm wide base allows the typical micro-fabrication processes, such as optical lithography, to be applied. Therefore, nanoneedles are an ideal platform for the integration of optoelectronic devices on Si substrates. A nanoneedle avalanche photodiode (APD) grown on silicon is presented in this disclosure as a device application example. The APD attains a high current gain of 265 with only 8V bias.
US08673674B2 Organic light emitting diode display device and method of fabricating the same
An organic light emitting diode (OLED) display device and a method of fabricating the same is provided. Semiconductor layers of driving transistors located in two adjacent pixels included in the OLED display device may extend in different lengthwise directions. Thus, striped stains of the OLED display device can be improved.
US08673671B2 Methods and devices for fabricating tri-layer beams
Methods and devices for fabricating tri-layer beams are provided. In particular, disclosed are methods and structures that can be used for fabricating multilayer structures through the deposition and patterning of at least an insulation layer, a first metal layer, a beam oxide layer, a second metal layer, and an insulation balance layer.
US08673670B2 Micro-electro-mechanical system (MEMS) structures and design structures
Micro-Electro-Mechanical System (MEMS) structures, methods of manufacture and design structures are disclosed. The method includes layering metal and insulator materials on a sacrificial material formed on a substrate. The method further includes masking the layered metal and insulator materials. The method further includes forming an opening in the masking which overlaps with the sacrificial material. The method further includes etching the layered metal and insulator materials in a single etching process to form the beam structure, such that edges of the layered metal and insulator material are aligned. The method further includes forming a cavity about the beam structure through a venting.
US08673668B2 Test structure for controlling the incorporation of semiconductor alloys in transistors comprising high-k metal gate electrode structures
When forming critical threshold adjusting semiconductor alloys and/or strain-inducing embedded semiconductor materials in sophisticated semiconductor devices, at least the corresponding etch processes may be monitored efficiently on the basis of mechanically gathered profile measurement data by providing an appropriately designed test structure. Consequently, sophisticated process sequences performed on bulk semiconductor devices may be efficiently monitored and/or controlled by means of the mechanically obtained profile measurement data without significant delay. For example, superior uniformity upon providing a threshold adjusting semiconductor alloy in sophisticated high-k metal gate electrode structures for non-SOI devices may be achieved.
US08673664B2 Method of manufacturing photodiode with waveguide structure and photodiode
A process to form a photodiode (PD) with the waveguide structure is disclosed. The PD processes thereby reduces a scattering of the parasitic resistance thereof. The process includes steps to form a PD mesa stripe, to bury the PD mesa stripe by the waveguide region, to etch the PD mesa stripe and the waveguide region to form the waveguide mesa stripe. In the etching, the lower contact layer plays a role of the etching stopper.
US08673663B2 Method for manufacturing array substrate of transflective liquid crystal display
A method for manufacturing an array substrate of a transflective LCD includes: (1) providing a substrate; (2) forming a transparent electrode layer on the substrate and forming a first metal layer on the transparent electrode layer; (3) applying a first photo-masking operation to form a gate terminal and a pixel electrode; (4) forming an insulation layer on the gate terminal and the pixel electrode; (5) applying a second photo-masking operation to form a gate insulation layer on the insulation layer; (6) forming a semiconductor layer on the gate insulation layer and forming a second metal layer on the semiconductor layer and the pixel electrode; and (7) applying a third photo-masking operation to form a channel layer on the semiconductor layer and also forming a drain terminal, a source terminal, and a reflector section on the second metal layer, so as to form a thin-film transistor.
US08673660B2 Method of producing liquid ejection head
Provided is a method of producing a liquid ejection head substrate, the method including, in sequence; grinding a second surface of a silicon substrate, which is an opposite surface of a first surface on which a function element is formed, polishing the ground second surface, etching the polished second surface by reactive ion etching using ion incident energy, forming an etching mask on the second surface after the reactive ion etching, and forming a liquid supply port by subjecting the silicon substrate to wet etching using the etching mask.
US08673656B2 Method and device for measuring temperature during deposition of semiconductor
Provided is a method and a device for measuring a temperature which can recognize the temperature of a semiconductor layer directly with high precision when the semiconductor layer is formed by deposition. The quantity of laser light transmitted to a semiconductor layer is monitored by a photodetector by using laser light having a wavelength λs at which the transmittance of light changes abruptly when the temperature of the semiconductor layer reaches Ts during or after deposition. When heat being given to the semiconductor layer is changed, the quantity of laser light monitored by the photodetector changes abruptly when the temperature of the semiconductor layer reaches Ts at a time A, B or C. Consequently, the fact that the temperature of the semiconductor layer reached Ts at a time A, B or C can be recognized exactly, and an error in temperature information observed by a device for measuring temperature variations can be calibrated, for example.
US08673652B2 Immunoassay with extended detection window
The immunoassay method and kit are provided for the detection and/or the determination of zolpidem. The disclosure provides novel antibodies, derived from a novel immunogen, that are highly sensitive and bind to zolpidem and its main urinary metabolite [3-(2-N,N-dimethylamino-2-oxoethyl)-6-methylimidazo[1,2-a]pyridin-2-yl]benzoic acid, enabling an extension of the detection window of zolpidem in individuals who have abused the drug, or have been victim of its side-effects or its criminal misuse.
US08673649B2 Wireless chemical sensor and sensing method for use therewith
A wireless chemical sensor includes an electrical conductor and a material separated therefrom by an electric insulator. The electrical conductor is an unconnected open-circuit shaped for storage of an electric field and a magnetic field. In the presence of a time-varying magnetic field, the first electrical conductor resonates to generate harmonic electric and magnetic field responses. The material is positioned at a location lying within at least one of the electric and magnetic field responses so-generated. The material changes in electrical conductivity in the presence of a chemical-of-interest.
US08673648B2 Sugar analysis device and analysis method
Disclosed is a method separation analysis of reducing sugars with enhanced sensitivity and an analytical apparatus therefore employing the post-column fluorescence detection/boric acid complex anion exchange method. The method disclosed is a method for analysis of reducing sugars using the post-column fluorescence detection/boric acid complex anion exchange method, and characterized in that a back-pressure generator is installed in the flow path between the heater, which is for causing a reaction by heating a sample separated by column chromatography with a basic amino acid, and a fluorometric detector.
US08673647B2 Stress evaluating apparatus, method, system and program and recording medium therefor
According to the method of evaluating stress of the present invention, amino acid concentration data on the concentration value of amino acid in blood collected from a subject to be evaluated is measured, and a stress state including at least depressive illness and major depressive illness in the subject is evaluated based on the concentration value of at least one of Lys, His, ABA, Asn, Phe, Leu, Ile, Val, Trp, Tyr and Met contained in the measured amino acid concentration data of the subject.
US08673642B2 Enhanced scheduling sample processing system and methods of biological slide processing
A sample processing system 101 that may be automated and methods are disclosed where sample(s) 198 are arranged on a carrier element 197 and a process operation control system 171 automatically processes the sample(s) perhaps robotically according to an desired aggregation of event dictated by an input 173. Alteration of an initial aggregated event topology may be accepted while the system is processing an initial aggregation and varied-parameter robotic control simulation functionalities 606 may be accomplished to determine an enhanced sequence for processing. Suggested operator actions may be displayed that might further enhance the scheduling of the altered aggregated event topology together with an automatic operator need prompt 608 that may inform an operator of a need for a particular action in order to accomplish the desired tasks. Reversibility to proposed changes may be made available so that an operator may avoid having to activate proposed changes if they cause a processing result that is not acceptable.
US08673639B2 Methods for isolating stem cells
Disclosed is a method for isolating stem cells. The method uses a specially designed apparatus including: a container containing an aspirate; a piston having an outer diameter corresponding to the inner diameter of the container and having at least one through-hole; and a connection tube adapted to feed an enzyme or a washing solution into the container through the through-hole, having a tip connected to the through-hole, and connected to an external tube or another container containing the enzyme or washing solution at the other end thereof. The method includes pulling the piston backward to form a negative pressure in the container containing the aspirate and to allow the enzyme or washing solution to enter the container containing the aspirate through the connection tube and the through-hole of the piston.
US08673634B2 Method for the treatment of hearing loss
This invention relates generally to methods and compositions for inducing stem cell or progenitor cell differentiation, and more particularly to methods and compositions for inducing differentiation of stem cells and/or progenitor cells into cells that function within the inner ear.
US08673633B2 Method for producing induced pluripotent stem cells with high efficiency and induced poluripotent stem cells prouced thereby
The present invention provides a method for producing customized pluripotent stem cells. Specifically, the present invention comprises following steps: extracting proteins from any of the dedifferentiated stem cells or induced pluripotent stem cells, the said dedifferentiated or pluripotent stem cells being prepared by any known method; introducing the protein extract into the adult somatic cells; and culturing the adult somatic cells to produce pluripotent stem cells having the same pluripotency as that of embryonic stem cells. In addition, pluripotent stem cells produced according to the present method and cell therapeutics comprising the same are provided. The method allows pluripotent stem cells to be produced very easily and at a significantly higher yield, compared to typical methods.
US08673629B2 Recombinant Rift Valley fever (RVF) viruses and methods of use
Described herein are recombinant RVF viruses comprising deletions in one or more viral virulence genes, such as NSs and NSm. The recombinant RVF viruses, generated using a plasmid-based reverse genetics system, can be used as vaccines to prevent infection of RVF virus in livestock and humans. As described herein, the recombinant RVF viruses grow to high titers, provide protective immunity following a single injection and allow for the differentiation between vaccinated animals and animals infected with wild-type RVF virus.
US08673626B2 Biosensor for analyzing quantitatively analyte with a predetermined size and larger than, and manufacturing method thereof
The present invention discloses a biosensor for quantitatively analyzing a bio-material and a manufacturing method thereof. The biosensor has an exposed conductive region of a few-nanometer scale distributed on an insulated metallic substrate in a desired pattern or randomly. The quantitative analysis of protein can be carried out by means of simplified procedures, without the necessity of rinsing out a signal-producing material, which is non-specifically bonded to the materials to be analyzed. The biosensor utilizes only the size of the molecules, and thus can be universally used for the analysis of bio-materials. A selective and separate analysis can be realized in which interference caused by other materials is significantly reduced.
US08673624B2 Calibratable sensor unit for reaction vessels
The present invention relates to a calibratable sensor unit for a reaction vessel, such as for example a fermenter (48), in particular a disposable fermenter, comprising at least one sensor device (14) to be calibrated and at least one compartment (26, 28, 30) containing a calibrating agent, the at least one sensor device and the at least one compartment (26, 28, 30) being accommodated movably relative to one another in a housing (12) that is connected to or can be connected to the reaction vessel (48), wherein the calibrating unit (10) is arranged to calibrate the at least one sensor device (14) by contact with the calibrating agent before chemical and/or physical parameters of a measurement substance are measured with the at least one sensor device (14). According to the invention it is proposed that the sensor device (10) is configured in such a way that the relative movement between the at least one sensor device (14) and the at least one compartment (26, 28, 30) can be carried out irreversibly.
US08673623B2 Apparatus for performing magnetic electroporation
An apparatus for performing magnetic electroporation is disclosed. A required electric field for electroporation is generated using a pulsed magnetic field through a closed magnetic yoke, such as a toroid, placed in a flow path of a fluid medium to be processed. The fluid medium flows through the orifice of the magnetic yoke, with the fluid medium flowing through and around the yoke. The required power to send a maximum flux through the magnetic yoke is less than the required power in a conventional apparatus for performing electroporation.
US08673622B2 Cell chip and method for manufacturing the same
Disclosed herein are a cell chip and a method for manufacturing the same. The cell chip may include: a substrate; and a first contact member disposed on the substrate, wherein a top end of the first contact member is provided with a first inclined contact surface inclined with respect to the substrate.
US08673616B2 Lactococcus lactis strain with high vitamin K2 production
The present invention relates to a novel wild-type Lactococcus lactis subsp. cremoris bacteria strain with increased vitamin K2 production and mutants and variants thereof and methods for preparation of a fermented food or feed product enriched in vitamin K2 and a vitamin K enriched edible product for amelioration and/or prevention of vitamin K deficiency. The present invention also relates to the fermented food or feed product and the edible product obtainable by the methods herein and to the wild-type Lactococcus lactis subsp. cremoris bacteria strain for use in treatment and/or prevention of vitamin K deficiency in a mammal, such as a human.
US08673615B2 Enhanced photosynthesis and photocatalysis water treatment/biomass growth process
A process utilizing enhanced photosynthesis and photocatalysis to purify water and/or utilize CO2 on a large scale by growing biomass in reduced time and space in a closed, continuous-flow system. Added CO2 and balanced nutrients combine with light to increase the growth rate of autotrophic microalgae which require CO2 for growth and produce oxygen. Organic and inorganic compounds and chemical toxins are mineralized in photocatalysis using such produced oxygen, which are then absorbed (metabolized) in the microalgal biomass that is harvested.
US08673614B2 Anaerobic microbial composition and methods of using same
A microbial composition for concurrent dechlorination of a mixture of chlorinated ethanes and chlorinated ethenes includes a isolated consortium of bioremediative microorganisms comprising strains of microorganism comprising Clostridium, Acetobacterium, Dehalobacter, Bacteroides, and Proteobacteria. The composition may also include Methanomicrobia.
US08673613B2 Influenza B viruses having alterations in the hemaglutinin polypeptide
The present invention encompasses methods of producing influenza B viruses in cell culture. The influenza B viruses may have desirable characteristics, such as enhanced replication in eggs and may be used, for example, in vaccines and in methods of treatment to protect against influenza B virus infection.
US08673611B2 Variant Humicola grisea CBH1.1
Disclosed are variants of Humicola grisea Cel7A (CBH1.1), H. jecorina CBH1 variant or S. thermophilium CBH1, nucleic acids encoding the same and methods for producing the same. The variant cellulases have the amino acid sequence of a glycosyl hydrolase of family 7A wherein one or more amino acid residues are substituted.
US08673610B2 High fidelity restriction endonucleases
Compositions and methods are provided for enzymes with altered properties that involve a systematic approach to mutagenesis and a screening assay that permits selection of the desired proteins. Embodiments of the method are particularly suited for modifying specific properties of restriction endonucleases such as star activity. The compositions includes restriction endonucleases with reduced star activity as defined by an overall fidelity index improvement factor.
US08673609B2 Buttiauxella sp. phytase variants
Provided herein are variants of Buttiauxella sp. phytases that may be used in industrial applications including methods for starch liquefaction, alcohol fermentations and for enhancing phosphate digestion in foods and animal feeds.
US08673605B2 Method for inducing differentiation of adult stem cells and nerve cells using electromagnetic field
The present invention relates to a method for differentiation of mesenchymal stem cells or dental pulp stem cells. More specifically, the invention relates to a method for differentiating stem cells to neural cells by applying mesenchymal stem cells or dental pulp stem cells with a low-frequency electromagnetic field. The differentiation method according to the present invention can induce differentiation even with low-cost mediums rather than induced neural differentiation mediums which are expensive due to addition of growth factors, and the neural cells differentiated according to the present invention may be useful for treatment of neurological brain diseases.
US08673604B1 Clavispora spp. strain
Disclosed is a novel Clavispora spp. yeast strain, NRRL Y-50464, that produces ethanol. More specifically, the yeast strain is able to utilize cellobiose as a sole carbon source and produce native β-glucosidase enzyme activity under a one-step simultaneous saccharification and fermentation of cellulose to ethanol.
US08673601B2 Methods and organisms for growth-coupled production of 3-hydroxypropionic acid
The invention provides a non-naturally occurring microorganism having one or more gene disruptions, the one or more gene disruptions occurring in genes encoding an enzyme obligatory coupling 3-hydroxypropionic acid production to growth of the microorganism when the gene disruption reduces an activity of the enzyme, whereby the one or more gene disruptions confers stable growth-coupled production of 3-hydroxypropionic acid onto the non naturally occurring microorganism. The disruptions can be complete gene disruptions and the non-naturally occurring organisms can include a variety of prokaryotic or eukaryotic microorganisms. A method of producing a non-naturally occurring microorganism having stable growth-coupled production of 3-hydroxypropionic acid is further provided. The method includes: (a) identifying in silico a set of metabolic modifications requiring 3-hydroxypropionic acid production during exponential growth, and (b) genetically modifying a microorganism to contain the set of metabolic modifications requiring 3-hydroxypropionic acid production.
US08673593B2 Antibodies to alpha-synuclein
The invention provides methods for detecting alpha-synuclein. The invention also identifies preferred epitopes of alpha synuclein for use in such detection, and provides antibodies specifically binding to such epitopes.
US08673590B2 Method for producing dipeptide
The invention provides a microorganism having an ability to produce a protein having a dipeptide synthesizing activity and in which an activity of the protein to transport a dipeptide in a microbial cell to theoutside of the microbial cell is higher than that of a parental strain.
US08673589B2 Inducible eukaryotic expression system
Compositions and methods for the inducible expression of genes in eukaryotic cells are provided. Expression of a nucleotide sequence of interest encoding a protein of interest is controlled by a regulatory fusion protein that consists of a transcription blocking domain and a ligand-binding domain. When a cognate ligand for the ligand-binding domain is present, transcription of the nucleotide sequence of interest is blocked. Upon removal of the cognate ligand, the nucleotide sequence of interest is transcribed. The method is useful for large scale bioreactor production of a desired protein of interest in eukaryotic cells.
US08673588B2 Composition and method for handling tissue samples
The present invention relates to a composition and method for handling tissue samples for analysis. In particular the present invention relates to a composition and method for orientating tissue for histological and/or pathological laboratory analysis.
US08673587B2 Methods for identifying allosteric and other novel acyl-coenzyme A:cholesterol acyltransferase inhibitors
The present invention is a method for identifying compounds that are allosteric and/or other novel ACAT inhibitors that is based on the novel finding that pregnenolone is a substrate for ACAT; esterification of pregnenolone by ACAT is dramatically activated when cholesterol is present in the assay. The method comprises measuring the esterification of pregnenolone by ACAT under two different conditions: with cholesterol, or without cholesterol. This method can be used to test and categorize various candidate ACAT inhibitors as allosteric or other novel ACAT inhibitors, or it can be used in high-throughput screening for identifying such ACAT inhibitors.
US08673586B2 Compounds that interact with kinases
A method of inhibiting or effecting the activity of protein kinase activity which comprises contacting a protein kinase with a compound of formula (I) being a derivative of a furanose or pyranose form of a monosaccharide, or a pharmaceutically acceptable salt thereof.
US08673585B2 Biochemical serum marker
The present invention relates to a method for detecting the presence and/or concentration of the soluble BAG3 protein in an unknown biological sample and the assay is preferably carried by ELISA assay with antibodies, preferably monoclonal antibodies. The presence of said protein in a soluble form is associated with heart disease or with the presence of pancreatic tumors.
US08673580B2 Agent for recruitment of bone-marrow-derived pluripotent stem cell into peripheral circulation
The present invention for the first time demonstrated that: (1) bone marrow-derived pluripotent tissue stem cells can be induced in peripheral blood by intravenously administering tissue extract prepared from isolated skin pieces; (2) the substance in the isolated skin pieces, which is responsible for mobilizing bone marrow-derived pluripotent tissue stem cells to peripheral blood, is HMGB1; and (3) HMGB1 with the activity of mobilizing bone marrow-derived pluripotent stem cells to peripheral blood can be easily purified from cultured cells.
US08673578B2 Methods of identifying antagonists of the hTAS2R46 bitter taste receptor
The present invention relates to antagonists and agonists of the human bitter-taste receptors hTAS2R40, hTAS2R43, hTAS2R44, hTAS2R46, and hTAS2R47. The invention also relates to methods for identifying further molecules that suppress or enhance bitter taste transduction or bitter taste response mediated by hTAS2R40, hTAS2R43, hTAS2R44, hTAS2R46, and/or hTAS2R47 and uses thereof.
US08673575B2 Method for carrying out a qualitative preliminary instant diagnosis of oncologic diseases
The invention relates to medicine, in particular to a method for carrying out a preliminary instant diagnosis of oncologic diseases. The method involves sampling a patient's blood, mixing the sample with a reagent and recording reaction results. A supernatant liquid, which is produced by cultivating the finite cell line of a porcine embryo kidney culture in anaerobic conditions, is used as a reagent. The presence of oncologic disease is determined according to a positive reaction of erythrocytes and a negative or positive reaction of a citrated blood with the reagent. The inventive method makes it possible to make a preliminary conclusion about the presence of oncologic disease within a short time.
US08673572B2 Methods used in identifying glioblastoma
The invention encompasses methods and kits used in the identification of invasive glioblastoma based upon the expression of Akt1, Akt2, and Akt3. The methods and kits also allow prediction of disease outcome and staging of patients with regard to therapy.
US08673570B2 System and methods to quantify and amplify both signaling and probes for cDNA chips and gene expression microarrays
The invention provides a series of reagent compositions and methods for making and amplifying novel cDNA based probe sets from RNA samples to improve analysis with gene expression arrays. The methods globally produce probe sets with common universal linkers at one or both ends, called WRAP-Probes, wherein the linkers do not bind to the target sequences and they can efficiently bind added reporters to the probes. The universal linkers are also designed as primer binding sites for copying and amplifying the probes, either linearly with one linker, or exponentially with double linkers. The capacity to globally and exponentially amplify the probe set by PCR is a primary advantage. Adding reporters by terminal linkers also improves quantification since each probe gets equivalent signaling. The invention allows expression analysis of small research, clinical and forensic samples to enable improved diagnostics, drug discovery, therapeutic monitoring, and medical, agricultural and general research.
US08673569B2 Primers for nucleic acid extension or amplification reactions
Disclosed are methods and compositions for use in nucleic acid amplification or extension reactions.
US08673568B2 Molecular typing and subtyping of Salmonella by identification of the variable nucleotide sequences of the CRISPR loci
The present invention relates to a method for detecting and identifying bacteria of the Salmonella genus by identification of the variable nucleotide sequences contained in the CRISPR loci of these bacteria, and also to diagnostic reagents, such as oligonucleotide primers and probes, for molecular typing and subtyping of these bacteria.
US08673565B2 Methods and compositions for risk prediction, diagnosis, prognosis, and treatment of pulmonary disorders
The invention provides diagnostic and therapeutic targets for pulmonary disease, in particular, fibrotic lung disease. The inventors have found that a genetic variant MUC5B gene is associated with increased expression of the gene, increased risk of developing a pulmonary disease, and an improved prognosis and survival among those developing the pulmonary disease.
US08673562B2 Using non-overlapping fragments for nucleic acid sequencing
The invention provides methods and kits for ordering sequence information derived from one or more target polynucleotides. In one aspect, one or more tiers or levels of fragmentation and aliquoting are generated, after which sequence information is obtained from fragments in a final level or tier. Each fragment in such final tier is from a particular aliquot, which, in turn, is from a particular aliquot of a prior tier, and so on. For every fragment of an aliquot in the final tier, the aliquots from which it was derived at every prior tier is known, or can be discerned. Thus, identical sequences from overlapping fragments from different aliquots can be distinguished and grouped as being derived from the same or different fragments from prior tiers. When the fragments in the final tier are sequenced, overlapping sequence regions of fragments in different aliquots are used to register the fragments so that non-overlapping regions are ordered. In one aspect, this process is carried out in a hierarchical fashion until the one or more target polynucleotides are characterized, e.g. by their nucleic acid sequences, or by an ordering of sequence segments, or by an ordering of single nucleotide polymorphisms (SNPs), or the like.
US08673558B2 Luciferase biosensor
A modified beetle luciferase protein which is an environmentally sensitive reporter protein is provided.
US08673556B2 Methods and apparatus for characterizing polynucleotides
Systems and methods for analysis of polymers, e.g., polynucleotides, are provided. The systems are capable of analyzing a polymer at a specified rate. One such analysis system includes a structure having a nanopore aperture and a molecular motor, e.g., a polymerase, adjacent the nanopore aperture.
US08673553B2 Recombinant bacteriophage and methods for their use
Recombinant P4 bacteriophage containing modified tail fibers having a base plate attachment region (BPAR) from a P2 bacteriophage gene H product and a heterologous receptor binding domain (RBD) are disclosed. Methods for the use of the recombinant P4 bacteriophage, such as to detect the presence of a target bacterium in a sample, are also described.
US08673549B2 Molecular markers of plant embryogenesis
The present invention relates generally to a molecular marker for a plant physiological process and more particularly for plant embryogenesis. The molecular marker is, in one form, a genetic sequence from a monocot plant such as but not limited to oil-palm plants. In another form, the molecular marker is a polypeptide encoded by said genetic sequence. More particularly, the molecular marker of the present invention enables embryogenic tissue to be detected in vitro. The early detection of embryogenic tissue enables non-embryogenic tissue to be discarded. The ability to detect embryogenesis facilitates maximization of embryogenic potential. The present invention further contemplates a molecular marker comprising in one form a sequence of nucleotides encoding an antioxidant or in another form a sequence of amino acids defining a polypeptide having antioxidant activity. The antioxidant according to this aspect of the present invention is particularly useful in tablet or cream form as an anti-aging agent. The molecular markers of the present invention therefore also have uses in the inhibition or retardation of apoptotic processes. Such an effect has benefits in both plant and animal cells. The present invention further contemplates a promoter sequence encoding the molecular marker and its use in generating male sterile plants.
US08673547B2 Method for isolation of afterbirth derived cells
A method for extracting cells from afterbirth tissue, including placing the afterbirth into a perfusion circuit prior to exsanguination, extracting the cells from the afterbirth with digestive enzymes and mechanically recovering cells from the digested afterbirth tissue, and isolating the cells from the perfusate and digestion mix. Also disclosed is a cell line derived from afterbirth using the two-step pulsatile perfusion extraction method.
US08673546B2 Process for forming a hydrophilic coating and hydrophilic coating, and process for forming an ink jet recording head and ink jet recording head
A process for forming a hydrophilic coating, including (1) forming, on a substrate, coating resin layer including a cationic polymerization resin having an acid-cleavable linkage in its main chain, and a photoacid generator which generates antimonic acid or an acid having a weaker acid strength than that of antimonic acid by irradiation with active energy ray including ultraviolet light; (2) laminating, on the resin layer, a photoacid generator holding layer including a photoacid generator which generates an acid having a stronger acid strength than that of antimonic acid by irradiation with the energy ray, and a holder which holds the photoacid generator and can be removed in step (3); (3) removing the holding layer and curing the resin layer through exposure of those layers to the energy ray to conduct development; and (4) forming a hydrophilic coating by hydrophilizing a surface of the resin layer through heat treatment thereof.
US08673541B2 Block copolymer assembly methods and patterns formed thereby
Patterned substrates templates are provided, as well as methods comprising a combination of lithography and self-assembly techniques. The patterned substrates may comprise first and second patterns.
US08673538B2 Actinic ray-sensitive or radiation-sensitive resin composition, and actinic ray-sensitive or radiation-sensitive film and pattern forming method using the composition
Provided is an actinic ray-sensitive or radiation-sensitive resin composition containing a compound (A) which contains at least one phenolic hydroxyl group and at least one group where a hydrogen atom in a phenolic hydroxyl group is substituted by a group represented by the following General Formula (1) (in the formula, each of R11 and R12 independently represents a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, or an aralkyl group; X11 represents an aryl group; M11 represents a single bond or a divalent linking group; and Q11 represents an alkyl group, a cycloalkyl group or an aryl group, wherein the number of carbon atoms which are included in the group represented by -M11-Q11 is 3 or more, and at least two of R11, R12, Q11, and X11 may form a ring by bonding to each other).
US08673537B2 Photo-curable resin composition, pattern forming method and substrate protecting film, and film-shaped adhesive and adhesive sheet using said composition
The invention relates to a photo-curable resin composition, which contains a polyimide silicone having a primary alcoholic hydroxyl group, as a component (A); at least one compound selected from the group consisting of an amino condensation product modified with formalin or a formalin-alcohol and a phenol compound having two or more in average of methylol group or alkoxymethylol group in one molecule thereof, as a component (B); and a photo-acid generator as a component (C). When used as an adhesive, the photo-curable resin composition further contains a multifunctional epoxy compound as a component (D).
US08673536B2 Photosensitive polymeric networks
The present invention relates to amorphous photosensitive networks. The networks are characterized by good shape memory properties.
US08673534B2 Particulate material production method and apparatus, toner production method and apparatus, and toner
The particulate material production method includes vibrating a particulate material composition liquid in a liquid column resonance chamber having at least one nozzle to form a standing wave in the particulate material composition liquid caused by liquid column resonance, so that droplets of the particulate material composition liquid are ejected in a droplet ejection direction from the nozzle so as to fly in a space in a flight direction; feeding a gas in a direction substantially perpendicular to the droplet ejection direction to change the flight direction of the ejected droplets; and solidifying the droplets in the space to produce a particulate material. The particulate material composition liquid includes at least a solvent and a component of the particulate material dissolved or dispersed in the solvent, and the nozzle is located at a location corresponding to an anitnode of the standing wave.
US08673531B2 Liquid developer, process cartridge, image forming apparatus, and image forming method
The present invention provides a liquid developer including an insulating carrier liquid and toner particles containing a binder resin, the binder resin including a styrene-based thermoplastic elastomer and a styrene thermoplastic resin, and the toner particles being dispersed in the insulating carrier liquid.
US08673529B2 Magnetic carrier for electrophotographic developer and process for producing the same, and two-component system developer
The present invention relates to a magnetic carrier for an electrophotographic developer comprising spherical composite particles comprising spherical composite core particles comprising at least ferromagnetic iron oxide fine particles and a cured phenol resin and having an average particle diameter of 1 to 100 μm, and a melamine resin coating layer formed on the respective core particles, wherein a ratio of R100 to R300 (R100/R300) in which R100 is an electric resistance value as measured when applying a voltage of 100 V to the magnetic carrier; and R300 is an electric resistance value as measured when applying a voltage of 300 V to the magnetic carrier, is controlled to lie within the range of 1 to 50. The magnetic carrier according to the present invention is capable of maintaining an adequate electric resistance value upon development, providing images having an excellent image quality, exhibiting a good durability, obtaining a good reproducibility of uniform solid image portions having a high image density, and keeping high quality images having an excellent gradation for a long period of time.
US08673526B2 Electrophotographic photoreceptor, process cartridge, and image forming apparatus
Provided is an electrophotographic photoreceptor including a substrate and an outermost layer containing a cured film of a composition containing a compound having a chain polymerizable functional group and a charge transporting skeleton in the same molecule, and at least one chain transfer agent selected from a compound having 4 or more primary thiol groups and a compound having 2 or more secondary thiol groups.
US08673521B2 Blank substrates for extreme ultra violet photo masks and methods of fabricating an extreme ultra violet photo mask using the same
Blank substrates for an extreme ultraviolet (EUV) photo mask are provided. The blank substrate includes a substrate, a reflection layer on the substrate, an absorption layer on the reflection layer opposite to the substrate, and a critical dimension (CD) compensation layer on the absorption layer opposite to the reflection layer. Methods of forming an extreme ultraviolet (EUV) photo mask using the blank substrate are also provided.
US08673520B2 Intensity selective exposure photomask
An intensity selective exposure photomask, also describes as a gradated photomask, is provided. The photomask includes a first region including a first array of sub-resolution features. The first region blocks a first percentage of the incident radiation. The photomask also includes a second region including a second array of sub-resolution features. The second region blocks a second percentage of the incident radiation different that the first percentage. Each of the features of the first and second array includes an opening disposed in an area of attenuating material.
US08673519B2 Aid for electrical contacting of high-temperature fuel cells and method for production thereof
A double-sided adhesive metal-based tape for use as contacting aid for SOFC fuel cells is provided. The double-sided metal-based adhesive tape is suitable for simplifying the construction of cell bundles. The double-sided metal-based adhesive tape is used for electrical contacting of the cell connector with the anode and for electrical contacting of the interconnector of the fuel cells with the cell connector. A method for producing the double-sided adhesive metal-base tape is also provided.
US08673516B2 Surface pressure controlling device for fuel cell stack
The present invention provides a surface pressure controlling device for a fuel cell stack, in which an inflator capable of being expanded by pneumatic or hydraulic pressure is mounted in an end plate so as to control the surface pressure required for the assembly of the fuel cell stack to be maintained above a predetermined level.
US08673515B2 Diagnosis and remediation of low anode hydrogen partial pressure in a PEM fuel cell system
A system and method for preventing anode reactant starvation. The system includes a hydrogen source, an anode bleed valve, and a cell voltage monitor. The system also includes an anode sub-system pressure sensor and a controller configured to control the anode sub-system. The controller determines the average cell voltage and estimates the hydrogen molar fraction and/or nitrogen molar fraction in the anode sub-system. The controller also receives measurement data from the cell voltage monitor and the pressure sensor, and determines whether there is a decrease in the minimum cell voltage in response to changes in the anode pressure. If the controller detects a decrease in the minimum cell voltage in response to changes in the anode pressure, the controller corrects for the decrease by increasing anode pressure and/or by decreasing the molar fraction of nitrogen in the anode sub-system.
US08673510B2 Systems and methods for maintaining hydrogen-selective membranes during periods of inactivity
The present disclosure is directed to systems and methods for maintaining hydrogen-selective membranes during periods of inactivity. These systems and methods may include heating and maintaining at least the hydrogen-selective membrane of a hydrogen-producing fuel processing system in a thermally buffered state and/or controlling the chemical composition of the gas streams that may come into contact with the hydrogen-selective membrane. Controlling the chemical composition of the gas streams that may come into contact with the hydrogen-selective membrane may include maintaining a positive pressure of an inert, blanket, reducing, and/or non-oxidizing gas within the membrane separation assembly and/or periodically supplying a reducing gas stream to the membrane separation assembly. These systems and methods may further include periodically supplying a feed stream to a hydrogen-producing region of the hydrogen-producing fuel processing system to produce a mixed gas stream and supplying the mixed gas stream to the membrane separation assembly.
US08673509B2 Fuel-cell systems operable in multiple modes for variable processing of feedstock materials and associated devices, systems, and methods
Fuel cells for selectively reacting a feedstock material with or without generating electricity, and associated systems and methods are disclosed. A fuel cell system in accordance with a particular embodiment includes a first electrode positioned in a first region, a second electrode positioned in a second region, an electrolyte between the first and second regions, and an electrical circuit connected between the first and second electrodes. The system can further include a material collector in the first region to collect a non-gaseous reaction product from a non-electricity-generating reaction of the feedstock material in the first region. A controller receives an input corresponding to an instruction to control the rate of reaction product production and/or electrical current production. In response, the controller can partially or completely interrupt electron flow along the electrical circuit and/or change a rate at which reactants other than the feedstock material are supplied to the fuel cell.
US08673507B2 Inhibitor of reduction of life cycle of redox shuttle additive and non-aqueous electrolyte and secondary battery comprising the same
Disclosed is an inhibitor of the reduction of life cycle of a redox shuttle additive that undergoes oxidation-reduction cycling, the inhibitor being at least of one compound selected from the group consisting of vinylene carbonates, ethylene carbonates, cyclic sulfites and unsaturated sultones. Also, Disclosed is a non-aqueous electrolyte and secondary battery comprising the same inhibitor.
US08673506B2 Non-aqueous electrolyte and lithium secondary battery having the same
A non-aqueous electrolyte includes (i) a cyclic anhydride; (ii) an electrolyte solvent containing carbonate and linear saturated ester; and (iii) an electrolyte salt. Since the linear saturated ester and cyclic anhydride are used in mixture as components of an electrolyte, it is possible to minimize problems caused by using either of the linear saturated ester or cyclic anhydride and to improve life cycle performance of the secondary battery and charging/discharging characteristics at room temperature or a high temperature.
US08673505B2 Non-aqueous electrolyte secondary battery
A non-aqueous electrolyte secondary battery including a unit cell including a positive electrode, a negative electrode, a separator disposed between the positive electrode and the negative electrode, and a non-aqueous electrolyte, the positive electrode capacity being greater than the negative electrode capacity, and at least a portion of the non-aqueous electrolyte is gasified during charging.
US08673501B2 Anode and battery using same
An anode and a battery, which have a high capacity and can improve battery characteristics such as large current discharge characteristics and low temperature discharge characteristics are provided. An anode has an anode current collector and an anode active material layer provided on the anode current collector. The density of the anode active material layer is in the range from 1.5 g/cm3 to 1.8 g/cm3. Further, the anode active material layer contains a granulated graphite material which is obtained by granulating a flat graphite particle in nodular shape and mesocarbon microbeads. Thereby, the granulated graphite material is prevented from being destroyed, and diffusion path of lithium ions is secured.
US08673500B2 Active material for batteries, non-aqueous electrolyte battery, and battery pack
According to one embodiment, an active material for batteries includes monoclinic β-type titanium composite oxide having a crystallite, wherein the monoclinic β-type titanium composite oxide has a first diameter of the crystallite calculated from a peak present at an angle 2θ of 48 to 49° and a second diameter of the crystallite calculated from a peak present at an angle 2θ of 24 to 26°, by the wide-angle X-ray diffraction method using an X-ray source CuKα ray, the first diameter of the crystallite is defined as X and the second diameter of the crystallite is defined as Y, X is larger than Y.
US08673495B2 Silver oxyfluoride electrode compositions and methods
The present invention relates to a novel class of silver oxyfluoride based electrode materials based on the perovskite structure used in primary and rechargeable electromechanical energy storage systems.
US08673493B2 Indium-tin binary anodes for rechargeable magnesium-ion batteries
A rechargeable magnesium-ion battery includes a first electrode, a second electrode, and an electrolyte layer between the first electrode and the second electrode. The electrolyte includes a source of magnesium ions, such as a magnesium salt. The first electrode includes an active material, the active material including indium and tin, for example as a solid solution or intermetallic compound of indium and tin.
US08673492B2 Cathode plate for non-aqueous electrolyte secondary battery, method for producing the same, and non-aqueous electrolyte secondary battery
A negative electrode plate for a nonaqueous electrolyte secondary battery, which includes a collector, and an electrode active material layer that is arranged on the collector. The electrode active material layer contains a negative electrode active material, and a metal oxide or an elemental metal. The negative electrode active material is firmly affixed onto the collector by the metal oxide or elemental metal.
US08673490B2 High energy lithium ion batteries with particular negative electrode compositions
Combinations of materials are described in which high energy density active materials for negative electrodes of lithium ion batteries. In general, metal alloy/intermetallic compositions can provide the high energy density. These materials can have moderate volume changes upon cycling in a lithium ion battery. The volume changes can be accommodated with less degradation upon cycling through the combination with highly porous electrically conductive materials, such as highly porous carbon and/or foamed current collectors. Whether or not combined with a highly porous electrically conductive material, metal alloy/intermetallic compositions with an average particle size of no more than a micron can be advantageously used in the negative electrodes to improve cycling properties.
US08673488B2 Lithium secondary battery
A lithium ion secondary battery capable of charging in 15 minutes or less has a cathode with a composite layer on a surface of a collector having an active material and a conducting agent, an anode with an active material, an insulator between the cathode and anode, and an electrolyte with lithium ions. The cathode active material is represented by LixMPO4, where M is a metal atom and 0
US08673485B2 Cylindrical battery and gasket for use in same
A cylindrical battery gasket that will not functionally deteriorate in absorbing stress caused by the gasket extending radially upon the battery being sealed is provided with a boss part with a central hole through which a negative electrode collector is inserted, a canister contact part that is affixed in place and in contact with a cathode canister, a disk-shaped part that is provided to connect the boss part to the canister contact part, and a stress buffering part that is provided on the way to the disk-shaped pat. The stress buffering part has a first bent part and a second bent part, both of an acute angle, and is set nearer the center of the cathode canister than to the positive electrode mixture, upon the gasket being installed in the cathode canister.
US08673484B2 Electrochemical element with a reduced internal resistance
An electrochemical element has a housing having an inside surface, a first electrode resting adjacent an inside surface of the housing and defining a cavity, the first electrode including at least two individual segments adjacent one another in a 2-dimensional manner via first contact surfaces and rest via further contact surfaces adjacent the inside surface, a second electrode of opposite polarity arranged inside the cavity, a separator arranged between the first and second electrodes, and at least one connector arranged between the first contact surfaces which connects the segments electrically conductively and which is annular or ring-shaped and includes at least one strip-shaped projection formed on an outside portion thereof.
US08673480B2 Support feature for joining of battery cell tabs
A battery assembly including a plurality of battery cells and at least one frame having a support feature formed thereon is disclosed. The support feature supports electrically conductive tabs of the battery cells and militates against damage to the battery assembly during a joining of one of the electrically conductive tabs with another one of the electrically conductive tabs of an adjacent battery cell or during a joining of the electrically conductive tabs of adjacent battery cells with a connector.
US08673478B2 Temperature dependent ionic gate
An electrochemical device having a liquid electrolyte which includes a protic solvent, an anode electrode disposed in contact with the liquid electrolyte, and a cathode electrode disposed in contact with the liquid electrolyte. A membrane which interrupts the transport of ions between the electrodes at a predetermined temperature is disposed in the liquid electrolyte between the anode electrode and the cathode electrode. In this way, electrochemical devices such as batteries, fuel cells, electrolyzers, and sensors, which may overheat during use and cause a fire or explosion, are precluded from overheating.
US08673466B2 CoPtCr-based bit patterned magnetic media
A bit patterned magnetic recording medium comprises a substrate having a surface, and a plurality of spaced apart magnetic elements on the surface, each element constituting a discrete magnetic domain or bit of the same structure and comprised of a stack of thin film layers including in order from the substrate surface: a seed layer; and a perpendicular magnetic recording layer in contact with a surface of the seed layer and comprising a Co 1-x-yPtxCry alloy material, where 0.05≦x≦0.35 and 0≦y≦0.15. The Co1-x-yPtxCry alloy material has a first order magnetic anisotropy constant K1 up to about 2×107 erg/cm3, a saturation magnetization Ms up to about 1200 emu/cm3, an anisotropy field HK=2K1/Ms up to about 35 kOe, a hexagonal (0001) crystal structure with c-axis perpendicular to a surface thereof, and an X-Ray diffraction (XRD) rocking curve with a full width at half maximum (FWHM) of ˜5° or less.
US08673462B2 Low viscosity electrostatic discharge (ESD) dissipating adhesive substantially free of agglomerates
A system, in one embodiment, includes an ESD adhesive operatively coupled to leads of an electronic device for providing ESD protection thereto, the ESD adhesive including a mixture of a polymeric thin film and electrically conductive fillers dispersed in the polymeric thin film, and has a structural characteristic of being formed through at least partial evaporation of a solvent therefrom and being substantially free of agglomerates of the electrically conductive fillers. In another embodiment, a method for providing ESD protection to an element of an electronic device includes preventing formation of agglomerates of electrically conductive fillers in an ESD adhesive that includes a polymeric thin film, the electrically conductive fillers dispersed therein, and a solvent by subjecting the ESD adhesive to high-energy mixing during formation thereof, applying the ESD adhesive across exposed leads, such as leads of a cable, PCB, or other substrate, and evaporating solvent from the ESD adhesive.
US08673459B2 Light-emitting element and electronic device
An object is to provide a light-emitting element with high emission efficiency which includes a novel carbazole derivative that has a wide energy gap and can be used for a transport layer or a host material in a light-emitting element. A carbazole derivative in which the 4-position of dibenzothiophene or dibenzofuran is bonded to the 2- or 3-position of carbazole has been able to be provided by use of the carbazole derivative. Further, a light-emitting element having high emission efficiency has been able to be provided by use of the carbazole derivative.
US08673456B2 Composite plated film and laminated film
It is provided a steel with composite plating film providing rust prevention over a long time period and a method of manufacturing thereof. A composite plating film 24 is formed on a metal material 21. The film 24 has a plating film 23 made of a sacrificial anode metal and photocatalyst particles 22 dispersed and fixed in the plating film 23. The photocatalyst particle has a main body composed of a photocatalyst and a semiconductor material supported thereon. The composite plating film 24 is formed on the surface of the metal material 21 by electroplating, hot-dipping, chemical plating or the like.
US08673454B2 System for producing a dental-shaped body
A blank and method for the production of dental shaped bodies in machining equipment having at least two tools located in the machining chamber of the machining equipment, including a corpus of tooth restoration material, from which the shaped body can be carved by means of at least one of at least two tools by material removal. The blank exhibits at least two gages, each of which is in the form of a recess, the geometry of which is such that the tool selected for the cutting operation can be recognized by means of at least one of the gages by reference to its outer contour, the at least two gages being disposed on the blank in such a way that they can be simultaneously engaged by the at least tools when the blank is clamped in the machining equipment for carving purposes.
US08673452B2 Security and/or valuable documents with a top layer with a scratch-resistant finish
The invention relates to a security and/or valuable document containing a scratch-resistant coating obtained from a lacquer composition comprising I) from 12 to 70 parts by wt. of a C2-C12-diol diacrylate or C2-C12-diol dimethacrylate, II) from 12 to 40 parts by wt. of an alkoxylated mono-, di-, tri-, tetra-, penta- or hexaacrylate or alkoxylated mono-, di-, tri-, tetra-, penta- or hexamethacrylate, III) from 0 to 40 parts by wt. of a monomer selected from the group consisting of pentaerythritol triacrylate, pentaerythritol tetraacrylate, dipentaerythritol tetraacrylate, dipentaerythritol pentaacrylate, dipentaerythritol hexaacrylate, pentaerythritol trimethacrylate, pentaerythritol tetramethacrylate, dipentaerythritol tetramethacrylate, dipentaerythritol pentamethacrylate, dipentaerythritol hexamethacrylate, reaction products thereof with aliphatic or aromatic diisocyanates, and mixtures thereof, IV) from 5 to 60 parts by wt. of a further mono-, di- or triacrylate or mono-, di- or trimethacrylate, and further comprises V) from 0.1 to 10 parts by wt. of a photoinitiator.
US08673451B2 Multilayer thermoplastic structures with improved tie layers
An oriented multilayer structure for shrink applications having a polymeric layer, a tie layer adhered to said polymeric layer. The tie layer is made up of the reaction product of a live, grafted polyolefin and an olefin elastomer. The multilayer structure is oriented and the tie layer provides strong adhesion to barrier layers even at high orientation levels.
US08673450B2 Graphite member for beam-line internal member of ion implantation apparatus
The problem of the present invention is to provide, in high current-low energy type ion implantation apparatuses, a graphite member for a beam line inner member of an ion implantation apparatus, which graphite member can markedly reduce particles incorporated in a wafer surface. This problem can be solved by the graphite member of the present invention, which is a graphite member for a beam line inner member of an ion implantation apparatus, which member having a bulk density of not less than 1.80 Mg/m3 and an electric resistivity of not more than 9.5 μΩ·m. Preferably, the R value obtained by dividing D band intensity at 1370 cm−1 by G band intensity at 1570 cm−1 in the Raman spectrum of a spontaneous fracture surface of the graphite member is not more than 0.20.
US08673446B2 Graphite complex and manufacturing method thereof
A graphite complex has a pyrolytic graphite sheet and a graphite layer. The pyrolytic graphite sheet is made by firing a polymer film. The graphite layer contains graphite powder as the main ingredient and is directly joined to the pyrolytic graphite sheet.
US08673445B2 Composite-plated article and method for producing same
A composite-plated article has a metallic material and a plating film coated on the metallic material in a nickel-plating bath containing carbon nanocomposite fibers. Each of the carbon nanocomposite fibers is formed of a carbon nanofiber core and microparticles that react with carbon to form a compound bonded to a surface of the carbon nanofiber core.
US08673441B2 Antimicrobial plastics product and process for production thereof
The invention relates to antimicrobial plastics products which comprise, as the antimicrobially active component, silver orthophosphate or particles of partly reduced silver orthophosphate. The plastics products have a very good antimicrobial efficacy. The production process achieves the effect that the plastics products, in spite of their content of silver orthophosphate or partly reduced silver orthophosphate, are not discolored under the action of light.
US08673440B2 Porous membrane
A membrane comprises a sheet of expanded polytetrafluoroethylene. The sheet includes a first extrudate made from a first PTFE fine powder resin mixed with processing lubricant at a first lube rate. A second extrudate is made from the first PTFE fine powder resin mixed with processing lubricant at the first lube rate. The first and second extrudates combined into an integrated tape structure that is stretched in first and second directions. The sheet is porous and has a gas permeability of at least 0.1 CFM according to ASTM D737. The sheet has an average Mullen Hydrostatic Burst pressure in the range of 135 psi to 175 psi according to ASTM D751.
US08673439B2 Article undergoing stimulus-responsive deformation and vehicle part using the same
An article undergoing stimulus-induced deformation, that is capable of being driven rapidly and in large displacement in air, is provided.An article undergoing stimulus-induced deformation comprising, incorporated in a polymer material having a cell, a liquid crystal serving as a deformation source in a skeleton other than the cell.
US08673436B2 Nanoengineered material for hydrogen storage
The present invention relates to nanostructured material capable of storing hydrogen. The nanostructured material may be configured with a selected geometry to provide the capability to influence and increase the limiting or theoretical gravimetric storage level (GSL) of hydrogen for a given chemical composition.
US08673435B2 Coated cBN sintered body tool
A coated cBN sintered body tool has improved wear resistance and fracture resistance. The coated cBN sintered body tool has a substrate of a cBN sintered body and a coating layer coated on the surface thereof. The cBN sintered body includes 73 to 84% by volume of cBN and 16 to 27% by volume of a binder phase and inevitable impurities. An average grain size of the cBN is 1.5 to 4.0 μm; an average value of the thickness of the binder phase is 0.05 to 0.5 μm; and a standard deviation of the thickness of the binder phase is 0.5 μm or less. The coating layer includes an upper layer of Ti(CxN(1−x)), an intermediate layer including a metal, a lower layer including an alternately laminated layer of (Ti(1−y)Siy)N thin layers and (Al(1−z)Crz)N thin layers, and an undermost layer of (Al(1−a)Cra)N.
US08673433B2 Load-pulling system
A load-pulling system includes a least one belt to which a tensile load is applied. The belt is formed of a matrix and a load-bearing fiber structure embedded in the matrix and having a plurality of fibers. The belt is guided around a least one deflection device in such a way that it forms a curved section in the region of the deflection device. A dimensioning of the belt and the applied tensile load are matched to each other in such a way that, in the curved section of the belt, a zero stress line of the belt is displaced radially inward in the direction of curvature with respect to a center line of the load-bearing fiber structure by an amount of at least 25% of the thickness of the load-bearing fiber structure.
US08673422B2 Process for encapsulating an electro-optical device
A process for protecting the external face of an electro-optical device, the external face comprising at least one optical film adhesively bonded to a transparent substrate and set back therefrom, comprises encapsulating the optical element between the substrate and a transparent cover that is substantially the same size as the substrate. The process comprises adhesive bonding of the cover, the adhesive bonding being achieved by depositing uncured adhesive in two steps, namely a first step that is used first to take up the step difference between the substrate and the optical element, and a second step that is used to adhesively bond the protective cover. Smaller respective thicknesses of adhesive shrink in each step and thus, in the end, the total shrinkage is limited and the quality of the product is improved.
US08673418B2 Composite core densification
A reinforcement tube includes at least one composite ply material strip in a coil configuration. The coil configuration defines a tube rectilinear in cross-section and a helical opening.
US08673417B2 Hose for gasohol fuel
A hose for gasohol fuel including an inner layer containing at least one selected from (A) to (D) below and an alcohol-cut off layer including a rubber composition containing a butyl rubber, a hydrated hydrotalcite compound, and a 1,8-diazabicyclo(5,4,0)undecene-7 salt (DBU salt): (A) a tetrafluoroethylene-hexafluoropropylene-vinylidene fluoride terpolymer or a tetrafluoroethylene-hexafluoropropylene-vinylidene fluoride-perfluoroalkyl vinyl ether tetrapolymer; (B) a polyamide resin; (C) a fluororubber; and (D) NBR or NBR-PVC.
US08673409B2 Formation method of coating
A formation method of a coating that coats a coated body with the coating includes: generating cylindrical plasma in a vacuum deposition chamber as well as supplying material gas into the vacuum deposition chamber; applying pulse voltage to the coated body; and attaching a shield member that shields an uncoated member to an uncoated part where the coating of the coated body is not formed with separation spacing over a coated part where the coating is to be formed for preventing decrease of hardness of the coating in the coated part.
US08673405B2 Method for producing a wear layer
In a method for producing a starting material (M, N, N′) for the production of a wear layer (420), a coating (40) with a composition which corresponds to that of the wear layer (420) which is to be produced is chemically undissolved from its substrate (30) and is detached as a solid body, and that the starting material (M, N, N′) is formed by the layer material (60) of the detached coating (40).
US08673404B2 Barrier film and laminated material, container for wrapping and image display medium using the same, and manufacturing method for barrier film
An object of the present invention is to provide a barrier film having the extremely high barrier property and the better transparency, a method for manufacturing the same, and a laminated material, a container for wrapping and an image displaying medium using the barrier film. According to the present invention, there is provided a barrier film provided with a barrier layer on at least one surface of a substrate film, wherein the barrier layer is a silicon oxide film having an atomic ratio in a range of Si:O:C=100:160 to 190:30 to 50, a peak position of infrared-ray absorption due to Si—O—Si stretching vibration between 1030 to 1060 cm−1, a film density in a range of 2.5 to 2.7 g/cm3, and a distance between grains of 30 nm or shorter. Still more, there is provided a barrier film provided with a barrier layer on at least one surface of a substrate film, wherein the barrier layer is a silicon oxi-nitride film having an atomic ratio in a range of Si:O:N:C=100:80 to 110:40 to 70:30 to 50, a maximum peak of infrared-ray absorption due to Si—O stretching vibration and Si—N stretching vibration in a range of 900 to 1000 cm−1, a film density in a range of 2.7 to 3.0 g/cm3, and a distance between grains of 30 nm or shorter.
US08673400B2 Methods of improving surface roughness of an environmental barrier coating and components comprising environmental barrier coatings having improved surface roughness
Methods for improving surface roughness of an environmental barrier coating involving providing a component having a plasma sprayed environmental barrier coating; applying a slurry to the environmental barrier coating of the component, the slurry being a transition layer slurry or an outer layer slurry; drying the environmental barrier coating having the applied slurry; and sintering the component to produce a component having an improved surface roughness wherein the slurry includes water; a primary transition material, or a primary outer material; and a slurry sintering aid.
US08673394B2 Deposition method and apparatus
A method of depositing a material on a substrate comprises placing a substrate into a process space in fluidic communication with a Gaede pump stage (GPS). A precursor gas is then injected into the process space while injecting a draw gas at a draw gas flow rate into the GPS such that the injected precursor gas achieves a precursor pressure and a precursor gas flow rate in the process space. Subsequently, substantially all of the precursor gas remaining in the process space is swept from the process space by injecting a sweep gas into the process space such that the injected sweep gas achieves a sweep pressure and sweep gas flow rate in the process space. The precursor pressure is higher than the sweep pressure, and the precursor gas flow rate is lower than the sweep gas flow rate.
US08673393B2 Hydrophobic materials made by vapor deposition coating and applications thereof
Methods are provided for vapor deposition coating of hydrophobic materials and applications thereof. The method for making a hydrophobic material includes providing a natural mineral, providing a silicone-based material, heating the silicone-based material to release vaporous molecules of the silicone-based material, and depositing the vaporous molecules of the silicone-based material to form a layer of the silicone-based material on surfaces of the natural mineral.
US08673392B2 Permanent magnet and method of manufacturing same
A permanent magnet is provided which has formed a Dy, Tb film on a surface of an iron-boron-rare earth sintered magnet of a predetermined shape, with diffusion thereof into grain boundary phases, having a higher coercive force. The method of manufacturing a permanent magnet includes a film-forming step of evaporating metal evaporating material containing at least one of Dy and Tb and adhering evaporated metal atoms to a surface of the iron-boron-rare earth sintered magnet, and a diffusing step of performing heat treatment to diffuse metal atoms adhered to the surface into grain boundary phases of the sintered magnet. The metal evaporating material contains at least one of Nd and Pr.
US08673391B2 Method of manufacturing a circuit board
A method of manufacturing a circuit board is described herein. The method may include adding a resin, forming first and second fiberglass fibers, and forming first and second signal line traces capable of transmitting electrical signals. In some examples, a ratio between fiberglass and resin material near the first signal line trace is similar to a ratio between fiberglass and resin material near the second signal line trace. In some examples, the first and second fiberglass fibers diagonally cross near the first and second signal line traces. In some examples, the first and second fiberglass fibers cross near the first and second signal line traces in a zig-zag pattern.
US08673389B2 Process for controlling coating deposition
A method and apparatus for controlling a vapor deposition based coating process, including monitoring ultrafine particles, and adjusting at least one process parameter based on the monitoring. During at least one stage of the coating deposition process, at least one of the coating precursors includes a gas, a vapor, or an aerosol.
US08673388B2 Methods of manufacturing drug-loaded substrates
A substrate is modified by exposing the substrate to a densified fluid. The substrate may be a polymer or a metal alloy, and the densified fluid may be carbon dioxide. Uses of such substrate modification include impregnation of the substrate with one or more drugs, impregnation of microcellular particles, surface modification of the substrate, and formation of microcellular compositions.
US08673385B2 Production of acid soluble soy protein isolates (“S800”)
A soy protein product having a protein content of at least about 60 wt % (N×6.25) d.b., preferably an isolate having a protein content of at least about 90 wt % (N×6.25) d.b., is formed by extracting a soy protein source with water to form an aqueous protein solution having a pH of about 1.5 to about 11, preferably about 5 to about 7, and separating the resulting aqueous protein solution from residual soy protein source. The protein concentration of the aqueous protein solution is increased to about 50 to about 400 g/L while the ionic strength is maintained substantially constant by using a selective membrane technique. The resulting concentrated protein solution is optionally diafiltered and a calcium salt, preferably calcium chloride, is added to the concentrated and optionally diafiltered protein solution to a conductivity of 5 to about 30 mS. Precipitate formed as a result of the calcium salt addition is removed and the resulting clarified retentate is diluted into about 2 to about 20 volumes of water prior to acidification to a pH of about 1.5 to about 4.4 to produce an acidified clear protein solution. The acidified clear protein solution is then concentrated and optionally diafiltered and optionally dried. Variations of this procedure can be used to produce a soy protein product which is soluble, transparent and heat stable in acidic aqueous environments.
US08673383B2 Roughage
The invention relates to dietary fiber for human and animal nutrition and more particularly to roughage consisting of a fiber formulation containing lignocellulose. Said formulation contains a fraction of a fibrous substance that is fermentable in the digestive tract and a fraction of a fibrous substance that is poorly or non-fermentable in the digestive tract.
US08673379B2 Liquid flow control and beverage preparation apparatuses, methods and systems
Apparatuses, methods and systems for liquid flow control and beverage preparation are disclosed. The apparatuses, methods and systems of the present invention include liquid flow control and beverage preparation capsules, pods, cartridges, pouches, systems, and modules for controlling and directing flow streams of liquid through a beverage preparation process. The apparatuses, methods and systems of the present invention may be used in combination with or included as an integral assembly of any apparatus, method or system for liquid dispension.
US08673375B2 Herbal extract products and methods
The present invention relates to a product for topical administration in particular, a product for use in the treatment of eczema and psoriasis and other related conditions.
US08673372B2 Methods for improving the appearance of hyperpigmented skin using a synergistic composition comprising banyan tree, lotus, and clover serum fractions
A method of improving the appearance of a hyperpigmented spot may comprise the step of applying a composition comprising an effective amount of banyan tree serum fraction, lotus serum fraction, and clover serum fraction to a hyperpigmented spot on a skin surface, wherein the composition is applied for a period of time sufficient to improve the appearance of the hyperpigmented spot. The method may include the step of identifying a hyperpigmented spot on a facial skin surface. Other methods as disclosed include a method for improving the appearance of post-inflammatory hyperpigmentation.
US08673369B2 Fruit and vegetable-derived compositions
This invention provides fruit and vegetable-derived compositions comprising a fruit or vegetable derivative of inter alia, at least one Beta, Capsicum and Malus species, wherein the composition is at a pH in the range of about 3 to about 6.5. The invention further provides for process for the production of the same and uses thereof.
US08673365B2 Hard surface cleaning and disinfecting composition
A neutral pH aqueous ready-to-use cleaning and disinfectant compositions include hydrogen peroxide as an active disinfecting constituent including a C1-C6 monohydric alcohol, and a surfactant or surfactant mixture. Methods of cleaning and disinfecting surfaces are also disclosed.
US08673363B2 Dental mineralization
A method is provided for mineralizing a dental surface or subsurface including contacting the dental surface with a protein disrupting agent and stabilized amorphous calcium phosphate (ACP) or amorphous calcium fluoride phosphate (ACFP).
US08673362B2 Therapeutic stem cell nutrient composition and uses thereof
The present invention relates to a composition and uses thereof for treatment of damaged tissue comprising at least one essential amino acid in L form and at least one essential lipid; wherein the composition is administered to a mammal suffering from severe tissue damage. The invention further relates to a composition and uses thereof comprising the mixture of one or more free L-amino acids in which the molar ratio of the free L-amino acids corresponds to the molar ratio of amino components in a mammalian tissue protein; and at least one essential lipid.
US08673359B2 Nanoparticle compositions and methods for improved oral delivery of active agents
Nanoparticles, compositions, and methods for the improved uptake of active agents are disclosed herein. The compositions contain a monodisperse population of nanoparticles, preferably including an active agent, where the nanoparticles are formed from a polymeric material possessing specified bioadhesion characteristics. Following enteral administration, preferably oral administration, the nanoparticles exhibit total intestinal uptakes of greater than 20%, preferably greater than 45%, more preferably greater than 65%. When compared to uptake of the same compositon in the absence of the bioadhesive polymeric material, the nanoparticles have significantly increased uptake with intestinal uptake of the increased by more than 100%, preferably even greater than 500%. Further disclosed herein is a method of producing multi-walled nanoparticles, as well as methods of using thereof. Multi-walled particles prepared using the method disclosed herein are useful for controlling the release of active agents.
US08673357B2 Sonic low pressure spray drying
This invention provides methods of spray drying pharmaceutical powders from a vibrating nozzle at low pressures. The method can effectively spray dry thick or viscous solutions or suspensions to provide small uniform particles. The invention includes dry particle compositions prepared by methods of low pressure spraying from vibrating nozzles.
US08673355B2 Opioid agonist/antagonist combinations
The invention is directed in part to oral dosage forms comprising a combination of an orally analgesically effective amount of an opioid agonist and an orally active opioid antagonist, the opioid antagonist being included in a ratio to the opioid agonist to provide a combination product which is analgesically effective when the combination is administered orally, but which is aversive in a physically dependent subject. Preferably, the amount of opioid antagonist included in the combination product provides at least a mildly negative, “aversive” experience in physically dependent addicts (e.g., precipitated abstinence syndrome).
US08673353B2 Tablet having improved elution properties
The present invention provides a tablet having improved dissolution property, which comprises (+)-3-{1-[3-(trifluoromethoxy)benzyl]piperidin-4-yl}-4-phenyl-3,4-dihydro-2(1H)-quinazolinone or a pharmaceutically acceptable salt thereof as an active component, and a production method thereof.A film-coated tablet obtained by mixing granulated particles obtained by granulating a mixture containing (a) the aforementioned active component, (b) one or more kinds of fillers selected from lactose, D-mannitol, erythritol and crystalline cellulose, (c) a cellulose-based disintegrant and (d) a water-soluble binder with a later powder containing (e) one or more kinds of fillers selected from lactose, D-mannitol and crystalline cellulose and/or (f) a cellulose-based disintegrant, forming the mixture, and applying film coating. A production method of a film-coated tablet, including a step of producing the granulated particles, a step of producing a tablet by mixing with the later powder and forming the mixture, and a step of applying a film coating to the obtained tablet.
US08673348B2 Lipid-based compositions of antiinfectives for treating pulmonary infections and methods of use thereof
A system for treating or providing prophylaxus against a pulmonary infection is disclosed comprising: a) a pharmaceutical formulation comprising a mixture of free antiinfective and antiinfective encapsulated in a lipid-based composition, and b) an inhalation delivery device. A method for providing prophylaxis against a pulmonary infection in a patient and a method of reducing the loss of antiinfective encapsulated in a lipid-based composition upon nebulization comprising administering an aerosolized pharmaceutical formulation comprising a mixture of free antiinfective and antiinfective encapsulated in a lipid-based composition is also disclosed.
US08673340B2 Sodium channel blocker delivery system with scleral lens
A scleral lens is provided with a sodium channel blocker or a sodium channel modulator disposed in the pre-corneal tear film between the scleral lens and the cornea. This system can be used to deliver sodium channel blockers or a sodium channel modulators not currently used because of poor bioavailability. Methods of using this sodium channel blocker delivery system or a sodium channel modulator delivery system are also disclosed.
US08673336B2 Composition, system, and method for modulating release kinetics in implantable drug delivery devices by modifying drug solubility
An implantable drug delivery device loaded with a beneficial agent is provided, wherein the beneficial agent is in two different forms, a first form having a higher solubility and a second form having a lower solubility, and wherein the two different forms are present in a proportion which is selected to achieve a desired release rate.
US08673334B2 Stent coatings comprising hydrophilic additives
A coating for implantable medical devices and a method for fabricating thereof are disclosed. The coating includes a mixture of a hydrophobic polymer and a polymeric hydrophilic additive, wherein the hydrophobic polymer and the hydrophilic additive form a physically entangled or interpenetrating system.
US08673333B2 Cross-linked polymer matrices, and methods of making and using same
Functionalized chondroitin sulfate, cross-linked polymer matrices comprising functionalized chondroitin sulfate, and methods of making and using the same are provided. Such polymer matrices may be used for tissue engineering, reconstructing cartilage, and the like. Kits are also provided for detection of cartilage degrading enzymes.
US08673332B2 Local delivery of water-soluble or water-insoluble therapeutic agents to the surface of body lumens
A method and device for local delivery of water-soluble or water-insoluble therapeutic agents to the surface of a normal or diseased body lumen is disclosed. An expandable structure of a medical disposable device, such as a balloon of a balloon catheter, is coated with an amphiphilic polymer coating comprising a therapeutic agent and an amphiphilic polymer or co-polymer. The medical disposable device is inserted into a body lumen, and expanded to contact the amphiphilic polymer coating against the body lumen. The total solubility of the polymer or co-polymer in vivo prevents any embolic hazard associated with the amphiphilic polymer coating.
US08673331B2 Composition with sterilizing activity against bacteria, fungus and viruses, application thereof and method for preparation thereof
Disclosed herein are an anti-bacterial, anti-fungal and anti-viral composition with excellent sterilizing power, deodorization and adhesion activity, an application thereof, and a method for preparing the same. The composition with sterilizing activity against bacteria, fungus and virus comprises colloidal silver particles in an amount of from 11 wt % to 15 wt %, titanium dioxide nanoparticles in an amount of from 18 wt % to 25 wt %, a dispersion stabilizer in an amount of from 0.01 wt % to 10 wt %, a binder in an amount of from 0.1 wt % to 4 wt %, and a balance of water in an amount required to form 100 wt %.
US08673329B2 Oil-in-water-type emulsion cosmetic
Provided is an oil-in-water emulsified cosmetic composition having a high UV-protective effect and also having an excellent long-term stability and an excellent feeling upon application. The oil-in-water emulsified cosmetic composition is characterized by containing a zinc oxide powder (A) having an average particle diameter of 0.1 to 1 μm, an average particle thickness of 0.01 to 0.2 μm, and an average aspect ratio of 3 or more and a polymer (B) selected from the group consisting of a polyacrylamide compound, a polyacrylic acid, and salts thereof.
US08673328B2 Topical skin composition comprising shea butter, jojoba oil, petroleum jelly, stearic acid, magnesium sulfate, zinc oxide, glycerin, and water
The present invention relates to compositions and methods for the treatment of topical skin conditions, comprising of cocoa butter, petroleum jelly, jojoba oil, dihydrogen oxide, Stearic acid, Anhydrous Magnesium Sulphate, Zinc Oxide, Glycerin and a suitable amount of a pharmaceutically acceptable shea butter.
US08673324B2 Method for producing gamma-aminobutyric acid and food produced thereby
The embodiment of invention provides a method for producing γ-aminobutyric acid, including the steps of providing a medium including Saccharomyces cerevisiae, adding an extract of Rhinacanthus nasutus into the medium and fermentating Saccharomyces cerevisiae in the medium added with the extract of Rhinacanthus nasutus to produce γ-aminobutyric acid.
US08673323B2 Polymer nanofiber scaffold for a heparin / fibrin based growth factor delivery system
A growth factor delivery scaffold combines a heparin/fibrin-based delivery system (HBDS) with a backbone based on polymer nanofibers for tissue (e.g., tendon and ligament) repair. The scaffold has improved surgical handling properties compared to the gelatinous consistency of the prior art HBDS system and retains the capability for delivering mesenchymal cells and controlling the release of growth factors. One application for the scaffold is mesenchymal stem cell (MSC) therapy for flexor tendon repair. The scaffold can deliver growth factors in a sustained manner, can be implanted for flexor tendon repair, is biocompatible, and is not cytotoxic. The growth factor delivery scaffold may also be used in the surgical repair of an injury to bone, muscle, cartilage, or other tissues.
US08673322B2 Crystals of laquinimod sodium, and process for the manufacture thereof
Disclosed is a process for the preparation of laquinimod sodium which removes the impurities after the salt formation step, thus resulting in crystals of higher purity as well as crystals having improved crystalline characteristics.
US08673317B2 Codon-optimized polynucleotide-based vaccines against human Cytomegalovirus infection
The invention relates to plasmids operably encoding HCMV antigens, in which the naturally-occurring coding regions for the HCMV antigens have been modified for improved translation in human or other mammalian cells through codon optimization. HCMV antigens, which are useful in the invention include, but are not limited to pp65, glycoprotein B (gB), IE1, and fragments, variants or derivatives of any of these antigens. In certain embodiments, sequences have been deleted, e.g., the Arg435-Lys438 putative kinase in pp65 and the membrane anchor and endocellular domains in gB. The invention is further directed to methods of inducing an immune response to HCMV in a mammal, for example, a human, comprising delivering a plasmid encoding a codon-optimized HCMV antigen as described above. The invention is also directed to pharmaceutical compositions comprising plasmids encoding a codon-optimized HCMV antigen as described above, and further comprising adjuvants, excipients, or immune modulators.
US08673313B2 Cell penetrating peptides and its use fused to biomolecules with therapeutic action
The present invention relates to use of a new cell penetrating peptides (CPP) and in particular to the region 32-51 of protein Limulus antilipopolisacárido (LALF) and its analogous. This invention refers to compositions containing these peptides associated to biomolecules with therapeutics properties. This invention consist of compositions comprise the covalent fusion of biomolecules, between this human papillomavirus antigens (HPV) to these CPP for induce a potent immune cellular responses against HPV and HPV protein antigen-exhibiting cells including HPV-associated tumors. The referred compositions are applicable in the pharmaceutical industry as vaccine for therapeutic use in human.
US08673309B2 Methods for measuring high molecular weight complexes of fibrinogen with fibronectin and fibulin-1
A method of detecting MSDX Complex-1, the method introducing a first antibody to a sample to create an antibody-sample mixture, wherein the first antibody is specific for one of fibrinogen, fibronectin, or fibulin-1, the first antibody having a label molecule; providing a well coated with a second antibody, the second antibody is specific for one of fibrinogen, fibronectin, or fibulin-1; introducing the antibody-sample mixture to the well; and introducing a substrate to the antibody-sample mixture in the well, wherein the label molecule and the substrate interact to provide a signal, wherein when the signal is detected then MSDX Complex-1 is detected.
US08673305B2 Methods of treatment with antibodies against the extracellular loops of C5aR
The present invention relates to antibodies which bind to C5aR and which are useful in diagnostic and therapeutic methods. The antibodies of the present invention are reactive with an extracellular loop of C5aR other than the N-terminal domain and are capable of substantially reducing or inhibiting the binding of C5a to C5aR and functional consequences of neutrophil chemoattractant receptor activation.
US08673292B2 Pure populations of astrocyte restricted precursor cells and methods for isolation and use thereof
An isolated, pure homogeneous population of mammalian astrocyte restricted precursor cells which is CD44 immunoreactive and which generate astrocytes but not oligodendrocytes is provided. Methods for isolating and using these mammalian astrocyte restricted precursor cells are also provided.
US08673291B2 Diffocin and methods of use thereof
This disclosure relates to the discovery and isolation of the entire cluster of genes encoding R-type high molecular weight bacteriocins that specifically kill Clostridium difficile bacteria, dangerous human pathogens. Also disclosed are methods of producing the R-type bacteriocins in innocuous producer cells that, unlike C. difficile, do not die in the presence of oxygen. Disclosed also is the specific gene of the isolated gene cluster that determines the killing spectrum of the R-type bacteriocin and the demonstration that the killing spectra of diffocins can be altered by engineering orf1374 of the diffocin genetic locus. This invention offers a potent bactericidal agent and a means to make it in order to kill selectively C. difficile bacteria in the environment of the gastrointestinal tract where they can cause great harm and even death of the infected patient or farm animal.
US08673290B2 Sporulation-deficient B. texasporus cells and methods for efficient and cost-effective inactivation and use thereof
Novel strains and methods for their use are provided. Particularly, foods and other oral products or treatments containing sporulation-deficient Brevibacillus strain when administered to a subject can inhibit or reduce the number of pathogens in the subject and improve the health of the subject.
US08673289B2 Attenuated uracil auxotroph of an apicomplexan and use thereof
Uracil auxotroph mutants of apicomplexans are provided which lack a functional carbamoyl phosphate synthase II (CPSII) enzyme. Also provided are T. gondii autoxtroph mutants which express exogenous antigens, and methods of protecting an animal against a T. gondii and non-T. gondii disease.
US08673287B2 Anti-obesity composition containing acacia bark derivative
It is intended to provide a composition having an excellent anti-obesity action without potential for adverse side effects and the like even if taken for a long period of time. The composition is an anti-obesity composition containing an acacia bark derivative.
US08673282B2 Cosmetic compositions containing block copolymers, tackifiers and a selective solvent for soft blocks
A cosmetic composition containing at least one block copolymer having at least one hard segment and at least one soft segment, at least one tackifier component, at least one solvent capable of solubilizing the soft segment, and optionally, at least one colorant, and wherein the at least one hard segment has a Tg of about 50° C. or more and the at least one soft segment has a Tg value of about 20° C. or less.
US08673279B2 Cosmetic liquid extractor comprising nonionic polymers
Aqueous liquid compositions are provided, which comprise nonionic polymers, such as polyvinyl alcohol (PVA), polyvinylpyrrolidone (PVP), or combinations thereof, and which are free of or substantially free of salt-forming groups. The aqueous liquids form solid, flexible films after direct application to skin, and the films may be peeled from the skin to remove adhered keratotic plugs from pores of the skin. The compositions are easily applied to skin, without dripping, with fingers, a brush, or other applicators.
US08673276B2 Composition and method for thermally activated hair treatment
A formulation is disclosed useful for semi-permanent, thermal conditioning and styling of the hair comprising a mercaptosilicone polymer derived from greater than 25 mole percent mercaptosilicone monomer. A method of straightening the hair is also disclosed which comprises the steps of applying the mercaptosilicone polymer formula to the hair and then styling the hair at an elevated temperature with a flat iron to achieve a lasting straight style that remains after more than one shampoo cycle.
US08673275B2 Block copolymers and their use
A process of free-radical copolymerization of a monomer composition comprising: a) 70 to 100% by weight of acrylic acid, b) 0 to 30% by weight of at least one hydrophilic nonionic compound, different from a), having a free-radically polymerizable, α,β-ethylenically unsaturated double bond, c) 0 to 1% by weight of at least one free-radically polymerizable crosslinking compound which comprises at least two α,β-ethylenically unsaturated double bonds per molecule, by the method of precipitation polymerization in the presence of an auxiliary composition H) comprising H1) at least one compound with a block structure which comprises at least one hydrophobic group and at least one hydrophilic group, and H2) at least one basic compound different from H1).
US08673274B2 Composition comprising pyrithione or a polyvalent metal salt of a pyrithione and furametpyr
The present invention relates to a composition comprising an effective amount of a pyrithione or a polyvalent metal salt of a pyrithione, an effective amount of furametpyr and an effective amount of a surfactant including a surfactant with an anionic functional group.
US08673268B2 Molecular targets and compounds, and methods to identify the same, useful in the treatment of joint degenerative and inflammatory diseases
The application discloses methods for identifying and using compounds that inhibit extra-cellular matrix (ECM) degradation and inflammation, using a polypeptide sequence including SEQ ID NO: 17-127 (hereinafter “TARGETS”) and fragments thereof, expression inhibitory agents such as antisense polynucleotide, a ribozyme, and a small interfering RNA (siRNA), comprising a nucleic acid sequence complementary to, or engineered from, a naturally occurring polynucleotide sequence encoding a polypeptide of SEQ ID NO: 17-127, useful in pharmaceutical compositions comprising said agent, for the treatment, or prevention, of chronic joint degenerative and/or inflammatory diseases such as rheumatoid arthritis.
US08673265B2 Carbonylporphyrins and uses thereof
The present invention is directed to low toxicity boronated compounds and methods for their use in the treatment, visualization, and diagnosis of tumors. More specifically, the present invention is directed to low toxicity carborane-containing porphyrin compounds with halide, amine, or nitro groups and methods for their use particularly in boron neutron capture therapy (BNCT), X-ray radiation therapy (XRT), and photodynamic therapy (PDT) for the treatment of tumors of the brain, head and neck, and surrounding tissue. The invention is also directed to using these carborane-containing porphyrin compounds in methods of tumor imaging and/or diagnosis such as MRI, SPECT, or PET.
US08673264B2 Injectable biomaterial
An injectable biomaterial containing a non-aqueous solvent suitable for injection to a human being and nanoparticles made of a polymer that is insoluble in water and insoluble in the non-aqueous solvent, in which the nanoparticles are loaded with a drug or a biological agent. The injectable biomaterial is suitable for occluding normal or malformative blood vessels or non-circulating cavities, or for necrosing tumors.
US08673261B2 Process for preparing magnetite (Fe3O4) and derivatives thereof
The present invention relates to a process for preparing magnetite (Fe3O4) or derivatives thereof, comprising the steps: a) preparing an aqueous solution A of a Fe(III) salt, b) preparing an aqueous solution B of an iodide salt, c) mixing solutions A and B to obtain a first precipitate, d) separating the first precipitate to obtain a filtrate, e) hydrolyzing the filtrate obtained in step d) by adjusting the pH to about 8.5-9 or above, preferably 9, in order to obtain a second precipitate, and f) separating the second precipitate.
US08673255B2 Process and apparatuses for preparing ultrapure silicon
A process for preparing high-purity silicon by thermal decomposition of a silicon compound includes decomposing the silicon compound by mixing with a carrier gas at a temperature at which the silicon compound is thermally decomposed.
US08673250B2 Exhaust gas purification catalyst and production method therefor, and method for purifying nitrogen oxide in exhaust gas
An exhaust gas purification catalyst is made as a composition comprising titanium oxide (TiO2), aluminum sulfate (Al2(SO4)3), an oxide of vanadium (V), and an oxide of molybdenum (Mo) and/or tungsten (W), wherein on titanium oxide having sulfate ions and aluminum ions adsorbed thereon obtained by making contact with aluminum sulfate at more than 1 wt % and not more than 6 wt % relative to titanium oxide in the presence of water, an oxo acid salt of vanadium or a vanadyl salt and an oxo acid or an oxo acid salt of molybdenum and/or tungsten are supported in a proportion of more than 0 atom % and not more than 3 atom %, respectively. By this, the degradation of catalyst performance can be suppressed even with exhaust gas containing potassium compounds at a high concentration in combustion ash.
US08673247B2 Method for operating a regenerative shaft furnace for producing lime
The invention relates to a method for producing quick lime from lime stone in a continuous regenerative shaft kiln, characterized in that, starting with standard conditions of excessive combustion air for the operation of this kiln, it especially includes a step where the excessive combustion air is progressively reduced until a content greater than 1000 ppm (in volume) of carbon monoxide is reached in the connection flue between the tanks. An increase in the content of gaseous sulphur dioxide is then observed in the gas flue, with respect to a kiln operated in standard conditions.
US08673245B2 Fixed-bed reactor and process for producing acrylic acid using the reactor
A fixed-bed reactor containing a first catalyst layer filled with a first catalyst for producing acrolein from propylene; a second catalyst layer filled with a second catalyst for producing acrylic acid from acrolein; and an inert substance layer provided between the first catalyst layer and the second catalyst layer, and filled with an inert substance of a cylindrical shape having a surrounding wall in which an opening is formed. A process for producing acrylic acid containing the step of producing acrylic acid from propylene by using the aforementioned fixed-bed reactor.
US08673241B2 Method and device for fixing/stabilizing a sample
The present invention provides a method for fixing and/or stabilizing a sample, in which the sample is put into a permeable container with a maximum overall height of 10 mm, preferably of 5 mm, and the container filled with the sample is immersed in fixing and/or stabilizing agents and the sample is fixed and/or stabilized.
US08673240B2 Microfluidic devices and methods
Disclosed herein are devices and methods useful for the detection and analysis of various analytes. The analyte detection device of this invention has the general structure of a substrate and a plurality of pillars attached to the surface of the substrate.
US08673238B2 Fluid processing and control
A fluid control and processing system for controlling fluid flow among a plurality of chambers comprises a body including a fluid processing region continuously coupled fluidicly with a fluid displacement region. The fluid displacement region is depressurizable to draw fluid into the fluid displacement region and pressurizable to expel fluid from the fluid displacement region. The body includes at least one external port. The fluid processing region is fluidicly coupled with the at least one external port. The fluid displacement region is fluidicly coupled with at least one external port of the body. The body is adjustable with respect to the plurality of chambers to place the at least one external port selectively in fluidic communication with the plurality of chambers.
US08673237B2 Sensor having a color-changeable sensory surface
The invention relates to a sensor having a color-changeable sensory surface, characterized in that at least one molecular layer of a positively charged polymer (4) is bonded to a further molecular layer of a negatively charged polymer (5) in alternation by means of ionic forces, wherein a solvent is stored in the charged polymer layers (4, 5), whereby the polymer layers swell at least 10%, and colored, preferably metal or semiconducting nanoparticles (6) are bonded to the last charged polymer molecular layer, and the total layer thickness of the inert intermediate layer (3) and all polymer layers (4, 5) is at least 40 nm but less than 500 nm so that the layer setup has an interference color that is visible to the human eye or measurable in the infrared and that can be changed by means of interaction with an analyte, the interference color being caused by optical interference between the material surface (2) and the layer of the nanoparticles (6).
US08673234B2 Reactor vessel and liner
A reactor vessel liner system includes a liner having an inner wall, an outer wall, and a plurality of passages extending between the inner wall and the outer wall. An attachment member extends outwards from the outer wall for securing the liner.
US08673233B2 Solid reactant sheet, solid reactant stack, and fuel cartridge
A solid reactant sheet, a solid reactant stack, and a fuel cartridge are provided. The solid reactant sheet comprises an adhesive film and a plurality of solid reaction particles. The adhesive film has a first surface, a second surface opposite to the first surface, and a plurality of through holes connected between the first surface and the second surface. The plurality of solid reaction particles are adhered to the first surface, and the solid reaction particles react with a reactant for generating a gas. Furthermore, a solid reactant stack and a fuel cartridge are also provided.
US08673227B2 System for removal of carbon dioxide from a process gas
A system for removal of carbon dioxide from a process gas includes an absorption arrangement arranged to allow contact between the process gas and an ammoniated solution within the absorption arrangement such that at least a part of the carbon dioxide of the process gas is captured by the ammoniated solution. The absorption arrangement is arranged to, with regard to the ammoniated solution, only accommodate ammoniated solution without solids. A first heat exchanger is arranged to cool the ammoniated solution including captured carbon dioxide after it has exited the absorption arrangement. A separator is arranged to remove at least a part of any solids in the cooled ammoniated solution. A second heat exchanger is arranged to heat the ammoniated solution after it has exited the separator and returned to the absorption arrangement.
US08673222B2 Hydrogen generator and method for operating the same
A hydrogen generator of the present invention includes: a reformer (1) including a reforming catalyst (1A) containing nickel and configured to generate a hydrogen-rich fuel gas by using a raw material and steam; a temperature detector (12) configured to detect a temperature of the reforming catalyst (1A); a purge gas supplying device (7) configured to supply a purge gas to the reformer (1); and a controller (13). When the temperature detected by the temperature detector (12) is a first predetermined temperature or higher, the controller (13) purges the reformer (1) with the purge gas supplied from the purge gas supplying device (7).
US08673221B2 Denitrification plant
The invention relates to a device for denitrifying the flue gases of a fossil fuel-fired steam generator, especially a steam generator boiler (1) of a power plant, having apparatuses (10-12) for blowing a reagent effective in a predetermined temperature range into the interior (18) of the steam generator (1), which contains hot combustion gases. The device according to the invention is characterized in that the blow-in apparatuses (10-12) comprise a plurality of injection lances (10) arranged in spatially distributed manner and a control apparatus (13) for measuring the respective temperature distribution in the interior (18) and for selecting injection lances (10) suitable for blowing in based on the temperature distribution.
US08673217B2 Sensor using plasmon resonance
A sensing system can include one or more particles having one or more plasmon resonances. The particles can be positioned adjacent to the active region of a sensor to enhance the sensitivity of the sensor to electromagnetic radiation having frequencies corresponding to the plasmon resonances. An array of sensors such as used for color imaging can employ different types of particles adjacent to different sensors, so that different sensors sense different colors. During fabrication of such sensors, the particles can be applied mechanically or using a process such as inkjet printing.
US08673213B2 Test element analysis system with contact surfaces coated with hard material
The invention concerns a test element analytical system for the analytical examination of a sample, especially a body fluid, comprising at least one test element with one or more measuring zones and contact areas located on the test element, in particular electrodes or conductor paths, the sample to be examined being brought into the measuring zone to carry out an analysis in order to determine a characteristic measured quantity for the analysis, and an evaluation instrument with a test element holder for positioning the test element in a measuring position and a measuring device for measuring the characteristic change, the test element holder containing contact elements with contact areas which enable an electrical contact between the contact areas of the test element and the contact areas of the test element holder, characterized in that one of these contact areas is provided with an electrically conductive hard material surface.
US08673211B1 Method to reduce corrosion of aluminum alloys exposed to seawater
A method is taught to alleviate some of the expected seawater corrosion of aluminum alloy fuel tanks originating from the chlorides present in seawater through the use of a dicarboxylic acid additive that is added to the aluminum alloy fuel tank when seawater enters the tank.
US08673205B2 Process for manufacturing a piece that is made of composite material and device for its implementation
A process for manufacturing a piece made of composite material that includes fibers that are immersed in a resin matrix, whereby the piece is placed in a first chamber with at least one flexible wall. The process includes a thermal cycle with essentially a first phase (50) at a first temperature during which the resin is liquid or in the “gel” state, and then a second phase (52) at a second temperature that is higher than the first during which solidification of the piece takes place by polymerization of the resin. An external pressure is applied to the outside of the first chamber and an internal pressure is generated inside the first chamber, wherein during the first phase (50), the relative internal pressure is less than 0 during a first period, and then greater than 0 during a second period preceding the beginning of the polymerization for reducing the porosity rate.
US08673202B2 Ultra high molecular weight polyethylene articles and methods of forming ultra high molecular weight polyethylene articles
The present invention generally provides implantable articles and methods of forming implantable articles from a crosslinked ultrahigh molecular weight polyethylene (“UHMWPE”) blend stabilized with Vitamin E. The crosslinked UHMWPE blend may be prepared by combining the UHMWPE material and vitamin E prior to irradiating the UHMWPE blend with electron beam radiation at a sufficient radiation dose rate to induce crosslinking. The crosslinked UHMWPE blend may be incorporated into a variety of implants, and in particular, into endoprosthetic joint replacements.
US08673200B2 Checker balloon winding machine
A device for winding a filament around a structure disposed concentrically around a distal end of a balloon catheter includes a rotatable holder for retaining a distal end of the balloon catheter, a translatable winding carriage for helically winding the tensioned filament around the balloon catheter distal end, a heater for applying a predetermined amount of heat to a section of the balloon catheter having the filament wound therearound, and a controller for controlling at least the rate of rotation of the holder, the tensioning force applied to the filament, the amount of heat applied by the heater, and the rate of travel of the translatable winding carriage along the longitudinal dimension of the balloon catheter. By use of the device, the balloon is heat set and a predetermined checkering pattern of surface indentations are provided in the structure exterior surface in a single pass.
US08673199B2 Method for producing an orthesis
The invention relates to an orthesis (2) comprising at least one plate-shaped part which is adapted to a body part (3). A deformable plate-shaped support (4) is provided with a curable material (5, 9) and, after adapting the shape of the support (4) by direct deformation onto the body part (3), the curable material (5, 9) present on the support (4) is cured in the shape of the support. The invention allows adaption of the orthesis to the body part (3) without production of a template.
US08673198B2 Method of making improved ballistic products
A method of making a ballistic resistant composite material having improved resistance to high energy rifle bullets and the like. The method comprises providing at least one fibrous layer comprising a network of high tenacity aramid fibers. The fibrous layer is coated with a thermoplastic polyurethane resin. The coated fibrous layer is molded at a pressure of at least about 1,500 psi (10.3 MPa).Preferably, a plurality of fibrous layers are employed, each of which is formed from unidirectionally oriented aramid fibers in a thermoplastic polyurethane resin matrix. Adjacent fibrous layers are preferably oriented at 90° with respect to each other.
US08673197B2 Multi-layer structure and method of producing the same
A multi-layer structure comprising a functional resin layer obtained by covering a core layer of a base body resin or a second functional resin with a shell layer of a first functional resin, and a base body resin layer containing the functional resin layer therein. The layers of the functional resins are formed at positions where they are allowed to exhibit their functions to a sufficient degree, a plurality of functions can be imparted, and a molten resin mass having the above multi-layer structure can be formed by the compression-forming.
US08673195B2 Method for making a reinforced structural part for an automotive vehicle
A reinforced structural part and method are provided. The reinforced structural part includes a body made from a plastic material provided with a core made from a metallic material. The method includes the steps of positioning the metallic core in a first mold cavity, injecting a plastic material into the first mold cavity, the cavity being arranged so that the plastic material over-molds at least part of the inner surface of the core, positioning the over-molded metal core in a second mold cavity and injecting a plastic material into said second mold cavity, the cavity being arranged so that the plastic material over-molds the entire outer surface of the core.
US08673194B2 Method for forming a connector for an implantable medical device
A connector assembly for an implantable medical device with hardware components placed in established physical locations within the polymer of the connector, and a method of making the assembly. One embodiment includes a method that involves forming a first shot, coupling at least one hardware component to the first shot to form a subassembly, placing the subassembly between a set of opposing areas of a mold, moving at least one of the areas of the set of opposed areas of the mold to constrain the subassembly within the mold, and introducing a second shot over at least a portion of the subassembly to form the connector.
US08673193B2 Roller nanoimprint apparatus, mold roller for use in roller nanoimprint apparatus, fixing roller for use in roller nanoimprint apparatus, and production method of nanoimprint sheet
A roller nanoimprint apparatus is disclosed which is capable of preventing a workpiece film with nanostructures having been transferred from the mold roller from being uneven in thickness and allowing easy replacement of the mold roller. At least one embodiment of the present invention is directed to a roller nanoimprint apparatus including a mold roller and continuously transferring nanosized protrusions to a surface of a workpiece film by rotating the mold roller, wherein the mold roller is a cylindrical body having an outer circumference surface with nanosized recesses formed thereon, the roller nanoimprint apparatus further includes a fluid container having an elastic film inflatable by injecting fluid into the container, the fluid container being arranged in a region defined by an inner circumference surface of the mold roller, the mold roller is mounted or demounted when the elastic film is shrunken, and the mold roller is supported from the inside when the elastic film is inflated.
US08673192B2 Apparatus and methods for fabricating food items
Apparatus and methods for fabricating food items include extruding a first hot plastic food mass through multiple passages and co-extruding a second hot plastic food mass through a feed stem received in each of the multiple passages and through nozzles. Each nozzle includes a first portion in communication with the feed stem but not the passage and a second portion in communication with the passage but not the feed stem. The nozzles are rotatable in gearing relation with the drive gear of a servomotor. The co-extruded ropes are conveyed at a linear speed rate less than or equal to the discharge speed from the nozzles, cooled, and cut into individual pieces in the form of peelably separable strands.
US08673191B2 Apparatus for producing plastic parts interspersed with reinforcing fibres
The present invention relates to an apparatus for producing plastic parts interspersed with reinforcing fibers, with a mixing chamber (20) for producing a mixture of chemically reactive polymer components, with an outlet chamber (38), which is arranged downstream of the mixing chamber and in which a cleaning ram (28) in which a fiber conveying channel (32) is formed is guided in a reciprocatingly movable manner. The invention is characterized in that the end face of the cleaning ram is provided with a die (34), through which the fiber conveying channel (32) extends and arranged on the outer circumference of which there is an annular groove (40), which is at a distance from the end of the die (34) and can be positioned in such a way that, in a first working position, a flow connection between the mixing chamber (20) and the annular groove (40) is formed and, in another working position of the cleaning ram (28), the flow connection between the mixing chamber (20) and the annular groove (40) is interrupted and in that at least one die channel (42), preferably a plurality of die channels (42), is/are formed between the annular groove (40) and the outlet-side end of the die (34), said channel(s) opening at the end face into the outlet chamber (38).
US08673189B2 Method for implementing a rail-guided self-climbing formwork system with climbing rail extension pieces
A method is proposed for constructing multi-story concrete structures using a rail-guided self-climbing formwork system. A climbing rail is thereby displaced in a vertical direction within lower and upper climbing shoes and a lower extension piece is positioned to extend vertically in a downward direction below a lower end of the climbing rail. The lower extension piece has a length which is less than the length of the climbing rail. The climbing rail is then displaced in the vertical direction until the lower extension piece is captured within the lower climbing shoe. The method simplifies construction of the lower stories of concrete structures.
US08673187B2 Method of separating excess lens forming material from a molded ophthalmic lens, in particular a contact lens
There is described a method of separating excess lens forming material from a molded ophthalmic lens, in particular a contact lens. After polymerization and/or cross-linking of a lens forming material (P) within a mold cavity (4) of a mold (1) comprising female and male mold halves (2, 3) to form an ophthalmic lens non-polymerized and/or non-cross-linked lens forming material is flushed away from the mold halves (2, 3) with a jet of a fluid flushing medium, such as, e.g., water or a solvent or an inert gas. Subsequently the molded lens is dried. In accordance with the invention the flushing is accomplished with the mold halves (2, 3) still in the closed position. There is also described an apparatus for carrying out the method.
US08673186B2 Fabrication of an optical wedge
Various embodiments are disclosed relating to fabrication of an optical wedge. For example, one embodiment provides a method for manufacturing an optical wedge comprising inserting a wedge blank into a vacuum molding tool and applying a vacuum to the vacuum molding tool to temporarily hold the wedge blank against a molding surface of the vacuum molding tool. The method further comprises removing a layer from a top surface of the wedge blank to expose a machined surface of the wedge blank, and casting a finish layer on the machined surface to form a finish layer of a finished optical wedge.
US08673184B2 Systems and methods for providing overcharge protection in capacitive coupled biomedical electrodes
An alternating electric field responsive biomedical composite is disclosed that provides capacitive coupling through the composite. The biomedical composite includes a binder material, a polar material that is substantially dispersed within the binder material, and electrically conductive particles within the binder material. The polar material is responsive to the presence of an alternating electric field, and the electrically conductive particles are not of sufficient concentration to form a conductive network through the composite unless and until the composite becomes overcharged.
US08673183B2 Tetrazine monomers and copolymers for use in organic electronic devices
Copolymers of formula (I): where each A is S, Se or C═C; each x is an integer from 1 to 4; each R1 is independently H, F, CN or a C1-C20 linear or branched aliphatic group; Ar is one or more substituted or unsubstituted aromatic units; and, n is an integer 5 or greater, can be formed into films or membranes that are useful as active layers in organic electronic device, such as PV solar cells, providing high power conversion efficiencies and good thermal stability. Such copolymers may be synthesized from monomers of formula (II): by Stille or Suzuki coupling reactions. Such monomers may be synthesized by a variation of the Pinner synthesis.
US08673182B2 Optical film having antistatic layer, polarizing plate and image display device
An optical film includes a transparent support and at least one antistatic layer formed from a composition containing an electrically conductive polymer, a polyfunctional monomer having two or more polymerizable groups, at least one compound selected from a compound represented by the formula (1) as defined herein, a compound represented by the formula (2) as defined herein and a trivalent phosphorus compound, and a photopolymerization initiator.
US08673181B2 Systems and methods for starting up a gasifier
Systems and methods for starting a gasifier are provided. In the method, a heated start-up medium can be fed to a gasifier operating at a first temperature. Heat can be transferred from the heated start-up medium to the gasifier to increase the temperature of the gasifier from the first temperature to an intermediate temperature sufficient to auto-ignite a start-up fuel. A start-up fuel and an oxidant can be fed to the gasifier after the temperature within the gasifier is increased to the intermediate temperature. At least a portion of the start-up fuel can be combusted within the gasifier to produce a combustion gas. Heat can be transferred from the combustion gas to the gasifier to increase the temperature of the gasifier to an operating temperature, wherein the operating temperature is sufficient to gasify at least a portion of a hydrocarbon feedstock.
US08673180B2 Up-conversion white light phosphors
Light emitting devices that include an energy source configured to generate light energy and an up-conversion phosphor configured to emit light having a wavelength shorter than that of the light energy generated from the energy source are provided. The up-conversion phosphor comprises an ordered oxyfluoride compound having a formula: A3−3a/2RaMO4−δ1−w′F1−δ2−w″Nw. Methods are also generally disclosed for up-converting light energy.
US08673177B2 Liquid crystal alignment agent, and liquid crystal alignment film and liquid crystal display element formed from the liquid crystal alignment agent
A liquid crystal alignment agent includes a polymer and an organic solvent for dissolving the polymer. The polymer is obtained by subjecting a diamine composition and a tetracarboxylic dianhydride compound to a polymerization reaction. The diamine composition includes a first diamine compound, a second diamine compound, and a third diamine compound as defined in the specification.A liquid crystal alignment film formed from the liquid crystal alignment agent and a liquid crystal display element including the liquid crystal alignment film are also disclosed.
US08673174B2 Liquid crystalline polyester fiber and process for production of the same
A liquid crystalline polyester fiber which exhibits a half width of endothermic peak (Tm1) of 15° C. or above as observed in differential calorimetry under heating from 50° C. at a temperature elevation rate of 20° C./min and a strength of 12.0 cN/dtex or more; and a process for production of the same. A liquid crystalline polyester fiber which is excellent in abrasion resistance and lengthwise uniformity and is improved in weavability and quality of fabric and which is characterized by a small single-fiber fineness can be efficiently produced without impairing the characteristics inherent in fabric made of liquid crystalline polyester fiber produced by solid phase polymerization, namely, high strength, high elastic modulus and excellent thermal resistance.
US08673173B2 Oxygen-absorbable solvent-soluble resin and oxygen-absorbable adhesive resin composition
Disclosed is an oxygen-absorbable solvent-soluble resin having both oxygen absorbability and adhesive properties. Specifically disclosed is an oxygen-absorbable solvent-soluble resin which comprises an acid component (A), an acid component (B) and a polyester having a constituent unit derived from a glycol component, wherein the ratio of the amount of the acid component (A) to the total amount of the acid components is 40 to 80 mol % and the ratio of the amount of the acid component (B) to the total amount of the acid components is 15 to 35 mol %. The acid component (A):tetrahydrophthalic acid or a derivative thereof, or tetrahydrophthalic anhydride or a derivative thereof; and the acid component (B):terephthalic acid.
US08673172B2 Latex accelerator composition
Accelerator composition for a rubber latex derived from a conjugated diene and optionally a vinyl aromatic comonomer including: (i) a diisopropyl xanthogen polysulphide (DIXP), (ii) an alkyldithiocarbamate wherein the alkyl chain contains from 5 to 20 carbon atoms, and (iii) diphenylguanidine (DPG), a curable aqueous synthetic rubber latex composition including said accelerator composition, a process for the water-based solvent-free manufacture of synthetic shaped synthetic elastomeric articles, prepared by using the accelerator composition.
US08673171B2 Cathode for secondary battery
Disclosed is a cathode for secondary batteries comprising a compound having a transition metal layer containing lithium as at least one compound selected from the following formula 1: (1−x)Li(LiyM1-y-zMaz)O2-bAb*xLi3PO4 (1) wherein M is an element stable for a six-coordination structure, which is at least one selected from transition metals that belong to first and second period elements; Ma is a metal or non-metal element stable for a six-coordination structure; A is at least one selected from the group consisting of halogen, sulfur, chalcogenide compounds and nitrogen; 0
US08673169B2 Lubricant composition for refrigerating machine
A lubricating oil composition for refrigerator is provided by adding base oil with biphenols selected from 4,4′-methylenebis(2,6-di-t-butyl-phenol), 2′2-methylenebis(4-methyl-6-t-butylphenol), 2,2′-methylenebis(4-ethyl-6-t-butylphenol) and 4,4′-butylidenebis(3-methyl-6-t-butylphenol).
US08673167B2 Laser processing method
A laser processing method for forming a hole in a sheet-like object to be processed made of silicon comprises a depression forming step of forming a depression in a part corresponding to the hole on a laser light entrance surface side of the object, the depression opening to the laser light entrance surface; a modified region forming step of forming a modified region along a part corresponding to the hole in the object by converging a laser light at the object after the depression forming step; and an etching step of anisotropically etching the object after the modified region forming step so as to advance the etching selectively along the modified region and form the hole in the object; wherein the modified region forming step exposes the modified region or a fracture extending from the modified region to an inner face of the depression.
US08673164B2 Simple method to fabricate nano-porous diamond membranes
A method to fabricate nanoporous diamond membranes and a nanoporous diamond membrane are provided. A silicon substrate is provided and an optical lithography is used to produce metal dots on the silicon substrate with a predefined spacing between the dots. Selective seeding of the silicon wafer with nanodiamond solution in water is performed followed by controlled lateral diamond film growth producing the nanoporous diamond membrane. Back etching of the under laying silicon is performed to open nanopores in the produced nanoporous diamond membrane.
US08673160B1 Electrical interconnect with improved corrosion resistance for a disk drive head suspension
An electrical interconnect and a method of making an electrical interconnect in which a conductor has been substantially plated with a first protective metal shell, such as nickel, and a second outer metal shell, such as gold, before a covercoat has been applied. Such an electrical interconnect can be characterized as having an even-thickness outer shell on both its terminal pads and underneath the covercoat adjacent to the terminal pads, without overhangs or gaps near the bottom of the covercoat caused by surface etching during production.