Document Document Title
US08044029B2 Sulfatides for treatment of autoimmune disorders
Disclosed herein are methods for the treatment of autoimmune or immune related diseases or disorders. Also disclosed are methods for treating such autoimmune or immune related diseases or disorders with the administration of sulfatides. Also disclosed herein are methods of treating autoimmune or immune related diseases or disorders by administering an amount of a sulfatide to the body of a patient effective to reduce or prevent the symptoms of the autoimmune or immune related disease or disorder.
US08044024B2 Identifying modulators of fibroblast growth factor-like polypeptides
The present invention provides novel Fibroblast Growth Factor-like (FGF-like) polypeptides and nucleic acid molecules encoding the same. The invention also provides vectors, host cells, antibodies and methods for producing FGF-like polypeptides. Also provided for are methods for the diagnosis and treatment of diseases associated with FGF-like polypeptides.
US08044021B2 Sustained release of apo A-I mimetic peptides and methods of treatment
A method including advancing a delivery device through a lumen of a blood vessel to a particular region in the blood vessel; and introducing a composition including a sustained-release carrier and an apolipoprotein A-I (apo A-I) synthetic mimetic peptide into a wall of the blood vessel at the particular region or a perivascular site, wherein the peptide has a property that renders the peptide effective in reverse cholesterol transport. A composition including an apolipoprotein A-I (apo A-I) synthetic peptide, or combination of an apo A-I synthetic mimetic peptide and an Acyl CoA cholesterol: acyltransferase (ACAT) inhibitor in a form suitable for delivery into a blood vessel, the peptide including an amino acid sequence in an order reverse to an order of various apo A-I mimetic peptides, or endogenous apo A-I analogs, or a chimera of helix 1 and helix 9 of endogenous apo A-I.
US08044019B2 Cell penetrating peptide
According to the present invention, it is possible to provide a novel cell penetrating peptide that transports proteins into cells and/or into nuclei at higher frequency than conventional cell penetrating peptides, and a pharmaceutical containing the peptide.
US08044018B2 Reagents and methods for smooth muscle therapies
The present invention provides novel polypeptides comprising heat shock protein 20 (HSP20)-derived polypeptides to treat or inhibit smooth muscle vasospasm, as well to treat and inhibit smooth muscle cell proliferation and migration.
US08044016B2 Anti-grey detergent comprising a polycarbonate-, polyurethane-, and/or polyurea-polyorganosiloxane compound
The invention relates to a detergent which contains a surfactant and optionally further conventional additives of detergents and cleaning agents, the detergent comprising an anti-grey polycarbonate-, polyurethane- and/or polyurea-polyorganosiloxane compound or a precursor compound thereof containing specific reactive groups, which can be used for the production thereof.
US08044015B2 3-chloro 1,1,1,6,6,6-hexafluoro-2,4-hexadiene and solvent compositions containing the same
Disclosed are compositions and systems having utility in numerous situations, including in particular solvent cleaning systems, as well as refrigerant lubricants and/or compatibilizing agents, and to methods which utilize such compositions and systems. More particularly, the present invention in preferred aspects is directed to solvents, blowing agents, heat transfer fluids and compatibilizing agents comprising the compound 3-chloro-1,1,1,6,6,6-hexafluoro-2,4-hexadiene.
US08044011B2 Cleaning agents comprising a polycarbonate-, polyurethane-, and/or polyurea-polyorganosiloxane compound
The invention relates to machine dishwashing agents containing specific polycarbonate-, polyurethane-, and/or polyurea-polyorganosiloxane compounds or precursor compounds thereof of the reactive cyclic carbonate and urea type which help to avoid the formation of water spots and filming after the use of the agents and accelerate the drying of dishes.
US08044010B2 Azeotropic compositions comprising fluorinated compounds for cleaning applications
The present invention relates to compositions comprising fluorinated olefins or fluorinated ketones, and at least one alcohol, halocarbon, hydrofluorocarbon, or fluoroether and combinations thereof. In one embodiment, these compositions are azeotropic or azeotrope-like. In another embodiment, these compositions are useful in cleaning applications as a degreasing agent or defluxing agent for removing oils and/or other residues from a surface.
US08044002B2 Solid zirconium-based cross-linking agent and use in oil field applications
A solid zirconium cross-linking agent and use in a cross-linking composition in oil field applications such as hydraulic fracturing and plugging of permeable zones. The zirconium cross-linking agent is prepared by a process comprising contacting a zirconium complex with an alkanolamine and water at particular mole ratios of alkanolamine and water to zirconium.
US08044001B2 Solid zirconium-based cross-linking agent and use in oil field applications
A solid zirconium cross-linking agent is prepared comprising zirconium and hydroxyalkylated ethylene diamine ligand. Use of the cross-linking agent in compositions for oil field applications such as hydraulic fracturing and plugging of permeable zones is also disclosed.
US08043998B2 Method for treating a fractured formation with a non-ionic fluorinated polymeric surfactant
The present invention includes compositions and methods of treating a hydrocarbon-bearing formation, the formation having at least one fracture, by contacting the fracture with a composition that includes a solvent and a nonionic fluorinated polymeric surfactant, wherein the composition interacts with at least a portion of the proppants in the fracture.
US08043989B2 Desulfurization system for hydrocarbon fuel
A system is provided for desulfurizing a hydrocarbon fuel containing a light amount of methanol and a slight amount of water. The desulfurization system uses a Y-type zeolite-based desulfurizing agent containing at least copper arranged upstream of the system and an X-type zeolite-based desulfurizing agent containing at least silver arranged downstream of the system and thus can maintain desulfurization effect for a long period of time.
US08043984B2 Single sided stretch bonded laminates, and methods of making same
An elastic laminate capable of being rolled for storage and unwound from a roll when needed for use, includes an elastic layer of an array of continuous filament strands with meltblown deposited on the continuous filament strands, and a facing layer bonded to only one side of the elastic layer. The meltblown layer may include an elastic polyolefin-based meltblown polymer having a degree of crystallinity between about 3% and about 40%. The laminate suitably has an inter-layer peel strength of less than about 70 grams per 3 inches cross-directional width at a strain rate of 300 mm/min. Alternatively or additionally, the continuous filament strands and/or the facing layer may include an elastic polyolefin-based meltblown polymer having a degree of crystallinity between about 3% and about 40%. In certain embodiments, the elastic laminate may include an extensible facing layer bonded to an elastic or semi-elastic film layer having a basis weight of about 50 gsm or less, wherein the facing layer includes an elastic polyolefin-based polymer having a degree of crystallinity between about 3% and about 40%.
US08043980B2 Methods for making and using halosilylgermanes
The invention provides compounds of, and methods for the preparation of compounds of, the molecular formula, SixGeyHz—aXa; wherein X is halogen, and x, y, z, and a are defined herein, and methods for the deposition of high-Ge content Si films on silicon substrates using compounds of the invention.
US08043979B2 Plasma oxidizing method, storage medium, and plasma processing apparatus
A plasma oxidizing method in which a plasma is produced in a processing chamber of a plasma processing apparatus under a processing condition that the proportion of oxygen in the processing gas is 20% or more and the processing pressure is 400 to 1333 Pa, and silicon exposed from the surface of an object to be processed is oxidized by the plasma to form a silicon oxide film.
US08043974B2 Semiconductor wet etchant and method of forming interconnection structure using the same
A semiconductor wet etchant includes deionized water, a fluorine-based compound, an oxidizer and an inorganic salt. A concentration of the fluorine-based compound is 0.25 to 10.0 wt % based on a total weight of the etchant, a concentration of the oxidizer is 0.45 to 3.6 wt % based on a total weight of the etchant, and a concentration of the inorganic salt is 1.0 to 5.0 wt % based on a total weight of the etchant. The inorganic salt comprises at least one of an ammonium ion (NH4+) and a chlorine ion (Cl−).
US08043973B2 Mask overhang reduction or elimination after substrate etch
A method of forming IC devices includes providing a substrate and forming a patterned masking layer including at least one masked region having at least one masking layer, and a feature region bounded by the masking layer. Etching forms an etched feature in the substrate, wherein undercutting during the etching forms at least one mask overhang region over a surface portion of the etched feature that is recessed relative to an outer edge of the masking layer. A pullback etch process exclusive of any additional patterning step laterally etches the masking layer. The conditions for the pullback etch retain at least a portion of the masking layer and reduce a length of the mask overhang region by at least 50%, or eliminate the mask overhang region entirely. The etched feature is then filled after the pullback etch process to form a filled etched feature.
US08043971B2 Plasma processing apparatus, ring member and plasma processing method
[Problem to be Solved] In a plasma processing apparatus for executing a process using plasma, promoting the sharing of an apparatus in executing a plurality of different processes and plasma states amongst apparatuses in executing same processes in a plurality of apparatuses are provided.[Solution] A ring member formed of an insulating material is disposed to surround a to-be-treated substrate in a processing vessel and an electrode is installed in the ring member for adjusting a plasma sheath region. For example, a first DC voltage is applied to the electrode when a first process is performed on the to-be-treated substrate and a second DC voltage is applied to the electrode when a second process is performed on the to-be-treated substrate. In this case, the plasma state can be matched by applying an appropriate DC voltage according to each process or each apparatus executing the same process. Therefore, the sharing of an apparatus can be promoted and the plasma state can be readily adjusted.
US08043965B2 Method of forming a through substrate via in a compound semiconductor
A method is provided for forming a through substrate via in a compound semiconductor having a transistor on a front side of the substrate. The method comprises forming a protective stop pad over a contact area on the front side of the substrate, forming a contact pad overlying the protective stop pad, such that the contact pad is in contact with a terminal of the transistor and plasma etching a backside of the substrate to form a contact coupling via to the protective stop pad. The method further comprises performing a chemical wet etch to remove the protective stop pad and depositing a conductive contact layer in the contact coupling via to provide a conductive contact to the contact pad.
US08043963B2 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
A method for manufacturing a semiconductor device that improves the reliability of a metal cap layer and productivity. The method includes an insulation layer step of superimposing an insulation layer (11) on a semiconductor substrate (2) including an element region (2b), a recess step of forming a recess (12) in the insulation layer (11), a metal layer step of embedding a metal layer (13) in the recess (12), a planarization step of planarizing a surface of the insulation layer (11) and a surface of the metal layer (13) to be substantially flush with each other, and a metal cap layer step of forming a metal cap layer (16) containing at least zirconium element and nitrogen element on the surface of the insulation layer (11) and the surface of the metal layer (13) after the planarization step.
US08043958B1 Capping before barrier-removal IC fabrication method
Methods of forming a capping layer on conductive lines in a semiconductor device may be characterized by the following operations: (a) providing a semiconductor substrate comprising a dielectric layer having (i) exposed conductive lines (e.g., copper lines) disposed therein, and (ii) an exposed barrier layer disposed thereon; and (b) depositing a capping layer material on at least the exposed conductive lines of the semiconductor substrate. In certain embodiments, the method may also involve removing at least a portion of a conductive layer (e.g., overburden) disposed over the barrier layer and conductive lines to expose the barrier layer.
US08043952B2 Method of forming aluminum oxide layer and method of manufacturing charge trap memory device using the same
Provided is a method of forming an aluminum oxide layer and a method of manufacturing a charge trap memory device using the same. The method of forming an aluminum oxide layer may include forming an amorphous aluminum oxide layer on an underlying layer, forming a crystalline auxiliary layer on the amorphous aluminum oxide layer, and crystallizing the amorphous aluminum oxide layer. Forming the crystalline auxiliary layer may include forming an amorphous auxiliary layer on the amorphous aluminum oxide layer; and crystallizing the amorphous auxiliary layer.
US08043951B2 Method of manufacturing a semiconductor device and semiconductor device obtainable therewith
A method of manufacturing a semiconductor device on a substrate. The method may include forming a non-volatile memory in a memory area of the substrate. The forming non-volatile memory on a substrate may include formation in the memory area of a floating gate structure and of a control gate structure which is in a stacked configuration with the floating gate structure. One or more gate material layer may be formed in a logic area of the substrate. After forming the control gate structure and the gate material layer, a filling material layer may be deposited over the logic area and the memory area. The filling material layer may be partially removed by reducing the thickness of the filling material in the logic area and the memory area, at least until a top surface of the one or more gate material layer is exposed. Logic devices may be formed in the logic area, the formation may include forming a logic gate structure from the gate material layer.
US08043949B2 Method of manufacturing silicon carbide semiconductor device
There is provided a method of manufacturing a silicon carbide semiconductor device including the steps of: in a semiconductor stacked substrate including a first conductivity type silicon carbide crystal substrate, a first conductivity type silicon carbide crystal layer, a second conductivity type silicon carbide crystal layer, and a first conductivity type semiconductor region, forming a trench extending through the first conductivity type semiconductor region and the second conductivity type silicon carbide crystal layer into the first conductivity type silicon carbide crystal layer defined as a bottom surface; forming a silicon film on at least a part of the trench; heating the semiconductor stacked substrate having the silicon film formed to a temperature that is not less than the melting temperature of the silicon film; removing the heated silicon film; forming a gate insulating film on a surface exposed after the silicon film is removed; and forming a gate electrode layer on a surface of the gate insulating film.
US08043948B2 Semiconductor device manufacturing method and design support apparatus
A semiconductor device manufacturing method includes: forming a conductive film over a substrate; forming an assist pattern on the conductive film; forming a metal film to cover the conductive film and the assist pattern; etching back the metal film to form at least one side wall film on a side surface of the assist pattern; removing the assist pattern; forming at least one resist pattern to selectively expose a portion of the conductive film and a portion of the side wall film; performing etching using the resist pattern as a mask to remove the exposed portion of the side wall film; and etching the conductive film using the side wall film as a mask to form a gate electrode and a contact region electrically connected to the gate electrode.
US08043947B2 Method to eliminate re-crystallization border defects generated during solid phase epitaxy of a DSB substrate
A method for semiconductor processing provides a DSB semiconductor body having a first crystal orientation, a second crystal orientation, and a border region disposed between the first and second crystal orientations. The border region further has a defect associated with an interface of the first crystal orientation and second the second crystal orientation, wherein the defect generally extends a distance into the semiconductor body from a surface of the body. A sacrificial portion of the semiconductor body is removed from the surface thereof, wherein removing the sacrificial portion at least partially removes the defect. The sacrificial portion can be defined by oxidizing the surface at low temperature, wherein the oxidation at least partially consumes the defect. The sacrificial portion can also be removed by CMP. An STI feature may be further formed over the defect after removal of the sacrificial portion, therein consuming any remaining defect.
US08043945B2 Method of fabricating semiconductor device
A method of fabricating a semiconductor device according to one embodiment includes: exposing a surface of a semiconductor substrate to a halogen-containing gas that contains at least one of Si and Ge, the semiconductor substrate being provided with a member comprising an oxide and consisting mainly of Si; and exposing the surface of the semiconductor substrate to an atmosphere containing at least one of a Si-containing gas not containing halogen and a Ge-containing gas not containing halogen after starting exposure of the surface of the semiconductor substrate to the halogen-containing gas, thereby epitaxially growing a crystal film containing at least one of Si and Ge on the surface.
US08043943B2 Low-temperature formation of polycrystalline semiconductor films via enhanced metal-induced crystallization
A method for forming polycrystalline semiconductor film from amorphous semiconductor film at reduced temperatures and/or accelerated rates. The inclusion of a small percentage of semiconductor material, such as 2% within the metal layer, reduces the temperatures required for crystallization of the amorphous semiconductor by at least 50° C. in comparison to the use of the metal layer without the small percentage of semiconductor material. During a low temperature isothermal annealing process adjacent Al-2% Si and a-Si films undergo a layer exchange resulting in formation of a continuous polycrystalline silicon film having good physical and electrical properties. Formation of polycrystalline-semiconductor in this manner is suitable for use with low temperature substrates (e.g., glass, plastic) as well as with numerous integrated circuit and MEMs fabrication devices and practices.
US08043940B2 Method for manufacturing semiconductor chip and semiconductor device
An improved yield of chips is realized by reducing the width of dicing streets on the front surface side of a semiconductor wafer. A method for semiconductor chip, divided a semiconductor wafer 10 having a plurality of circuit patterns formed on one surface 18 into pieces, comprising, forming a groove in a boundary region between the circuit patterns from the other surface 19 of the semiconductor wafer 10 by using a blade, forming a modified layer 14 in the boundary region between the circuit patterns by irradiation with laser light L from the one surface 18 or the other surface 19 of the semiconductor wafer 10, and dividing the semiconductor wafer into pieces by breaking the modified layer 14. The modified layer 14 is formed between a bottom surface 17 of a groove portion 16 and the one surface 18 of the semiconductor wafer 10, and a forming width WM of the modified layer 14 is smaller than the width of the groove portion 16.
US08043936B2 Method for manufacturing semiconductor device
An object is to suppress discharge due to static electricity generated by peeling, when an element formation layer including a semiconductor element is peeled from a substrate. Over the substrate, the release layer and the element formation layer are formed. The support base material which can be peeled later is fixed to the upper surface of the element formation layer. The element formation layer is transformed through the support base material, and peeling is generated at an interface between the element formation layer and the release layer. Peeling is performed while the liquid is being supplied so that the element formation layer and the release layer which appear sequentially by peeling are wetted with the liquid such as pure water. Electric charge generated on the surfaces of the element formation layer and the release layer can be diffused by the liquid, and discharge by peeling electrification can be eliminated.
US08043935B2 Method for manufacturing semiconductor substrate and method for manufacturing semiconductor device
An object is to manufacture a semiconductor substrate having a single crystal semiconductor layer with favorable characteristics, without requiring CMP treatment and/or heat treatment at high temperature. In addition, another object is to improve productivity of semiconductor substrates. Vapor-phase epitaxial growth is performed by using a first single crystal semiconductor layer provided over a first substrate as a seed layer, whereby a second single crystal semiconductor layer is formed over the first single crystal semiconductor layer, and separation is performed at an interface of the both layers. Thus, the second single crystal semiconductor layer is transferred to the second substrate to provide a semiconductor substrate, and the semiconductor substrate is reused by performing laser light treatment on the seed layer.
US08043934B2 Methods of use and formation of a lateral bipolar transistor with counter-doped implant regions under collector and/or emitter regions
A method for protecting a semiconductor circuit from electrostatic discharge is disclosed. An electrostatic discharge is received at a node. Current created by the electrostatic discharge is directed vertically into a semiconductor body, laterally through the semiconductor and beneath a trench isolation region so that the current flows in a direction parallel to an upper surface of the semiconductor body, and to a reference supply node. The reference supply node being formed in a conductive layer disposed over the upper surface of the semiconductor body.
US08043931B1 Methods for forming multi-layer silicon structures
The embodiments of the present invention are directed to the formation of multi-layer silicon structures by forming and attaching a plurality of individual layers or structures where each of the layers or the structures comprises at least silicon forming a desired pattern. In some embodiments or some applications of some embodiments, at least one of the plurality of individual layers or the structures comprises a plurality of discrete silicon features that are combined together with at least one sacrificial material. In some embodiments or some applications of some embodiments, at least one of the plurality of individual layers or the structures comprises a plurality of discrete silicon features that are supported by a temporary substrate. Still in some embodiments or some applications of some embodiments, at least one of the plurality of individual layers or the structures needs to be machined after it is attached to a receiver such as a substrate or an another layer or structure. The present invention also discloses various fabrication methods for making required silicon layers or structures and attaching methods for forming multi-layer silicon structures.
US08043920B2 finFETS and methods of making same
A method of fabricating and a structure of a merged multi-fin finFET. The method includes forming single-crystal silicon fins from the silicon layer of an SOI substrate having a very thin buried oxide layer and merging the end regions of the fins by growing vertical epitaxial silicon from the substrate and horizontal epitaxial silicon from ends of the fins such that vertical epitaxial silicon growth predominates.
US08043918B2 Semiconductor device and its manufacturing method
To manufacture in high productivity a semiconductor device capable of securely achieving element isolation by a trench-type element isolation and capable of effectively preventing potentials of adjacent elements from affecting other nodes, a method of manufacturing the semiconductor device includes: a step of forming a first layer on a substrate; a step of forming a trench by etching the first layer and the substrate; a step of thermally oxidizing an inner wall of the trench; a step of depositing a first conductive film having a film thickness equal to or larger than one half of the trench width of the trench on the substrate including the trench; a step of removing a first conductive film from the first layer by a CMP method and keeping the first conductive film left in only the trench; a step of anisotropically etching the first conductive film within the trench to adjust the height of the conductive film to become lower than the height of the surface of the substrate; a step of depositing an insulating film on the first conductive film by the CVD method to embed the upper part of the first conductive film within the trench; a step of flattening the insulating film by the CMP method; and a step of removing the first layer.
US08043911B2 Methods of forming semiconductor constructions
The invention includes methods of forming semiconductor constructions in which a single etch is utilized to penetrate through a titanium-containing layer and partially into a silicon-containing layer beneath the titanium-containing layer. The etch can utilize CH2F2. The silicon-containing layer can contain an n-type doped region and a p-type doped region. In some methods, the silicon-containing layer can contain an n-type doped region laterally adjacent a p-type doped region, and the processing can be utilized to form a transistor gate containing n-type doped silicon simultaneously with the formation of a transistor gate containing p-type doped silicon.
US08043908B2 Method of fabricating memory
A method of fabricating a semiconductor device is provided. First, a stacked structure is formed on a substrate. The stacked structure includes, from the substrate, a dielectric layer and a conductive gate in order. An ion implant process is performed to form doped regions in the substrate on the opposite sides of the stacked structure. Thereafter, source-side spacer is formed on a sidewall of the stacked structure. A thermal process is performed to activate the doped regions, thereby forming a source in the substrate under the sidewall of the stacked structure having the source-side spacer and a drain in the substrate on another side of the stacked structure.
US08043904B2 Semiconductor manufacturing method and semiconductor device
A method of manufacturing a semiconductor device includes forming a mask layer on a first-conductivity-type semiconductor substrate, etching the semiconductor substrate using the mask layer as a mask, thereby forming a projecting semiconductor layer, forming a first insulating layer on the semiconductor substrate to cover a lower portion of the projecting semiconductor layer, doping a first-conductivity-type impurity into the first insulating layer, thereby forming a high-impurity-concentration layer in the lower portion of the projecting semiconductor layer, forming gate insulating films on side surfaces of the projecting semiconductor layer which upwardly extend from an upper surface of the first insulating layer, and forming a gate electrode on the gate insulating films and on the first insulating film.
US08043903B2 Method of manufacturing semiconductor device
A method of manufacturing a semiconductor device may include, but is not limited to the following processes. A first recess is formed in a semiconductor substrate to define an active region on the semiconductor substrate. The active region includes a protruding portion of the semiconductor substrate surrounded by the first recess. The protruding portion has a sloped side surface. A first insulating film that fills the first recess is formed. A gate recess is formed in the active region to form a thin film portion that upwardly extends. The thin film portion is positioned between the gate recess and the first insulating film. The thin film portion is a part of the protruding portion. An upper part of the thin film portion is removed by wet-etching to adjust a height of the thin film portion.
US08043902B2 Semiconductor device and manufacturing method thereof
The present invention provides a TFT including at least one LDD region in a self-alignment manner without forming a sidewall spacer and increasing the number of manufacturing steps. A photomask or a reticle provided with an assist pattern that is formed of a diffraction grating pattern or a semi-transmitting film and has a function of reducing light intensity is employed in a photolithography step of forming a gate electrode, an asymmetrical resist pattern having a region with a thick thickness and a region with a thickness thinner than that of the above region on one side is formed, a gate electrode having a stepped portion is formed, and an LDD region is formed in a self-alignment manner by injecting an impurity element to the semiconductor layer through the region with a thin thickness of the gate electrode.
US08043901B2 Method for manufacturing display device
The present invention relates to a method for manufacturing a display device including a p-channel thin film transistor and an n-channel thin film transistor having a microcrystalline semiconductor film each of which are an inverted-staggered type, and relates to a method for formation of an insulating film and a semiconductor film which are included in the thin film transistor. Two or more kinds of high-frequency powers having different frequencies are supplied to an electrode for generating glow discharge plasma in a reaction chamber. High-frequency powers having different frequencies are supplied to generate glow discharge plasma, so that a thin film of a semiconductor or an insulator is formed. High-frequency powers having different frequencies (different wavelength) are superimposed and applied to the electrode of a plasma CVD apparatus, so that densification and uniformity of plasma for preventing the effect of surface standing wave of plasma can be realized.
US08043894B2 Integrated circuit package system with redistribution layer
An integrated circuit package system includes forming a first external interconnect having both a first side and a second side that is an opposing side to the first side; forming a first encapsulation around a first integrated circuit and the first external interconnect with the first side, the second side, and the first active side of the first integrated circuit exposed; forming a planar interconnect between the first active side and the second side; forming a second encapsulation covering the planar interconnect and the first active side; connecting a second integrated circuit over the first integrated circuit and the first side; and forming a top encapsulation over the second integrated circuit.
US08043893B2 Thermo-compression bonded electrical interconnect structure and method
An electrical structure and method for forming electrical interconnects. The method includes positioning a sacrificial carrier substrate such that a first surface of a non-solder metallic core structure within the sacrificial carrier substrate is in contact with a first electrically conductive pad. The first surface is thermo-compression bonded to the first electrically conductive pad. The sacrificial carrier substrate is removed from the non-solder metallic core structure. A solder structure is formed on a second electrically conductive pad. The first substrate comprising the non-solder metallic core structure is positioned such that a second surface of the non-solder metallic core structure is in contact with the solder structure. The solder structure is heated to a temperature sufficient to cause the solder structure to melt and form an electrical and mechanical connection between the second surface of the non-solder metallic core structure and the second electrically conductive pad.
US08043892B2 Semiconductor die package and integrated circuit package and fabricating method thereof
A semiconductor die package includes a substrate, a semiconductor die mounted on the substrates a molding covering the semiconductor die and which is formed on the substrate and a conductive layer laminated on the molding.
US08043888B2 Phase change memory cell with heater and method therefor
A method for forming a phase change memory cell (PCM) includes forming a heater for the phase change memory and forming a phase change structure electrically coupled to the heater. The forming a heater includes siliciding a material including silicon to form a silicide structure, wherein the heater includes at least a portion of the silicide structure. The phase change structure exhibits a first resistive value when in a first phase state and exhibits a second resistive value when in a second phase state. The silicide structure produces heat when current flows through the silicide structure for changing the phase state of the phase change structure.
US08043887B2 Thin film transistor, flat panel display including the thin film transistor, and method for manufacturing the thin film transistor and the flat panel display
A thin film transistor having a transformed region that provides the same result as patterning a semiconductor layer, a flat panel display having the thin film transistor and a method for manufacturing the thin film transistor and the flat panel display are disclosed. The thin film structure includes a gate electrode, a source and a drain electrode, each insulated from the gate electrode and an organic semiconductor layer coupled to the source electrode and the drain electrode. The organic semiconductor layer includes the transformed region having a crystal structure distinguished from crystal structures of regions around the channel region.
US08043886B2 Methods for manufacturing a contact grid on a photovoltaic cell
Processes for fabricating a contact grid for a photovoltaic cell generally includes providing a photovoltaic cell having an antireflective coating disposed on a sun facing side, the photovoltaic cell comprising a silicon substrate having a p-n junction; soft stamping a pattern of a UV sensitive photoresist and/or polymer onto the antireflective coating; exposing the UV sensitive photoresist and/or polymer to ultraviolet radiation to cure the UV sensitive photoresist and/or polymer; etching the pattern to form openings in the antireflective coating that define the contact grid; stripping the UV sensitive photoresist and/or polymer; and depositing a conductive metal into the openings defined by the pattern. The metal based paste can be aluminum based, which can be annealed at a relatively low temperature.
US08043885B2 Method of manufacturing semiconductor film and method of manufacturing photovoltaic element
A method of manufacturing a semiconductor film capable of inhibiting the quality of a semiconductor film from destabilization is obtained. This method of manufacturing a semiconductor film includes steps of introducing source gas for a semiconductor, controlling the pressure of an atmosphere formed by the source gas to a prescribed level, heating a catalytic wire to at least a prescribed temperature after controlling the pressure of the atmosphere to the prescribed level and forming a semiconductor film by decomposing the source gas with the heated catalytic wire.
US08043875B2 LED packaging method
An LED packaging method provides a package that includes a substrate, a LED chip, a carbon naonotube thin film and an adhesive layer. The LED chip includes an anode and a cathode. The carbon naonotube thin film includes at least two electrically conductive areas spaced from each other. The anode and the cathode are electrically connected to the adjacent electrically conductive areas. The adhesive layer is coated on the LED chip and the carbon nanotube thin film.
US08043872B2 Epitaxial material used for GaN based LED with low polarization effect and manufacturing method thereof
A method of manufacturing epitaxial material used for GaN based LED with low polarization effect, which includes steps of growing n-type InGaAlN layer composed of GaN buffer layer (2) and n-type GaN layer (3), low polarizing active layer composed of InGaAlN multi-quantum well structure polarized regulating and controlling layer (4) and InGaAlN multi-quantum well structure light emitting layer (5) and p-type InGaAlN layer (6) on sapphire or SiC substrate (1) in turn. The method adds InGaAlN multi-quantum well structure polarized regulating and controlling layer, thus reduces polarization effect of quantum well active region.
US08043870B2 CMP pad thickness and profile monitoring system
In one embodiment a method is provided for maintaining a substrate processing surface. The method generally includes performing a set of measurements on the substrate processing surface, wherein the set of measurements are taken using a displacement sensor coupled to a processing surface conditioning arm, determining a processing surface profile based on the set of measurements, comparing the processing surface profile to a minimum profile threshold, and communicating a result of the profile comparison.
US08043869B2 Magnetic memory device and method of fabricating the same
A magnetic memory device includes a common line; a first write-in diode, a readout diode and a second write-in diode being connected to the common line in parallel. The magnetic memory device further includes a magnetic tunnel junction structure connected to the readout diode, first and second write-in conductors disposed at both sides of the magnetic tunnel junction structure and connected to the first and second write-in diodes, respectively and a first write-in line, a readout line and a second write-in line, which are connected to the first write-in conductor, the magnetic tunnel injection structure, and the second write-in conductor, respectively.
US08043868B2 Method and apparatus for detecting an analyte
A method for determining a concentration of an analyte is provided. The method includes providing a substrate including a conductive region and a recognition layer where the conductive region has a first surface operatively coupled with the recognition layer; The method also includes contacting the substrate with the sample to bind at least some of the analyte that may present in the sample with the recognition layer; The method further includes directing radiation through the conductive region and the recognition layer, where the conductive region comprises at least one particle and a combination of the at least one particle effect when the radiation is directed through the conductive region and the recognition layer; The method still further includes measuring at least a part of a spectrum of the radiation that is absorbed or transmitted by or through the substrate, the at least part of the spectrum being related to one or more of the at least one particle effects; The method further includes determining a change of the at least part of the spectrum as compared with a reference spectrum and determining the concentration of the analyte from the change.
US08043865B2 Metering doses of sample liquids
A device and method of metering and mixing a dose of a sample liquid with a diluent liquid includes introducing a sample liquid into a channel defined in a housing. The housing defines a pocket open to the channel and sized to both collect a metered dose of the sample liquid, and to retain the collected dose by capillary force when the channel is emptied. The sample liquid is then removed from the channel under conditions that enable retention of the collected, metered dose of the sample liquid in the pocket. Following a cleaning step, a volume of diluent liquid is introduced into the channel to induce diffusion and mixing of the diluent liquid with the dose of sample liquid to form a mixture.
US08043860B2 Vapochromic coordination polymers for use in analyte detection
This application relates to vaprochromic coordination polymers useful for analyte detection. The vapochromism may be observed by visible color changes, changes in luminescence, and/or spectroscopic changes in the infrared (IR) signature. One or more of the above chromatic changes may be relied upon to identify a specific analyte, such as a volatile organic compound or a gas. The chromatic changes may be reversible to allow for successive analysis of different analytes using the same polymer. The polymer has the general formula MW[M−X(Z)Y]N wherein M and M− are the same or different metals capable of forming a coordinate complex with the Z moiety; Z is selected from the group consisting of halides, pseudohalides, thiolates, alkoxides and amides; W is between 1-6; X and Y are between 1-9; and N is between 1-5. Optionally, an organic ligand may be bound to M. In alternative embodiments of the invention M may be a transition metal, such as Cu and Zn. M− may be a metal such as Au, Ag, Hg and Cu, and Z may be a pseuodohalide, such as CN, SCN, SeCN, TeCN, OCN, CNO and NNN. In one particular embodiment a new class of [Metal(CN)2]-based coordination polymers with vapochromic properties is described, such as Cu[Au(CN)2]2 and Zn[Au(CN)2]2 polymers.
US08043857B2 Recombinant BCG strains with enhanced ability to escape the endosome
Mycobacterium strains that have an enhanced ability to elicit a MHC-Class I-restricted CD8+ T cell immune response are provided. The Mycobacterium strains are genetically engineered to express: a endosomalytic protein that is active at neutral pH (e.g. Perfringolysin O), permitting escape of the Mycobacterium from endosomes into the cytoplasm of the cell; and antigens of interest, such as tuberculosis antigens. The invention also provides vaccine preparations containing such Mycobacterium strains.
US08043854B2 Method of decellularizing tissues
In the transplant of a living organism tissue, such as a heart valve, taken from an animal, etc. into a human body, a cell removing solution for removing original cells from the living organism tissue is provided with flow approximately equal to the bloodstream of transplant recipient living body, and the living organism tissue is placed in the flow so as to effect immersion of the living organism tissue in the cell removing solution. In the immersion, it is preferred that the living organism tissue placed in the cell removing solution, while being rotated, be irradiated with microwave. As a result, original cells can be removed from the living organism tissue uniformly and reliably, so that the biocompatibility of living organism tissue after transplant can be enhanced.
US08043853B2 Postnatal gut neural crest stem cells
The present invention relates to compositions and methods employing postnatal (e.g., adult) neural crest stem cells. The stem cells are multipotent and differentiate when transplanted in vivo. Transplantation methods are provided for therapeutic, diagnostic, and research applications.
US08043849B2 Thermal cycling device
Multi-layer devices suitable for thermal cycling processes. The devices are particularly suitable for performing polymerase chain reactions (PCR). One embodiment includes a first conducting layer, a second conducting layer adjacent to the first layer, and a third conducting layer adjacent to the second layer opposite the first layer. Insulating layers are positioned between said three conducting layers. Continuous channels are formed within the layers. The channels can be formed in either the conducting layer or the insulating layers, or both. Other embodiments include two conducting layers. At least one integral or separate temperature source may be provided to maintain the conducting layers at various desired temperatures.
US08043848B2 Biological reaction method and bioreactor
In a bioreactor, a culture solution derived from a cultivation tank is separated into bacteria cells and filtrate by a bacteria cell filter. The filtrate is introduced from the bacteria cell filter into a micro-nano bubble generation tank where micro-nano-bubbles are mixed with the filtrate. The filtrate containing micro-nano-bubbles is returned to the cultivation tank to activate the microorganisms therein, so that a biological reaction time is reduced by the activated microorganisms.
US08043847B2 System including a tunable light and method for using same
The present invention provides a system and method for providing light, which can be used to promote growth of biological material. The light includes a ceramic core, including a catalyst and a containment vessel. Light forms as fuel is ignited within the containment vessel. Use of the ceramic core increases the efficiency of the light are reduces undesired gas emissions from the light.
US08043840B2 Methods and compositions for the recombinant biosynthesis of n-alkanes
The present disclosure identifies methods and compositions for modifying photoautotrophic organisms as hosts, such that the organisms efficiently convert carbon dioxide and light into n-alkanes, and in particular the use of such organisms for the commercial production of n-alkanes and related molecules.
US08043837B2 Aldolases, nucleic acids encoding them and methods for making and using them
This invention relates to polypeptides having aldolase activity, including pyruvate activity such as, without limitation, HMG and/or KHG aldolase activity, polynucleotides encoding these polypeptides, and methods of making and using these polynucleotides and polypeptides. In some embodiments, the invention is directed to polypeptides having aldolase activity, including pyruvate activity such as, without limitation, HMG and/or KHG aldolase activity, including thermostable and thermotolerant activity, and polynucleotides encoding these enzymes, and making and using these polynucleotides and polypeptides. The polypeptides in accordance with the invention can be used in a variety of pharmaceutical, agricultural and industrial contexts. In some embodiments, the invention provides polypeptides and biosynthetic pathways that are useful in the production of R-2-hydroxy 2-(indol-3ylmethyl)-4-keto glutaric acid (R-MP) and certain stereoisomers of monatin, such as R,R and S,R monatin, and salts thereof, as well as certain stereoisomers of monatin derivatives, such as the R,R and S,R configurations, and salts thereof.
US08043834B2 Universal reagents for rolling circle amplification and methods of use
Disclosed are compositions and methods useful for labeling and detection of analytes. The compositions generally are associations of three components: reporter binding agents, amplification target circles, and DNA polymerase. The compositions are assembled prior to their use in a rolling circle amplification reaction and can be stored and transported prior to use without substantial loss of activity. The reporter binding agents generally are composed of a specific binding molecule and a rolling circle replication primer. The specific binding molecule can be specific for a target molecule. The rolling circle replication primer has sequence complementary to the amplification target circle. The DNA polymerase can interact with the rolling circle replication primer and amplification target circle. For use as a general reagent, the specific binding molecule is not bound to the target molecule until the composition is used in an assay.
US08043830B2 Biotin-ligase system for secretion of biotinylated protein
The present invention provides methods of metabolically biotinylating recombinant proteins. Cell lines and specific protein and nucleic acid constructs for use in the methods of the present invention are also provided herein.
US08043824B2 Methods for determining the redox status of proteins
A method for determining the redox status of a protein sample, the method comprising the steps of: a) contacting the sample with a first label adapted to bind to at least one reduced cysteine group therein; b) contacting the sample with a reducing agent to reduce at least one oxidized cysteine group therein; c) contacting the sample with a second label adapted to bind to any reduced cysteine groups produced in step (b); and d) determining the ratio of the signal from the first label to the signal from the second label wherein the ratio indicates the redox status.
US08043823B2 Methods of identifying agonists and antagonists of hTASR bitter taste receptors
The present invention relates to agonists of the human bitter-taste receptors hTAS2R46, hTAS2R47 and hTAS2R50 and their role in bitter taste transduction. The invention also relates to methods for identifying molecules that modulate, e.g. suppress, or enhance hTAS2R46, hTAS2R47 and hTAS2R50 bitter taste transduction or bitter taste response.
US08043821B2 Antibody pair screening methods
The invention provides methods for identifying antibody preparations that can form a pair of antibodies that optimally detect a target antigen, for example, in a sandwich immunoassay. These methods provide high affinity and epitope-specific antibodies.
US08043820B2 Diagnostic methods for the detection of risk of autistic spectrum disorder
The present invention provides methods of identifying markers indicative of the risk of developing a neurodevelopmental disorder caused in part by antibody- or autoantibody-mediated damage of neural tissue, including autism spectrum disorder (ASD). The invention further provides methods of diagnosing whether an individual has a neurodevelopmental disorder, including an ASD, and methods for determining the risk that a mother's future offspring will develop an a neurodevelopmental disorder, including an ASD.
US08043814B2 Thermoelectric method of sequencing nucleic acids
The present invention relates to a novel thermoelectric method for determining the sequence of nucleotides on a nucleic acid molecule through use of a thermopile and/or sequencing reagents flowing under the conditions of laminar flow. The methods disclosed herein involve the measurement of the heat generated by a deoxynucleotide incorporation event that can be accomplished without the need to control the temperature of any of a thermopile's junctions.
US08043813B2 Method of detecting H5 or H7 avian influenza virus
The present invention provides oligonucleotide primers specifically hybridizing to an arbitrary nucleotide sequence designed from the nucleotide sequence of hemagglutinin of an H5 or H7 avian influenza virus, a nucleic acid amplification method using the primers, a method for diagnosis of infection with an H5 or H7 avian influenza virus by detection of nucleic acid amplification, and a kit for influenza diagnosis.
US08043811B2 Purification method and kits
A method for separating nucleic acid from a liquid sample, said method comprising the steps of causing a liquid sample containing or suspected of containing said nucleic acid to flow along a bibulous membrane, for example of a conventional lateral flow device, so that nucleic acid is distributed along the length of the membrane. The nucleic acid may be detected on the membrane.
US08043808B2 CpG-amplicon and array protocol
The invention can be summarized as follows. There is provided a method for amplifying hypomethylated genomic nucleotide sequences and/or hypermethylated genomic nucleotide sequences and comparing the methylation state between different samples, for example control and test samples. Also disclosed is a microarray based method for analyzing hypo and/or hypermethylated genomic nucleotide sequence. Further, kits comprising reagents for practicing the method are provided.
US08043801B2 Method of screening for agents to treat heart failure
The invention provides a method of identifying candidate agents to test for treating heart failure involving diastolic impairment, the method comprising: testing an agent to determine whether it (a) binds to serum response factor (SRF), (b) reduces SRF binding to a serum response element (SRE), or (c) reduces SRF protein levels in a cell; wherein if the agent does one or more of (a), (b), and (c), it is identified as a candidate agent.
US08043796B2 Manufacturing method of semiconductor device
A light absorption layer is formed over a substrate, the light absorption layer is selectively irradiated with a laser beam, and a region of the light absorption layer, which is irradiated with the laser beam, is removed. By adding an impurity element imparting one conductivity type or an inert element to a remaining part of the light absorption layer, a tensile stress of the light absorption layer is made lower than that before irradiation with the laser beam.
US08043785B2 Carrier, method for producing the same, developer, and image forming method
The present invention provides a method for producing a carrier that includes dissolving at least a coating material in carbon dioxide in a liquid state, and forming a coating layer on a core material surface by reducing the solubility of the liquid with at least the coating material dissolved therein through control of at least any one of the pressure and temperature. The present invention also provides a carrier produced by the method for producing a carrier.
US08043779B2 Pulverized toner
To provide a pulverized toner excellent in the releasing ability and cleaning ability, and an image-forming apparatus for one-component developer, and a process cartridge using the pulverized toner. The pulverized toner contains a toner base particle containing at least a binder resin, a colorant, and a releasing agent, the mass ratio of the releasing agent to the binder resin is 3.0% to 6.0%, the adhesive force among the pulverized toners at 25° C. to 55° C. is 20 g to 80 g, and the pulverized toner is used for the image-forming apparatus for one-component developer containing the cleaning unit configured to dispose an elastic blade adjacent to the surface of the image bearing member so as to clean the surface.
US08043775B2 Electrophotographic photosensitive body
An electrophotographic photosensitive body having a photosensitive layer on a conductive base, in which at least the outermost layer thereof contains particles having a double structure composed of a core member and a shell member having a larger rubber hardness than the core member. The electrophotographic photosensitive body has excellent mechanical strength such as wear resistance, abrasion resistance, and scratch resistance as well as excellent electrophotographic characteristics such as cleaning properties over time.
US08043774B2 Undercoat layers and methods for making the same
The presently disclosed embodiments are directed to layers that are useful in imaging apparatus members and components, for use in electrostatographic, including digital, apparatuses. More particularly, the present embodiments provide a robust undercoat layer comprising TiSi in which the TiO2 to SiO2 ratio falls in a particular ratio range discovered to reduce both plywood print defects as well as abnormal operating parameters and print defects from micro-cracks in the undercoat layer, and methods for making the same.
US08043770B2 Photomask and method of forming overlay vernier of semiconductor device using the same
This patent relates to a photomask and a method of forming an overlay vernier of a semiconductor device employing the same. The photomask includes a reticle formed of a first material through which light can transmit, a first pattern formed on the reticle and formed of a material through which light cannot transmit, a second pattern having a size smaller than the first pattern, and an auxiliary pattern formed to come in contact with the first pattern and formed of a second material different from the first material of the reticle. Thus, inclination is formed on side portions of the overlay vernier and a thin film may be easily formed on the overlay vernier.
US08043768B2 Liquid tank using fuel cell system and liquid level detection device
A liquid tank capable of detecting liquid level when the tank is rotated. The liquid tank includes an airtight vessel to store the liquid; and at least two electrodes installed inside the airtight vessel. The distal ends of the electrodes are positioned at a central volume of the airtight vessel. A fuel cell adopting the liquid tank maintains the liquid level in the tank near 50% of the full level even when the fuel cell is rotated.
US08043766B2 Fuel cell exhibiting enhanced separator sealing structure
Auxiliary seals are provided on a surface of a first metal separator, between load receivers and an oxygen-containing gas supply passage, a fuel gas supply passage, an oxygen-containing gas discharge passage, and a fuel gas discharge passage, in relatively wide areas. The cross sectional shape of the auxiliary seal is the same as those of a flow field seal and ring-like seals, and the auxiliary seals are formed independently from the flow field seal and the ring-like seals.
US08043765B2 Fuel cell system comprising vapor-phase fuel supplying system
A fuel cell system is provided with a first separation layer and a buffer solution layer disposed between a liquid-phase fuel storage layer and an anode of a membrane electrode assembly. A vapor-phase fuel is transferred to the buffer solution layer through the first separation layer, condensed, and diluted to produce a liquid-phase fuel with a low concentration in the buffer solution layer, and the low concentration liquid-phase fuel is supplied to the membrane electrode assembly. A second separation layer may be interposed between the first separation layer and the fuel storage layer. Fuel is supplied by a passive supplying method so that the system can be small with a high efficiency and unnecessary power consumption can be prevented. The fuel cell system can be operated regardless of orientation.
US08043762B2 Polyphenylene-containing electrode paste
The present invention provides an electrode paste which comprises catalyst particles, a solvent and an varnish which comprises a solvent and an electrode electrolyte for a solid polymer fuel cell electrolyte, wherein the electrode electrolyte comprises a polymer with a structure having a main chain including a polyphenylene, a side chain including a sulfonic acid group and a repeating structural unit represented by formula (C) as a side chain including a nitrogen-containing heterocyclic group; wherein the structural variables are defined herein.
US08043759B2 Hydrogen chamber enclosed fuel cell stack and related fuel cell shutdown operation
A product includes a fuel cell stack, and an enclosure apparatus sealingly enclosing the fuel cell stack to define a hydrogen chamber between the fuel cell stack and the enclosure apparatus. An operation of the product may include maintaining a positive pressure of hydrogen in the hydrogen chamber.
US08043756B2 Electronic apparatus having fuel cell
An electronic apparatus having a fuel cell which sufficiently supplies air to the fuel cell without a separate air pump or fan. In the electronic apparatus, a cooling fan cools heat-generating parts of an external device having the fuel cell mounted thereon. A guide bracket guides wind of the cooling fan, upon having cooled the heat-generating parts, toward a fuel cell.
US08043751B2 Fuel cell system including fuel processor and managing method thereof
A fuel cell system including a fuel processor, and a method of operating the fuel cell system, the fuel cell system includes: a reformer that reforms a hydrocarbon group fuel source into a reformed gas; a burner that heats the reformer; a CO remover unit that removes CO from a reformed gas generated by the reformer; a stack to generate electricity using the reformed gas; a first burner fuel supply line to supply the hydrocarbon group fuel source to the burner; and a second burner fuel supply line to supply the reformed gas from the CO remover unit to the burner.
US08043749B2 Electrolyte for lithium ion secondary battery and lithium ion secondary battery comprising the same
An electrolyte for a lithium ion secondary battery and a lithium ion secondary battery comprising the electrolyte. The electrolyte comprises a non-aqueous organic solvent, a lithium salt, and at least one aromatic phosphate compound. Exothermic reactions are inhibited in the battery upon overcharge or during high-temperature storage to prevent an increase in the temperature of the battery, resulting in an improvement in safety. In addition, the battery exhibits good swelling stability during high-temperature storage as well as improved cycle life characteristics. The electrolyte further comprises an ethylene carbonate-based compound. The presence of the ethylene carbonate-based compound leads to further improvements in the overcharge safety, high-temperature safety and cycle life characteristics of the battery.
US08043748B2 Pasted nickel hydroxide electrode for rechargeable nickel-zinc batteries
Active material for a positive electrode of a rechargeable alkaline electrochemical cell is made with nickel hydroxide particles or cobalt-coated nickel hydroxide particles treated with strongly oxidizing reagents such as alkali metal persulfate in alkaline solution. The active material also may be made with cobalt-coated nickel hydroxide particles having a high percentage of cobalt(III) on a surface or an average cobalt oxidation state of about 3 measured across the particles. The treated nickel hydroxide or cobalt-coated nickel hydroxide decreases the cobalt solubility in the alkaline electrolyte and increases the high-rate charge and discharge capability. The lower cobalt solubility decreases cobalt migration that can increase self discharge and lead to premature failure.
US08043744B2 Battery operated device, in particular implantable medical-electronic device
Battery-operated device, having an electrically operated functional unit and an electrochemical voltage source, which are housed together in an essentially gas-tight device housing, the electrochemical voltage source having an electrolyte based on an ionic liquid and a coating-free plastic battery housing.
US08043735B2 Rechargeable battery, printed circuit board therefor, and method of fabricating the same
A method of fabricating a rechargeable battery having an electrode assembly, a PCB and a battery case, wherein the electrode assembly is connected to the PCB, the method including preparing a PCB having a first surface with an external contact terminal formed thereon and having a second surface with a conductive feature formed thereon, wherein the conductive feature is electrically connected to the external contact terminal through a conductive trace, and plating the external contact terminal by electrically connecting a plating electrode to the conductive feature.
US08043734B2 Oxidized conformal capping layer
The invention relates to granular perpendicular magnetic recording media with a capping layer comprised of a material having high surface mobility and low surface energy. The capping layer surface is oxidized to produce a passivating surface that is impervious to corrosion.
US08043731B2 Vicinal gallium nitride substrate for high quality homoepitaxy
A III-V nitride, e.g., GaN, substrate including a (0001) surface offcut from the <0001> direction predominantly toward a direction selected from the group consisting of <10-10> and <11-20> directions, at an offcut angle in a range that is from about 0.2 to about 10 degrees, wherein the surface has a RMS roughness measured by 50×50 μm2 AFM scan that is less than 1 nm, and a dislocation density that is less than 3 E6 cm−2. The substrate may be formed by offcut slicing of a corresponding boule or wafer blank, by offcut lapping or growth of the substrate body on a corresponding vicinal heteroepitaxial substrate, e.g., of offcut sapphire. Both upper and lower surfaces may be offcut. The substrate is usefully employed for homoepitaxial deposition in the fabrication of III-V nitride-based microelectronic and opto-electronic devices.
US08043727B2 Electromagnetic wave-absorption multilayer substrate
An electromagnetic wave-absorption multilayer substrate has an electromagnetic wave-absorption sheet which includes a soft magnetic alloy powder and a binding agent and two insulating substrates which have facing surfaces facing the electromagnetic wave-absorption sheet and which are pressure-bonded thereto. In addition, one of the insulating substrates has a plurality of holes formed in the facing surface.
US08043725B2 Organic electroluminescence element
The invention provides an organic electroluminescence element which comprises a hole transporting layer which comprises a tris(p-terphenyl-4-yl)amine represented by the general formula (I) wherein R1, R2 and R3 are each independently a hydrogen atom, an alkyl group, a cycloalkyl group which may have substituents or an aryl group which may have substituents as a hole transporting agent, and a hole injecting layer which comprises a hole injecting agent comprising an aromatic tertiary amine having an ionization potential in the range of 5.2-5.6 eV. This organic electroluminescence element can be driven at a low voltage with high efficiency and at high luminance.
US08043724B2 Phenyl and fluorenyl substituted phenyl-pyrazole complexes of Ir
The invention provides emissive materials and organic light emitting devices using the emissive materials in an emissive layer disposed between and electrically connected to an anode and a cathode. The emissive materials include compounds with the following structure: wherein at least one of R8 to R14 is phenyl or substituted phenyl, and/or at least two of R8 to R14 that are adjacent are part of a fluorenyl group. The emissive materials have enhanced electroluminescent efficiency and improved lifetime when incorporated into light emitting devices.
US08043722B2 Oligomers and polymers
An optionally substituted oligomer or polymer comprising a repeat unit of formula (I); wherein each Ar1 and Ar3 is the same or different and independently represents an optionally substituted aryl or heteroaryl; n is at least 1; Ar2 represents an optionally substituted aryl or heteroaryl comprising a linking ring to which the two nitrogen atoms are both directly linked; and at least one of Ar2 and either or both of Ar1 is substituted with at least one substituent.
US08043720B2 Process of producing a ceramic matrix composite article and article formed thereby
A CMC article and process for producing the article to have a layer on its surface that protects a reinforcement material within the article from damage. The method entails providing a body containing a ceramic reinforcement material in a matrix material that contains a precursor of a ceramic matrix material. A fraction of the reinforcement material is present and possibly exposed at a surface of the body. The body surface is then provided with a surface layer formed of a slurry containing a particulate material but lacking the reinforcement material of the body. The body and surface layer are heated to form the article by converting the precursor within the body to form the ceramic matrix material in which the reinforcement material is contained, and by converting the surface layer to form the protective layer that covers any fraction of the reinforcement material exposed at the body surface.
US08043719B2 Metal member having precious metal plating
A metal member is manufactured that has a plating layer of precious metal on the surface of a bare metal portion formed of a predetermined metal. First, a surface layer of the bare metal portion is removed. Then, a plating of precious metal is applied to the portion where the surface layer of the bare metal portion was removed. Then, the metal member is heat treated in an inert atmosphere. As a result, a metal member can be manufactured that has less carbide and hydrogen near a boundary surface of the plating layer and the bare metal portion than it would if the removing step and the heat treating step were not performed. With a metal member manufactured in this way, the plating layer does not easily peel away.
US08043718B2 Combustion turbine component having rare earth NiCrAl coating and associated methods
A combustion turbine component (10) includes a combustion turbine component substrate (16) and an alloy coating (14) on the combustion turbine component substrate. The alloy coating (14) includes nickel (Ni), chromium (Cr), aluminum (Al), and yttrium (Y). Furthermore, the alloy coating includes at least one of titanium (Ti), tantalum (Ta), tungsten (W), and rhenium (Re). The alloy coating also includes at least one rare earth element, and an oxide of at least one of the yttrium (Y) and the at least one rare earth element.
US08043717B2 Combustion turbine component having rare earth CoNiCrAl coating and associated methods
A combustion turbine component (10) includes a combustion turbine component substrate (16) and an alloy coating (14) on the combustion turbine component substrate. The alloy coating (14) includes a first amount, by weight percent, of cobalt (Co) and a second amount, by weight percent, of nickel (Ni), the first amount being greater than the second amount. The alloy coating further includes chromium (Cr), aluminum (Al), at least one rare earth element, and an oxide of the at least one rare earth element.
US08043716B2 Gradient thin film
Disclosed herein is a gradient thin film, formed on a substrate by simultaneously depositing different materials on the substrate using a plurality of thin film deposition apparatuses provided in a vacuum chamber, wherein the gradient thin film is formed such that the composition thereof is continuously changed depending on the thickness thereof by deposition control plates provided in the path through which the different materials move to the substrate. The gradient thin film is advantageous in that the thin film is formed by simultaneously depositing different materials using various deposition apparatuses, so that the composition thereof is continuously changed depending on the thickness thereof, with the result that the physical properties of a thin film are easily controlled and the number of deposition processes is decreased, and thus processing time and manufacturing costs are decreased, thereby improving economic efficiency.
US08043713B2 Compositions and aqueous dispersions
An aqueous dispersion including (A) at least one base polymer selected from the group consisting of an ethylene-based co-polymer and a propylene-based co-polymer; (B) at least one polymeric stabilizing agent; and at least one filler; wherein the polymeric stabilizing agent is different from the at least one base polymer and is compatible with the at least one base polymer and the at least one filler, and wherein the dispersion has filler in the range of greater than 0 to about 600 parts per hundred parts of a combined amount of the at least one base polymer and the polymeric stabilizing agent is disclosed.
US08043705B2 Resin substrate material, electronic component substrate material manufactured by electroless plating on the same, and method for manufacturing electronic component substrate material
There is provided a technology that can be applied as a substrate material to ordinary resin substrate materials and allows the adhesive strength between this substrate material and a plating metal layer to be increased; more specifically, there is provided an ordinary resin substrate material with an increased adhesive strength between the substrate material and a plating metal layer. The present invention relates to a resin substrate material such as an epoxy resin whose surface is swellable in a solution containing imidazolesilane and a palladium or other noble metal compound having a catalytic action in electroless plating and which has been surface-treated with the solution, and to an electronic component substrate material manufactured by performing electroless plating on this resin substrate material.
US08043703B2 Thermally conductive graphite reinforced alloys
Embodiments of the present invention provide composite bodies having a discontinuous graphite preform and at least one silicon-bearing metal alloy infiltrant. Embodiments of the present invention also provide methods for producing such composite bodies. The metal alloy is preferably comprised of aluminum, copper, or magnesium, or combinations thereof. Certain preferred embodiments provide at least one aluminum alloy having from about 5% silicon to about 30% silicon, more preferably from about 11% to about 13% silicon, as an alloying element. Certain presently preferred embodiments provide an aluminum-silicon eutectic composition having about 12.5% silicon. Embodiments of the invention provide composite materials be “tuned” to more closely match thermal expansion characteristics of a number of semiconductor or integrated circuit materials such as, but not limited to, silicon, alumina, aluminum nitride, gallium nitride, and gallium arsenide while also providing high thermal conductivity. Embodiments of the present invention are especially suited for use as a heat sink, a heat spreader, or both.
US08043702B2 Magnetic nanoparticles surface-modified with dithiocarbamate
A surface-modified, magnetic nanoparticle has one or more multi-dentate ligands bound to a surface of a magnetic nanoparticle. The one or more multi-dentate ligands are bound to the surface of the magnetic nanoparticle through one or more dithiocarbamate groups. The one or more multi-dentate ligands may be a compound of Formula II: R2NCH2[CH2NR′CH2]m[CH2N(CS2−)CH2]q[CH2NHCH2]rCH2NR″2   (II) where each R, R′, and R″ are independently H, a branched ethyleneimine unit, an unsubstituted or substituted alkyl, an unsubstituted or substituted alkenyl, or an unsubstituted or substituted aryl; and n is an integer from 1 to about 50.
US08043701B2 Polymerizable organosilicon nanocapsules
The present invention provides a polymerizable organosilicon nanocapsule, which includes: a nanoscale core A, which includes: at least one particle comprising at least one oxide or mixed oxide, KA-O, of at least one metal or semimetal selected from the group including main groups 2 to 6 of the Periodic Table, transition groups 1 to 8 of the Periodic Table, lanthanides, and mixtures thereof; and an organosilicon shell B, which includes: at least one organosilicon compound having the formula (Ia): (Si′O—)xSi—R  (Ia) wherein R is a vinyl or allyl group; wherein x is a number from 0 to 20; wherein remaining free valences of Si are each independently (KA-O)—, SiO— or —Z; wherein remaining free valences of Si′ are each independently (KA-O)—, SiO—, —R, or —Z; wherein the Z's are each independently hydroxyl or alkoxy radicals; and wherein each Si and Si′ in the shell B have not more than one R group attached thereto.
US08043695B2 Heat shrinkable foamed sheet
In some preferred embodiments, a heat shrinkable foamed sheet has a foamed layer (2) constituting a core layer, and a non-foamed layer (3) constituting a surface skin layer integrally formed on the formed layer. The non-foamed layer (3) is less than about 1.55 μm in maximum surface smoothness measured in accordance with ISO8791-4. Alternatively, by regulating various dimensions of foam cells formed in the foamed layer (2) so as to fall within predetermined ranges, a heat shrinkable foamed sheet excellent in surface smoothness and printing nature can be obtained.
US08043693B2 Solution containing flame-resistant polymer and carbon molding
A flame-resistant polymer excels in moldability capable of providing a flame-resistant molded item of novel configuration; a relevant flame-resistant polymer solution; a process for easily producing them; a carbon molding from the flame-resistant polymer; and a process for easily producing the same. A flame-resistant polymer is modified with an amine compound. Further, a flame-resistant polymer solution has the polymer dissolved in a polar organic solvent. A flame-resistant molding whose part or entirety is constituted of the flame-resistant polymer modified with an amine compound. A carbon molding was part or entirety constituted of a carbon component resulting from carbonization of the flame-resistant polymer modified with an amine compound. From the solution containing the flame-resistant polymer, moldings of various configurations can be obtained through further work.
US08043689B2 Pyramidal fabrics having multi-lobe filament yarns and method for erosion control
A pyramidal geotextile fabric comprising two sets of multi-lobe filament yarns interwoven in substantially perpendicular direction to each other, each of the multi-lobe filament yarns having pre-determined, different heat shrinkage characteristics such that, upon heating, the fabric forms a three-dimensional, cuspated profile. A method of stabilizing soil and reinforcing vegetation comprises the steps of placing a three-dimensional, high-profile woven fabric into soil, wherein the fabric comprises two sets of multi-lobe filament yarns interwoven in substantially perpendicular direction to each other, each of the multi-lobe filament yarns having pre-determined, different heat shrinkage characteristics such that, upon heating, the fabric forms a three-dimensional, cuspated profile; securing the fabric to the ground; and, distributing soil and seed onto the fabric such that the section of ground is quickly revegetated and thereby protected from further erosion.
US08043685B2 Sheet having elastic property and slip property, and solvent dispensing container using the sheet
The object of the present invention is to provide a sheet applicable for closing an opening of a liquid dispensing container for a liquid handling system used in chemical experiments, in which the sheet is capable of preventing natural volatilization of a liquid in the container even if the tip is frequently inserted and drawn, and also facilitating inserting and drawing of the tip. The composite sheet of the present invention comprises a sheet having elastic property and another sheet having slip property wherein the sheets are stacked.The composite sheet of the present invention can be used to close an opening of a liquid dispensing container for a liquid handling system used in chemical experiments. It can prevent volatilization of the solvent, and also facilitate inserting and drawing of the tip.
US08043684B2 Low transient and steady state thermal stress disk shaped components
A process for manufacturing a disk shaped component comprising fabricating a disk shaped component using a composite material having at least a first material and a second material, wherein the first material is disposed at and proximate to a center portion of the disk shaped component and the second material is disposed at and proximate to a rim of the disk shaped component, wherein the first material comprises a first coefficient of thermal expansion, a first stress value and a first oxidation resistance, and the second material comprises a second coefficient of thermal expansion, a second stress value and a second oxidation resistance, wherein the first coefficient of thermal expansion is greater than the second coefficient of thermal expansion, the first stress value is greater than the second stress value and the first oxidation resistance is less than the second oxidation resistance.
US08043676B2 Sealing-reaction, layer-effective, stealth liner for synthetic fuel container
A method, and a self-sealing, layer-effect, stealth-reaction liner, for sealing against fuel leakage from the wound-punctured wall of an FT synthetic liquid fuel container. The liner includes (a) an elastomeric body defined by spaced, opposite faces, formed of a material which is non-reactive to FT fuel, and (b) nominally shrouded in a region within the liner body, inwardly of the faces, a distribution of liquid-imbiber beads which react to contact with FT fuel to initiate liquid-imbibing and material-swelling actions. The method includes (a) preparing, for installation in such a container, a liner with a non-fuel-reactive, substantially continuous-material elastomeric body having opposite faces, and (b) within that body, a non-facially exposed, normally body-shrouded, central distribution of fuel-reactive liquid-imbiber beads.
US08043675B2 Packaged glass sealants
A packaged glass sealant product, is formed of a sealant composition having a processing temperature in the range of from greater than 70° C. up to 220° C. and a skin of thermoplastic polymeric material around said sealant composition. The skin constitutes no more than 10 wt % of the total weight of said product, and said polymeric material has a minimum film forming temperature of at least 50° C. and a softening point which is lower than the processing temperature for application of said sealant composition by a difference of more than 10° C. The product alleviates the necessity of disposing of non-recyclable, silicone coated packaging by environmentally unfriendly methods in particular land-fill.
US08043672B2 Lens for a vehicular lamp and manufacturing method for the same
In a vehicular lamp 10 having a lens 40 in which plastic molded portions 41, 42, 43 respectively having two or more colors are integrally formed, the lens is formed by injection molding process. As compared with the molecular weight of a plastic constituting each of first plastic molded portions 41, 43, which are earlier injected, the molecular weight of a plastic, which constitutes a plastic molded portion 42 to be injected after the injection of the first plastic molded portions, is set to be small.
US08043671B2 Liquid-crystalline medium and liquid crystal display
Disclosed are a liquid-crystalline medium based on a mixture of dielectrically negative polar compounds of each of formulae I and II and the use thereof in an electro-optical display, particularly in a display with passive matrix addressing, based on a VA, ECB, PALC, FFS or IPS effect, and such displays.
US08043670B2 Polymerizable mesogenic cyclohexyl derivatives
The invention relates to new polymerizable mesogenic or liquid crystalline compounds comprising a terminal cyclohexylphenyl group wherein the phenyl group has polar substituents, to polymerizable mesogenic or liquid crystalline mixtures and anisotropic polymers prepared thereof, and to the use of the new compounds and the mixtures and polymers prepared thereof in optical and electrooptical devices, adhesives, synthetic resins with anisotropic mechanical properties, cosmetics, diagnostics, liquid crystal pigments, decorative and security applications, nonlinear optics, optical information storage, electronic devices like organic field effect transistors (FET or OFET) or electroluminescent devices.
US08043666B2 Photoreactive compound, photoreactive polyamine and method for producing polyamine sheet
Disclosed is a polyamine sheet which is usable for DNA chips or protein chips. Also disclosed are a photoreactive polyamine used for producing such a polyamine sheet, and a photoreactive compound which can be a raw material for such a photoreactive polyamine. Specifically disclosed are a photoreactive compound having a diazirine group as a photoreactive group, a photoreactive polyamine compound produced from such a photoreactive compound and a polyamine, a polyamine sheet using such a photoreactive polyamine compound, and a method for producing such a polyamine sheet.
US08043665B2 Method of controlling gloss in UV curable overcoat compostitions
A method of controlling gloss of an image includes applying an overcoat composition of at least one gellant, at least one curable monomer, at least one curable wax and optionally at least one photoinitiator over a substrate, wherein the overcoat composition is curable upon exposure to ultraviolet radiation; following the applying of the overcoat composition and prior to curing the overcoat composition by applying ultraviolet radiation, applying heat to heat the applied overcoat composition to a temperature of at least 35° C.; and subsequent to the applying heat, applying ultraviolet radiation to the overcoat composition to substantially cure the overcoat composition.
US08043662B2 Aqueous solution for surface treatment of metal and method for preventing discoloration of metal surface
To provide a solder-plating film which has good solder wettability and with which discoloration and twisting of the tin film after heat treatment are prevented. A method and a solution for surface treating a tin film are disclosed. The aqueous solution contains specific compounds and is brought into contact with a tin-plating film before reflow treatment of the tin film.
US08043661B2 Decorative laminate and corresponding production method
A method is described for producing a decorative laminate including: providing a decorative layer, applying a mixture of a thermohardening synthetic resin and hard particles to said layer, pressing the decorative layer and the mixture in a hot press at a press temperature in order to obtain a laminate, the mixture also containing a wax whose melting point is less than approximately 140° C. and/or by more than approximately 50° C. lower than the press temperature. A decorative laminate, a decorative laminate board and a method for producing a decorative prepreg sheet are also disclosed.
US08043660B2 Method for manufacturing polycrystalline silicon
A method for manufacturing polycrystalline silicon with high quality by effectively preventing undesired shape such as giving an rough surface to silicon rods or an irregularity in diameter of the silicon rods. The method for manufacturing polycrystalline silicon includes: an initial stabilizing step of deposition wherein a velocity of ejecting the raw material gas from the gas ejection ports is gradually increased; the shaping step wherein first the ejection velocity is increased at a rate higher than that in the stabilizing step and then the ejection velocity is gradually increased at a rate lower than the previous increasing rate; and a growing step wherein, after the shaping step, the ejection velocity is made slower than that at the end of the shaping step until the end of the deposition.
US08043658B2 Resistive heater geometry and regeneration method for a diesel particulate filter
One embodiment of the invention includes a diesel particulate filter comprising a first face and a second face; a bottom electrode layer formed over the first face of the diesel particulate filter; a middle resistive layer formed over a portion of the bottom electrode layer; and a top electrode layer formed over a portion of the middle resistive layer.
US08043654B2 Treatments and kits for creating transparent renewable surface protective coatings
Methods, treatment compositions and treatment systems are disclosed for forming a detachable and renewable coating on a receptive surface by a process of applying a treatment composition comprising a plurality of hydrophobically modified fumed silica particles colloidally dispersed in a volatile solvent; allowing the volatile solvent to evaporate; and thereby depositing a protective coating on the receptive surface consisting of a layer of the hydrophobically modified particles, which provide a substantially transparent coating with dirt- and water-repellency properties that effectively shed dry particulate soils as well as water from the treated surface or treated article bearing a receptive surface. The methods, treatment compositions and treatment systems employing the hydrophobically modified fumed silica particle are particularly useful in providing nearly invisible detachable coatings and treated articles featuring surface protective benefits including dirt- and water-repellency, self-cleaning with water, and easier cleaning benefits when applied to a variety of automotive and home surfaces, both interior and exterior, including articles and materials such as metals, painted materials, sealed materials, plastics and polymeric articles, wood, textiles and the like.
US08043648B2 Edible emulsions with mineral
Edible water-in-oil emulsion comprising a source of mineral and 15 to 95 wt % fat, wherein the mineral is present in the aqueous phase and wherein the mineral is in-homogenously distributed over the aqueous phase and a process for making such an emulsion.
US08043646B2 Soft wheat flour with high bran content, process for its production and bakery products containing it
A soft wheat flour containing bran, wherein the bran has a total fiber content comprised between 20 and 30% and a protein content comprised between 12.0 and 18.0% (% in weight of the total weight of the bran); such flour preferably has a bran content comprised between 5 and 30% and is produced by a process which comprises the process phases of a) subjecting soft wheat caryopses (101) to successive process phases involving abrasion in order to substantially remove the bran coating, thereby obtaining various bran fractions, the latter of which (D) originates from the removal of the aleurone, nucellar and testa layers; b) adding the latter bran fraction (D) to a soft wheat flour (F) substantially free of bran in a quantity such as to obtain a flour (C) containing from 5 to 30% of the total mixture weight of bran fraction (D); the invention furthermore refers to a soft wheat flour bakery product containing bran, wherein the bran has a total fiber content comprised between 20 and 30% and a protein content comprised between 12.0 and 18.0% (% in weight of the total weight of the bran), as well as to the use of a soft wheat bran fraction essentially containing the layers of the starting caryopses (101) consisting of the aleurone (105), nucellar (104) and testa (103) layers in the production of whole grain bakery products.
US08043643B1 Coated cereal pieces
Cereal pieces at least partially coated with a composition typically containing a starch component, generally a wheat starch or a dextrin component, are provided. The coating composition provides the cereal pieces with enhanced resistance to breakage and superior ability to retain their texture and strength in milk or other liquids compared to traditional cereal pieces.
US08043642B2 Method and cooking appliance for regulating a cooking process in a cooking chamber
In one embodiment for regulating a baking process in an oven, an operator introduces a product to be cooked into the oven, and a sensor detects a gas or moisture concentration release from the product cooking in the cooking chamber over time wherein The slope of the curve of the detected concentration is determined, and a trigger value that is linked to the product to be cooked is determined for the slope. The point in time at which the trigger value will be reached is determined, and an additional time linked to said point in time is determined at the point in time at which the trigger value is reached. The additional time depends on the point in time at which the trigger value is reached. The additional time is continued as an additional process until the additional time has elapsed in case the trigger value is not reached.
US08043640B2 Process for producing a doughnut, and doughnut produced thereby
A method and apparatus for preparing yeast raised doughnuts without frying is disclosed. A proven dough mixture is coated with a cooking fat, such as vegetable shortening, before baking and, subsequently coated with cooking fat again after baking so that the resultant product does not consist of a bread-like substance.
US08043626B2 Fatty acid antimicrobial
The novel antimicrobial composition is disclosed including from about 60 to 95% of a lipophilic polar solvent such as propylene glycol, ethylene glycol, or isopropanol and from about 0.5 to 5% of a mixture of C8 to C14 fatty acids. Other constituents of the present invention may include water, an alcohol (such as ethanol or propanol) or a mixture thereof. Also disclosed is a novel method of killing harmful microbes on the udder of a milk-producing animal using the above-mentioned composition.
US08043624B2 Compositions and methods for treatment of microbial infections
The present invention relates to methods and compositions for treatment of microbial infections and for the enhancement of resistance to infection. The invention comprises administration of an effective amount of a protein isolated from bacterial lysate compositions for the treatment of pathological conditions of microbial infections. The present invention can also be used to enhance the immune system to prevent infections by the administration of an effective amount of the compositions.
US08043621B2 Recombinant fusion protein and polynucleotide construct for immunotoxin production
The present invention relates to a polynucleotide construct encoding a fusion protein consisting of a domain which binds the immunoglobulin Fc region, genetically fused to a truncated form of Pseudomonas exotoxin A (PE). In particular, the invention discloses the fusion protein, ZZ-PE38, and further provides immunotoxins, formed from complexes of the fusion protein with antibodies for targeted cell killing.
US08043611B2 Regulated nucleic acid expression system
The present invention provides nucleic acid constructs, expression systems, and methods relating to the regulation of gene expression. The invention may be applied to regulate the expression of any coding sequence of interest, including those coding for viral components necessary for the packaging of viral particles.
US08043607B2 UV-absorbers for ophthalmic lens materials
Dihydroxybenzotriazole UV absorbing compounds that are particularly useful in ophthalmic devices are disclosed.
US08043604B2 Ultrasonography using time- and temperature-sensitive variable adhesion coupling gels
One aspect of the present invention relates to a method of ultrasonography, utilizing a gel comprising a reverse phase polymer which facilitates the transmission of high-frequency sound waves. Further, the inherent properties of the reverse phase polymer result in increased adhesion at higher temperatures, thereby helping to maintain the desired position of the ultrasound probe until the user intends to adjust the probe's position. In certain embodiments, the method is utilized in a medical procedure in which stability of an ultrasound probe or transducer in an intended desired position can improve the outcome or increase the efficiency of the procedure. In certain embodiments, the gel further comprises an additive to increase the ultimate adhesion of the gel. In still other embodiments, the gel can be used on the skin, on a protective sheath encasing an ultrasound probe, or between the sheath and the probe, or any or all of them.
US08043598B2 Synthesis of rare earth element-containing alumina nanowires
Rare earth element(s) doped alumina nanowires are formed by a thermal evaporation method in which vapor from aluminum powder and vapor from a rare earth element compound (such as an halide) are reacted in an oxygen-containing inert gas stream to form alumina which deposits as alumina nanowires and as a rare earth element and oxygen-containing material that deposits with and/or on the alumina nanowires. Where the RE-doped alumina nanowires are to be used as catalyst supports, a catalyst material, such as platinum, may be deposited as small particles on the nanowires.
US08043597B2 Process and apparatus for the combustion of sulfur
A process for a combustion of sulfur with an oxygen-containing gas to produce sulfur dioxide. The process includes introducing the sulfur and the oxygen-containing gas to a furnace. The sulfur is evaporated and subsequently a portion of the sulfur is oxidized to sulfur dioxide under sub-stoichiometric conditions in a first portion of the furnace. The sulfur dioxide formed in the first furnace portion is introduced together with any unoxidized sulfur to a second portion of the furnace which is disposed adjacent to the first furnace portion. The sulfur dioxide and unoxidized sulfur are subjected to post-combustion with the oxygen-containing gas in an inlet of a downstream waste heat boiler.
US08043596B2 Method for producing vapor grown carbon nanotube
The invention provides a method for producing carbon nanotubes, comprising spraying an oil onto a catalyst metal supported by at least one support selected from the group consisting of silica gel, alumina, magnesia, silica-alumina and zeolite which is placed in an atmosphere that has been controlled to a specific temperature, and an apparatus therefor. According to the invention, a large amount of carbon nanotubes can be synthesized from inexpensive raw materials by using a simple apparatus.
US08043585B2 Liquid and solid effluent treatment process
A process for recovering metals such as Ni, Co, Mn, Cu, Zn, among others, through precipitation as sulphides, enabling recovery of magnesium in the form of hydroxide, carbonate and oxide and providing recovery of sulphate as gypsum and ammonium sulphate. Liquid phase, after full treatment, comprises recovered water with a quality proper for total reuse in industrial process.This process of liquid and solid effluent treatment is provided with flexibility to process several types of effluents presenting wide variations in their chemical composition. The main steps of this process are: (1) equalization of liquid effluent, (2) precipitation of metals as sulphides, (3) oxidation of metallic sulphides and crystallization as metallic sulphates, (4) precipitation of magnesium as hydroxide and calcination thereof into magnesium oxide (5) recovery of ammonia, (6) preconcentration of the remaining saline solution, (7) evaporation/crystallization of ammonium sulphate, (8) storage of recovered water, (9) partial or total precipitation of sulphate contained in the effluent with quicklime, (10) segregated storage of gypsum and gypsum-magnesium mixture, (11) softening of the remaining solution and (12) storage of softening water.
US08043577B2 Method and device for nozzle-jetting oxygen into a synthesis reactor
With the help of a method and device for nozzle-jetting oxygen into a synthesis reactor, e.g. for oxy-dehydration, with largely axial flow of the gas mixture through a catalyst bed, it is intended to vastly improve the mixing-in and mixing-through of oxygen above the catalyst especially for oxy-dehydration process.This is achieved by feeding the oxygen to a ring distributor system arranged above the catalyst bed in pure form, as air or mixed with inert gas or water vapor and jetting the oxygen onto the catalyst surface through several exit openings in the ring distributor at an inclined angle deviating from the vertical.
US08043574B1 Apparatus for the synthesis of anhydrous hydrogen halide and anhydrous carbon dioxide
An apparatus for the synthesis of anhydrous hydrogen halide fluids from organic halide fluids, such as perfluorocarbon fluids and refrigerant fluids, and anhydrous carbon dioxide for the environmentally safe disposition thereof.
US08043573B2 Electro-kinetic air transporter with mechanism for emitter electrode travel past cleaning member
Systems and methods for cleaning emitter electrodes of air conditioner systems are provided. The air conditioning system includes an emitter electrode, a collector electrode and a high voltage generator to provide a high voltage potential difference between the emitter and collector electrodes. The system also includes a cleaning member having a channel through which the emitter electrode passes. A plunger mechanism and a spring, or a lever and a fulcrum, are used to force the cleaning member to travel upward along the emitter electrode to thereby frictionally removing debris from the emitter electrode. This description is not intended to be a complete description of, or limit the scope of, the invention. Other features, aspects, and objects of the invention can be obtained from a review of the specification, the figures and the claims.
US08043572B2 Rolling regeneration diesel particulate trap
A rolling regeneration diesel particulate filter and filtering process that utilizes NO produced in the process to generate additional amounts of NO2 than the NO2 produced by the diesel engine. The process includes the step of flowing diesel engine combustion exhaust through a filter system including a first section and a second section. The first section is positioned upstream of the second section with respect to the direction of the flow of the diesel engine exhaust through the filter. The first section includes a foam constructed and arranged to trap carbon-based particulates in the exhaust. A first catalyst is carried by the foam to promote the conversion of NO in the exhaust from the diesel engine to NO2. The first catalyst also promotes the reaction of NO2 with at least a portion of the carbon-based particulates trapped by the foam to form CO and NO. The first catalyst carried by the foam also promotes the oxidation of CO to CO2, and the oxidation of NO, generated by the reaction of NO2 with carbon, to generate additional amounts of NO2.
US08043570B2 Device for diffusing volatile agents, provided with a cartridge extraction means
The invention relates to a diffusion device (1) for an automotive vehicle. The diffusion device (1) comprises a housing (2) with an ambient air inlet (3), a treated air outlet (3), a diffusion chamber (4) and an insert (7). The insert (7) is located inside the diffusion chamber (4) and contains at least one volatile agent (AV1). The insert (7) can rotate via an electric motor (12) for selectively diffusing or not the volatile agent (AV1). The diffusion device (1) also comprises a blower (13) fixed on the housing (2). The insert (7) comprises a member (15) which carries two cartridges (8, 9) containing volatile agent. The member (15) rotates with the insert (7) and can be axially displaced by extraction means. These extraction means expels the member (15) out of the housing (2) when the user pushes on the cap (14).
US08043569B2 Device for dispensing a volatile substance
The present invention relates to the field of perfumery and more precisely it concerns a device, and the consumer articles associated therewith, for dispensing an active composition into the surrounding space. The device includes an active liquid, a reservoir holding the active liquid, a wick-/emanator structure composed of a wicking part and an emitting part, the latter having an evaporative surface to be directly exposed to the surrounding space when the device is activated and being housed in a moveable housing assembly, activation of the device occurring without need to removing the housing assembly and or the wick-/emanator structure, the device further comprising a rotor arranged in a manner providing for rotation of wicking or emitting parts to allow forced evaporation of the active volatile upon activation of the device.
US08043564B2 Copolymer, and adsorbent or concentrating medium and needle for solid phase microextraction prepared using the copolymer
Described is a copolymer of methacrylic acid and ethylene glycol dimethacrylate which does not have any specificity to general organic solvents, can thus adsorb wide variety of the organic solvents, shows almost no desorption-adsorption hysteresis and therefore, is excellent in desorption characteristics. Also described is a method for the preparation of the copolymer; an adsorbent or a concentrating medium comprising the copolymer; a loop used in an injector for chromatography which is packed with the adsorbent or concentrating medium; a needle for solid-phase microextraction (SPME) which is packed with the adsorbent or concentrating medium; a kit for the preparation of a sample comprising the needle for SPME; a method for concentrating a sample; and a method for analyzing an analyte present in a sample.
US08043561B2 Analyzer, conveyance device, and transport anomaly determination method
Analyzers are described that include a conveyance device for transporting containers which contain analyte, each container having identification information; an identification information reader for reading an identification information of a container transported at a first position by the conveyance device; an analyzer body for acquiring an analyte from a container transported at a second position by the conveyance device and analyzing the analyte; and an anomaly notification device for reporting an anomaly if the identification information reader consecutively reads the same identification information. Conveyance device and transport anomaly determination method are also described.
US08043560B2 Automatic analyzer
An automatic analyzer using a reaction vessels of disposable type is provided which is compact in construction and with high accuracy of measurement.The analyzer is comprised with a reaction container which is capable of having a plurality of cuvettes of disposable type set therein, an extracting and injecting unit for injecting a first reagent, a specimen and a second reagent into a disposable cuvette, a light measuring unit for emitting light to the cuvette, and for measuring absorbance thereof and a CPU for producing a calculated value based on outputs of the light measuring unit.The light measuring unit measures absorbance of the first reagent, specimen and second reagent injected into and reacted with each other in a disposable cuvette, and also measures an air blank value representing absorbance of an empty disposable cuvette and a first reagent blank value representing absorbance of a disposable cuvette having first reagent in the cuvette (S104, S106). The CPU compensates the absorbance based on at least one of the air blank value and the first reagent blank value (S112, S113).
US08043559B2 System for temperature control within an automatic biological analyzer
The present invention relates to a system for temperature control within an automatic biological analyzer, the automatic analyzer essentially comprising: support, guidance, and step-by-step transport means for reaction cuvettes on a path comprising a predetermined number of positions, a support turntable for analyte samples, a support turntable for reactants, means for taking defined quantities of samples and reactants and for injecting the same into the reaction cuvettes, means for washing the cuvettes, means for optical reading of the determination results and a computerized control system which permits carrying out pre-programmed analysis cycles. It consists in the fact that the temperature control system is connected to the lower part of the support turntable for the analyte samples, said system comprising within itself: at least one inlet air deflector, at least one outlet air deflector, a circular air transfer path positioned between the inlet deflector and the outlet deflector, and means for generating circulating air in the circular path, thereby permitting a reduction in the thermal variation between the inlet air and outlet air temperatures within the temperature control system. The invention finds a preferential application in the diagnostic field.
US08043553B1 Controlled deformation of a polymer tube with a restraining surface in fabricating a medical article
Methods of manufacturing a medical article that include radial deformation of a polymer tube are disclosed. A medical article, such as an implantable medical device or an inflatable member, may be fabricated from a deformed tube.
US08043549B2 Injection apparatus
An object is to reduce the axial dimension of an injection apparatus (31), facilitate the work of removing and inserting a screw (20), and improve the quality of molded products. The injection apparatus (31) comprises a heating cylinder (17), a screw (20), and a drive apparatus. The screw (20) includes a plasticizing portion which includes a body portion (45a) and a flight (53) projectingly formed on an outer circumferential surface of the body portion (45a). An index ε, which is obtained by dividing a value obtained by adding a screw stroke S and a screw effective length L together by the screw stroke S, satisfies the relation 2.0<ε<4.5. Even when the screw (20) is shortened, resin can be melted satisfactorily. Accordingly, the axial dimension of the injection apparatus (31) can be reduced.
US08043547B2 Device for forming groove pattern of light-guiding plate and method for forming groove pattern by using the same
A device and method for forming a groove pattern in a light-guiding plate for obtaining high luminance and decreasing processing time, thereby improving yield. The device has a tool unit including a tool guide and a head which is further provided with a heating plate disposed below the tool unit; a stamper disposed under the heating plate; and a plurality of cutting tools extending from a lower side of the stamper at fixed intervals, for forming a plurality of groove patterns in a surface of the light-guiding plate.
US08043545B2 Methods and apparatus to evenly clamp semiconductor substrates
Methods and apparatus to evenly clamp semiconductor substrates in a transfer mold process are disclosed. A disclosed split mold base includes a first plate having a first surface, a second plate having a second surface opposite the first surface, and a plurality of springs that are disposed between the first and second plates to distribute a clamping pressure applied by a mold press.
US08043539B2 Process for producing biodegradable molded item and molding dies therefor
Using a metal mold (20a) consisting of a convex mold part (21a) and a concave mold part (22a), a molding material (14) is placed between a pair of coating films (12), and after clamping, the molding material (14) and the coating film (12) are heated and molded to make a biodegradable expanded molded article, and at the same time, the coating film (12) is softened and pressure-bonded to a surface of the biodegradable expanded molded article. An exhaust hole (31a) and (32a) are provided on the convex mold part (21a) and the concave mold part (22a), respectively. At the time of heating and molding, gaseous matter existing between the coating film (12) and a surface of the metal mold (20a) is discharged out of the metal mold (20a) through the exhaust holes (31a) and (32a). Accordingly, it is possible to provide a method and a mold to manufacture a biodegradable expanded molded article easily and with excellent accuracy of dimension, having enough strength, enough water resistance, very excellent biodegradability and excellent surface smoothness even if the biodegradable molded article has a complicated shape.
US08043533B2 Diamond sintered compact having high electrical conductivity and production method thereof
The present invention is to provide a diamond sintered compact having good conductivity together with the characteristics, such as hardness, thermal conductivity, thermal resistance, chemical stability, almost equal to those of a natural diamond. A boron-doped diamond sintered compact having good conductivity and high thermal resistance is produced by a sintering process, in which 90 to 99.9 wt. % of a boron-doped diamond powder and 0.1 to 10% wt. % of a powder comprising, one or more of carbonates including Mg, Ca, Sr or Ba, and/or one or more of composite carbonates composed by two or more of these elements, as a bonding phase component, are sintered together under Ht/HP conditions, and the bonding phase component melts and then fills into the space between the boron-doped diamond powder particles.
US08043531B2 Surface conditioner and surface conditioning method
The invention provides a surface conditioner which can prevent an aluminum alloy from stray current corrosion in chemical conversion treatment and reduce the difference between contact and noncontact areas in conversion coating build-up on an aluminum alloy and which enables the formation of excellent chemical conversion coatings on various metal materials and a surface conditioner which can prevent metal from rusting after surface conditioning and is excellent in dispersion stability in a treating bath. A surface conditioner to be applied prior to the phosphating of metal which contains (A) zinc phosphate particles having D50 of 3 μm or below, (B) a water-soluble organic polymer, and (C) a layer clay mineral and has a pH of 3 to 12, characterized by further containing as the function imparting agent (D) a di- or tri-valent metal nitrite, zinc oxide and/or sodium hydroxide, or a nonionic or anionic surfactant.
US08043530B2 Fuel reformer catalyst
A fuel reformer catalyst includes a substrate, and disposed thereon a carrier and combination of at least two metals selected from the group consisting of Rh, Ni, Ir, Pd, Pt, Au, and combinations thereof. Rh is present in the catalyst in an amount not exceeding about 0.5 wt. %, based on the total combined weight of the metals and carrier.
US08043521B2 Processing apparatus
A processing method of subjecting at least two stacked films, which comprise a first film and a second film of a target object to be processed, to a removing process by wet etching comprises bringing a first process liquid into contact with the first film of the target object, thereby etching the first film, determining whether the first film has been removed or not, switching the first process liquid to a second process liquid differing in a condition from the first process liquid when it has been determined that the first film has been removed, and bringing the second process liquid into contact with the second film, thereby etching the second film.
US08043520B2 Method for manufacturing porous structure and method for forming pattern
A pattern forming material contains a block copolymer or graft copolymer and forms a structure having micro polymer phases, in which, with respect to at least two polymer chains among polymer chains constituting the block copolymer or graft copolymer, the ratio between N/(Nc−No) values of monomer units constituting respective polymer chains is 1.4 or more, where N represents total number of atoms in the monomer unit, Nc represents the number of carbon atoms in the monomer unit, No represents the number of oxygen atoms in the monomer unit.
US08043518B2 Method of manufacturing nozzle plate, liquid ejection head and image forming apparatus
The method of manufacturing a nozzle plate which includes a nozzle having a tapered section and a linear section includes the steps of: forming an etching stopper layer for stopping dry etching of a silicon substrate, on a first surface of the silicon substrate; forming a mask layer on a second surface of the silicon substrate reverse to the first surface; performing a first patterning process with respect to the mask layer so that an opening section is formed in the mask layer; carrying out the dry etching of the silicon substrate through the opening section in the mask layer so that the tapered section of the nozzle is formed in the silicon substrate; carrying out dry etching of the etching stopper layer through the opening section in the mask layer so that at least a part of the linear section of the nozzle is formed in the etching stopper layer; and removing the mask layer.
US08043514B2 Method of manufacturing a wiring board by utilizing electro plating
When a wiring pattern is formed on a wiring board utilizing electroplating, an unnecessary portion is removed by proceeding as follows. First electroless plating layers are formed on both sides of an insulating substrate, which are covered with metallic foils in advance. On the first electroplating layers, wiring patterns are formed by etching so as not to extend to the end edge of the substrate. Then, a plating resist pattern is formed so that only a predetermined portion of the wiring patterns is exposed and a second electroplating layer is formed on the predetermined portion of the wiring patterns by supplying electric power from second electroless plating layers. Finally, the plating resist pattern and the second electroless plating layers are removed, and a solder resist is formed so that predetermined portions of the wiring patterns are exposed.
US08043511B2 Method and apparatus for preventing scale deposits and removing contaminants from fluid columns
A method and apparatus provide fluid treatment at a plurality of distinct points utilizing magnetic energy concentrated in a plurality of distinct areas along a fluid flow path and at least one region of pulsed fluid treatment within a fluid treatment chamber. The instant invention prevents the formation and accumulation of contaminants within conduits and on equipment utilized in the transportation, delivery and processing of fluid columns. It may also be utilized to accelerate the separation of oil and water and increase the efficiency of oil/water separation equipment.
US08043504B2 Filter cartridge
A filter cartridge that is designed to accommodate differences in lengths between an outer filter media and an inner filter media by providing a flexible connection between the inner filter media and the outer filter media. In addition, the endplates of the inner filter and the outer filter together define a gasket groove that receives a gasket. Using the endplates of the inner and outer filter media to define the gasket groove eliminates a mold parting line that can lead to fluid leakage.
US08043503B2 Filter with quick attachment features
A quick attachment feature for a filter includes i) a pair of radially-outward projecting pivots on opposite sides of the filter canister toward a lower end thereof; and ii) a pair of flexible retaining clips also located on opposite side of the canister toward the upper end thereof, each clip in substantial axial alignment along the canister with a respective pivot. The filter can be supported in a bracket including a pair of side walls, each of the side walls including i) a hook-shaped opening dimensioned to receive a respective pivot on the filter, and allow the filter to pivot from an orientation at an angle to the longitudinal axis of the bracket, to an orientation where the filter is substantially axially-aligned with the bracket; and ii) a catch dimensioned to engage and retain a respective retaining clip, when the filter is substantially aligned with the bracket.
US08043501B2 Reagent injector for equipping a water treatment reactor, comprising a nozzle associated with a dispersing member, and corresponding water treatment device
The invention concerns a reactant injector for equipping a water treatment reactor, comprising a nozzle associated with a dispersing member, and a corresponding water treatment device. The invention concerns an injector (2) of a reagent into a reactor (2) of a water treatment device, characterized in that said injector (2) comprises at least one nozzle (21) for injecting a jet of said agent, said nozzle being associated with a member for dispersing (22) said jet.
US08043498B2 Storm drain protector
A storm drain protector with a top layer having a generally horizontal surface in which there is at least one hole that allows water to pass vertically through the surface. The horizontal surface also has several vertical projections emanating from the surface that permit the passage of water over the surface while impeding the passage of debris.
US08043497B2 Aesthetic conduit end cap structure having concealed anchor attachments
A conduit end cap structure (e.g., a floor drain assembly) that is securely attached to the conduit and aesthetically pleasing. The end cap of the conduit comprises a perforated plate. Anchor supports extend from the substantially concealed underside of the plate, each providing support for an anchor that is received by an anchor receiving structure on the conduit to securely attach the plate to the conduit near its opening. The anchor may be in the form of a fastener (e.g., a set screw), and the anchor receiving structure is in the form of a channel provided on the inside wall of the conduit opening. The fastener extends into the channel, thereby securely retaining the plate to prevent it from dislodging from the conduit end.
US08043495B2 Process to hydrodesulfurize FCC gasoline resulting in a low-mercaptan product
A process for reducing the sulfur content of a hydrocarbon stream, including: feeding a hydrocarbon stream including sulfur compounds to a catalytic distillation reactor having one or more hydrodesulfurization reaction zones; feeding hydrogen to the catalytic distillation reactor; concurrently in the catalytic distillation reactor: fractionating the hydrocarbon stream into a heavy fraction and a light fraction; contacting hydrogen and the light fraction to form H2S and a light fraction of reduced sulfur content; recovering the light fraction, H2S, and hydrogen as an overheads; recovering the heavy fraction; heating the overheads to a temperature from 500 to 700° F.; feeding the heated overheads and hydrogen to a high temperature low pressure reactor to form H2S and a reactor effluent of reduced mercaptan content; separating the reactor effluent, H2S, and unreacted hydrogen to form a light hydrocarbon fraction and a fraction including H2S and hydrogen; recycling a portion of the light hydrocarbon fraction to the catalytic distillation reactor.
US08043493B2 Multi-capillary array electrophoresis device
An electrophoresis apparatus includes a multi-capillary array having a liquid or solid disposed between the capillaries of the array. The liquid or solid exhibits a refractive index higher than that of air and less than that of water and reduces the amount of laser beams scattered by the capillaries. Also provided are methods of adjusting refracted and reflected excitation light beams passing through capillaries of a multi-capillary array, to reduce loss of intensity of the laser beams and increase irradiation of respective samples disposed in the capillaries.
US08043492B2 Method for pretreatment of electrophoresis, substrate for analysis, and pretreatment apparatus for electrophoresis
A sample (14) is supplied into a sample reservoir (8) (see (A)). A voltage is applied by an electrode (20) to introduce the sample into a capillary (6) filled with a separation medium (see (B)). A liquid (16) for replacement having a larger specific gravity than the sample (14) is supplied into the sample reservoir (8), and the sample (14) remaining in the sample reservoir (8) is replaced with the liquid (16) for replacement (see (C)).
US08043487B2 Chamber shield for vacuum physical vapor deposition
A physical vapor deposition apparatus includes a vacuum chamber with side walls, a cathode, a radio frequency power supply, a substrate support, and anode, and a shield. The cathode is inside the vacuum chamber and includes a sputtering target. The radio frequency power supply is configured to apply power to the cathode. The substrate support is inside and electrically isolated from the side walls of the vacuum chamber. The anode is inside and electrically connected to the side walls of the vacuum chamber. The shield is inside and electrically connected to the side walls of the vacuum chamber and includes an annular body and a plurality of concentric annular projections extending from the annular body.
US08043482B1 Oxide coated cutting insert
A cutting tool insert, particularly useful for machining of steel and stainless steel, comprising a body of a hard alloy of cemented carbide, cermet, ceramics, cubic boron nitride based material or high speed steel a hard and wear resistant coating; and at least (Al,Cr)2O3 layer applied to said body is disclosed. Methods of making a cutting tool insert are also disclosed. In addition, methods for machining of cast iron using the cutting tool inserts are disclosed.
US08043481B2 Sputtering method and apparatus
A sputtering method deposits a film on a substrate by controlling a magnetic field parallel to a surface of a target so that the magnetic field at a part of the target, other than parts of the target which are sputtered during a deposition mode in which a deposition process is performed with respect to the substrate, has an intensity lower than an arbitrary intensity at the other parts during the deposition mode and has an intensity higher than or equal to the arbitrary intensity during a standby mode in which the deposition process is not performed. A redeposited film which is deposited on the part of the target during the deposition mode is removed by performing a sputtering during the standby mode.
US08043480B2 Methods for forming biodegradable nanocomponents with controlled shapes and sizes via electrified jetting
Methods of forming a plurality multiphasic nano-components (MPNs) having at least two phases, with high selectivity for at least one of shape, size, or phase orientation in the nano-component are provided. The methods provide high yields of substantially similar nano-components by controlling one or more of: polymer concentration, liquid stream composition, liquid stream conductivity, flow rate, humidity, temperature, pressure, electrode design and/or configuration during an electrified jetting process. Such methods of making MPNs form shapes including disks, rods, spheres, rectangles, polygons, toroids, cones, pyramids, cylinders, fibers, and combinations thereof. Such MPNs can be used in various applications, including for medical diagnostics or with pharmaceutical, personal care, oral care, and/or nutritional compositions.
US08043478B2 Retort heating apparatus
A retort heating apparatus for processing a feed material includes a heating chamber bounded at least in part by a side wall. A plurality of baffles are at least partially disposed within the heating chamber. Each baffle includes an elongated body having a top surface, at least a portion of the top surface being arched. The plurality of baffles are vertically and horizontally spaced apart so that substantially all of the feed material that vertically passes through the heating chamber is horizontally displaced as the feed material passes by the baffles. Systems are also provided for heating the feed material within the heating chamber.
US08043477B2 Belt and method of making a belt for a paper making machine
Belt and method of making a belt for a paper making machine. The belt includes a flat woven material having a top side surface and a bottom side surface and two terminal ends separated in a machine direction. Folds formed in the flat woven material are separated in the machine direction, so that the two terminal ends are located under the bottom side surface. Seam loops are located at the folds, and the two terminal ends one of are arranged adjacent each other and overlap each other in a region offset from a center between the folds. The instant abstract is neither intended to define the invention disclosed in this specification nor intended to limit the scope of the invention in any way.
US08043470B2 Electrode/probe assemblies and plasma processing chambers incorporating the same
The present invention relates generally to plasma processing chambers and electrode assemblies used therein. According to one embodiment, an electrode assembly comprises a thermal control plate, a silicon-based showerhead electrode, and a probe assembly comprising an electrically conductive probe body and a silicon-based cap. The electrode assembly is configured such that the handedness of a threaded engagement of the silicon-based cap and a head section of the probe body and the handedness of the threaded engagement of the thermal control plate and a mid-section of the probe body have a common direction of rotation. Thereby, an application of torque to the silicon-based cap in a tightening direction of rotation tightens both threaded engagements. Further, the electrode assembly is configured such that the threaded engagement of the silicon-based cap and a head section of the probe body permits repetitive non-destructive engagement and disengagement of the silicon-based cap and the probe body.
US08043468B2 Apparatus for and method of processing substrate
A substrate processing apparatus discharges a hydrofluoric acid solution from discharge nozzles toward grooves formed in side walls of an inner bath. The hydrofluoric acid solution discharged from the discharge nozzles impinges upon the grooves to diffuse, thereby moving toward a top portion of the inner bath in the form of low-speed uniform liquid flows. Thus, a metal component and foreign substances generated in the inner bath float up toward the top portion of the inner bath without being agitated within the inner bath, and are rapidly drained to an outer bath together with the hydrofluoric acid solution.
US08043467B2 Liquid processing apparatus and liquid processing method
A liquid processing apparatus is arranged to planarize a film on a substrate by supplying onto the film a process liquid for dissolving the film while rotating the substrate. The apparatus includes a substrate holding member configured to rotatably hold the substrate in a horizontal state, a rotation mechanism configured to rotate the substrate holding member, and a liquid supply mechanism configured to supply the process liquid onto a surface of the substrate. The liquid supply mechanism includes first and second liquid delivery nozzles configured to deliver the same process liquid. The first liquid delivery nozzle has a smaller diameter and provides a smaller delivery flow rate, as compared to the second liquid delivery nozzle. The first liquid delivery nozzle is inclined to deliver the process liquid in a rotational direction of the substrate, and is movable between a center of the substrate and a peripheral edge thereof.
US08043464B2 Systems and methods for assembling lightweight RF antenna structures
Systems and methods for assembling lightweight RF antenna structures are provided. In one embodiment, the invention relates to a process for forming a lightweight antenna including a process for forming a first feed assembly for the antenna, the process for forming the first feed assembly including providing a flat flexible circuit substrate, providing a formed flexible circuit substrate, applying an adhesive to a plurality of locations on a surface of the flat substrate or the formed substrate, joining the flat substrate and the formed substrate using the adhesive, and heating the joined flat substrate and the formed substrate to bond the substrates.
US08043463B2 Label laminate and a method for manufacturing a label laminate
A label laminate and a method for manufacturing a label laminate. The laminate includes a first label material layer and a second label material. The method includes forming a pattern in which adhesive areas and non-adhesive areas alternate on the face side of the first label material layer and on the face side of the second label material layer. The adhesive areas on the first label material layer are aligned with the non-adhesive areas on the second label material layer and the non-adhesive areas on the first label material layer are aligned with the adhesive areas on the second label material layer. The face sides of two label material layers are attached to each other. The adhesive areas are formed by a screening method. The non-adhesive areas have a surface energy, which is at least 25 dynes.
US08043460B2 Reversible dry adhesives
One embodiment of the invention includes product comprising an elastomeric epoxy dry adhesive with a pull-off strength of 1-200 N/cm2 from a substrate and reversibly detached with a peel-off force less than 1 N/cm.
US08043458B2 Method of forming panels using an in-situ tape placement process and panels formed therefrom
A composite panel and method of manufacture includes a support structure and a tape skin wound about the support structure. A mandrel assembly with extractable longitudinal mandrels facilitates winding of the tape skin onto the support structure. The composite panel is suitable for use in an aircraft floor system.
US08043457B2 Fibre placement tool
A fiber placement tool comprising a plurality of tool segments, each tool segment having an outer surface defining a predetermined profile, each tool segment being removably mounted on at least one elongate shaft.
US08043456B2 Method and an apparatus for manufacturing tyres
A robotized arm drives in rotation a drum carrying a tire being processed and conveniently moves it in front of a delivery nozzle supplying a continuous elongated element of elastomer material in order to form a tire component. The delivery nozzle is connected through at least one selecting valve with two or more extruders, each designed to supply a respective blend. The selecting valve connects the delivery nozzle with the outlet of one of the extruders, breaking communication between the nozzle and the other extruders. The outlets of the non-active extruders can be connected with at least one outlet duct. It is thus possible to replace the type of blend used for manufacturing the tire component without the article of manufacture having to be moved away from the delivery nozzle.
US08043454B1 Method of forming hermetic vias utilizing metal-metal oxides
The invention is a method of making a hermetic via in a ceramic substrate that is comprised of noble metal powder in a glass-free paste that contains an admixture of a particulate phase of niobium pentoxide. The electrically conductive platinum provides excellent electrical conductivity while the niobium pentoxide phase prevents shrinkage of the paste during thermal processing and binds to both the ceramic and the noble metal particulates in the via, thus maintaining a hermetic seal around the via.
US08043453B2 System and method for reworking composites
The present application is directed to methods of preparing a wet composite patch for use in various applications, such as, for example, reworking a composite part of an aircraft. The method comprises heating the composite patch to a temperature that causes resin containing gaseous components to flow from the patch through a flow path. The gaseous components are vented from the resin while restricting the flow of resin from the composite patch by restricting the flow of resin through the flow path. Systems for performing the methods of the present application are also disclosed.
US08043451B2 Wedge-shaped heating element and method for producing it
The invention relates to a wedge-shaped heating element (or heating wedge) for an apparatus for contact welding of plastic films or plastic sheets (2, 3) and to a method for producing it. In order to provide that the heating element (1) is usable for different plastic materials and has high strength, high abrasion resistance, and high temperature and thermal shock resistance to approximately 800° C., as well as corrosion resistance to the chemical factors that occur in welding various polymer materials, the invention proposes that a ceramic material be used as the material for the heating element (1). In order furthermore to provide that the heating element (1) also has a thermal conductivity that is comparable to metals, it has proved especially advantageous if the heating element (1) comprises a composite material, the latter being composed of a ceramic basic material and a heat-conducting material, in particular a metal or metalloid, so that the heating element (1) on the one hand has the mechanical and chemical properties of the ceramic basic material and also approximately the heat-conducting properties of the heat-conducting metal.
US08043448B2 Non-heat treated zirconium alloy fuel cladding and a method of manufacturing the same
Disclosed herein are zirconium-based alloys that may be fabricated to form nuclear reactor components, particularly fuel cladding tubes, that exhibit sufficient corrosion resistance and hydrogen absorption characteristics, without requiring a late stage α+β or β-quenching processes. The zirconium-base alloys will include between about 1.30-1.60 wt % tin; 0.0975-0.15 wt % chromium; 0.16-0.24 wt % iron; and up to about 0.08 wt % nickel, with the total content of the iron, chromium and nickel comprising at least about 0.3175 wt % of the alloy. The resulting components will exhibit a surface region having a mean precipitate sizing of between about 50 and 100 nm and a Sigma A of less than about 2×10−19 hour with the workpiece processing generally being limited to temperatures below 680° C. for extrusion and below 625° C. for all other operations, thereby simplifying the fabrication of the nuclear reactor components while providing corrosion resistance comparable with conventional alloys.
US08043447B2 Method of manufacturing high tensile strength thick steel plate
In a method of manufacturing a high tensile strength thick steel plate, a steel slab contains 0.03-0.055% of C, 3.0-3.5% of Mn, and 0.002-0.10% of Al, the amount of Mo is limited to 0.03% or less, the amount of Si is limited to 0.09% or less, the amount of V is limited to 0.01% or less, the amount of Ti is limited to 0.003% or less, the amount of B is limited to 0.0003% or less, and of which Pcm value representing a weld cracking parameter is fallen within the range of 0.20-0.24% and DI value representing a hardenability index is fallen within the range of 1.00-2.60, is heated to 950-1100° C. The steel slab is subjected to a rolling process with a cumulative draft of 70-90% when a temperature is in a range of 850° C. or more, and then, the steel slab is subjected to a rolling process at 780° C. or higher with a cumulative draft of 10-40% when a temperature is in a range of 780-830° C., and subsequently, accelerated cooling at a cooling rate of 8-80° C./sec is started from 700° C. or higher and is stopped at a temperature between room temperature and 350° C.
US08043442B2 Counterbalance devices for a closure
Counterbalance devices are provided for a closure mounted with respect to a container. The devices can include a stationary friction member, an elongated flexible member, and a biasing member. The stationary friction member can be comprised of a mounting portion and a friction portion. An intermediate portion of the elongated flexible member can also slidingly engage the friction surface of the stationary friction member.
US08043439B2 Method for controlling dish washer
A dishwasher and a method for controlling the same are provided. The dishwasher includes a contamination level sensor that measures a contamination level of washing water in a washing tub of the dishwasher. The method includes supplying washing water to an inside of the dishwasher, washing dishes by spraying the washing water to the inside of the dishwasher, temporarily pausing the washing of the dishes for a preset time period, and resuming the washing of the dishes after the preset time period.
US08043438B2 Device for cleaning CVD device and method of cleaning CVD device
An apparatus for cleaning a CVD apparatus that can efficiently remove a by-product such as SiO2 or Si3N4 stuck and deposited onto the surface of an internal wall, an electrode, or the like in a reaction chamber in a film forming process, and a method for cleaning a CVD apparatus. A control monitors luminous intensity data of an F radical in a reaction chamber by optical emission spectroscopy and compares the data with calibrated prestored luminous intensity data, and ends cleaning after a predetermined time passes from reaching a luminous intensity saturation point. Furthermore, concentration data of SiF4 in a gas discharged from the reaction chamber are monitored by a Fourier transform infrared spectrometry and compared with prestored concentration data of SiF4 to decide that the predetermined time has passed when a predetermined cleaning end point concentration is reached, thereby ending the cleaning.
US08043437B1 Dishwasher with multiple treating chambers
A method of operating a dishwasher having multiple wash chambers, with each wash chamber executing a different cycle of operation, and liquid from one chamber may be reused in the other chamber.
US08043436B2 Substrate cleaning system, substrate cleaning method, subtrate cleaning program, and program recording medium
A substrate cleaning system according to the present invention comprises cleaning devices, and a controller for controlling the driving of the cleaning devices in accordance with driving recipes predetermined. The controller prioritizes the cleaning devices, calculates, on the basis of a driving recipe for a cleaning device having a higher priority and a driving recipe for a cleaning device having a lower priority, a time in which the two cleaning devices can interfere with each other, and sets the calculated time as a waiting time. The cleaning device having the higher priority is made to start cleaning a substrate from a predetermined cleaning-starting position, while the cleaning device having the lower priority is kept waiting in a predetermined waiting position. When or after the waiting time has elapsed since the cleaning device having the higher priority started cleaning, the cleaning device having the lower priority is made to move from the waiting position and start cleaning the substrate.
US08043433B2 High efficiency electro-static chucks for semiconductor wafer processing
The present invention generally provides a high efficiency electrostatic chuck for holding a substrate in a processing volume. The high efficiency electrostatic chuck includes an electrode embedded within a high-purity, thermoplastic member. In particular, the high-purity, thermoplastic member may include a high-purity, polyaryletherketone having an extremely low level of metallic ions present therein. The high-purity, polyaryletherketone has excellent wear resistance, high temperature resistance, plasma resistance, corrosive chemical resistance, electrical stability, and strength as compared to polyimide films used in electrostatic chucks. The present invention also provides a simplified method of manufacturing the high efficiency electrostatic chuck.
US08043431B2 Substrate processing apparatus and method for manufacturing a semiconductor device
A CVD device has a reaction furnace (39) for processing a wafer (1); a seal cap (20) for sealing the reaction furnace (39) hermetically; an isolation flange (42) opposite to the seal cap (20); a small chamber (43) formed by the seal cap (20), the isolation flange (42), and the wall surface in the reaction furnace (39); a feed pipe (19b) for supplying a first gas to the small chamber (43); an outflow passage (42a) provided in the small chamber (43) for allowing the first gas to flow into the reaction furnace (39); and a feed pipe (19a) provided downstream from the outflow passage (42a) for supplying a second gas into the reaction furnace (39). Byproducts such as NH4Cl are prevented from adhering to low temperature sections such as the furnace opening and therefore the semiconductor device production yield is therefore increased.
US08043430B2 Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
Apparatuses are provided for controlling flow conductance of plasma formed in a plasma processing apparatus that includes an upper electrode opposite a lower electrode to form a gap therebetween. The lower electrode is adapted to support a substrate and coupled to a RF power supply. Process gas injected into the gap is excited into the plasma state during operation. The apparatus includes a ground ring that concentrically surrounds the lower electrode and has a set of slots formed therein, and a mechanism for controlling gas flow through the slots.
US08043428B2 Process for production of silicon single crystal
In growing a silicon monocrystal from a silicon melt added with an N-type dopant by Czochralski method, the monocrystal is grown such that a relationship represented by a formula (1) as follows is satisfied. In the formula (1): a dopant concentration in the silicon melt is represented by C (atoms/cm3); an average temperature gradient of the grown monocrystal is represented by Gave(K/mm); a pulling-up speed is represented by V (mm/min); and a coefficient corresponding to a kind of the dopant is represented by A. By growing the silicon monocrystal under a condition shown in the left to a critical line G1, occurrence of abnormal growth due to compositional supercooling can be prevented. Gave V > A · C - 43 ( 1 )
US08043424B2 Aqueous coating composition
Aqueous coating composition comprising an oxidatively drying resin and an alkali-soluble acrylate having an acid number of at least 15 mg KOH/g in a content of at least 1.5 wt. %. Optionally a second acrylate can also be used, such as a polyurethane acrylate.
US08043422B2 Combinations of phenylpyrroles and pyrion compounds
The present invention relates to combinations of a phenylpyrrole, or a salt thereof, and a pyrion compound which provide an improved biocidal effect. More particularly, the present invention relates to compositions comprising a combination of a phenylpyrrole selected from fludioxonil, fenpiclonil or pyrrolnitrin, or a salt thereof, together with a pyrion compound selected from 1-hydroxy-2-pyridinone, ciclopirox, ciclopirox olamine, piroctone, piroctone olamine, rilopirox, pyrion disulfide, sodium pyrithione and zinc pyrithione; in respective proportions to provide a synergistic biocidal effect.Compositions comprising these combinations are useful for the protection of any living or non-living material, such as crops, plants, fruits, seeds, objects made of wood, thatch or the like, engineering material, biodegradable material and textiles against deterioration due to the action of microorganisms such as bacteria, fungi, yeasts, algae, virusses, and the like.
US08043414B2 Method and apparatus for desorption and dehumidifier using the same
A method and an apparatus for desorption and a dehumidifier are provided in the present invention, in which an electrical potential is applied to electrodes disposed on both ends of an absorbing material so as to desorb the substances absorbed within the absorbing material whereby the absorbing material is capable of being maintained for cycling the absorbing operation. By means of the method and the apparatus of the present invention, the desorbing efficiency can be enhanced and the energy consumption can be reduced during desorption.
US08043413B2 System and method for removing a foreign object from an airstream entering a turbomachine
An embodiment of the present invention provides a system for removing foreign objects from the airstream entering an inlet system of a turbomachine. The system may include an electrostatic system that may be configured to charge conductive, or partially conductive foreign objects that are in the airstream flowing through the system. This system may create an electric field to draw the charged foreign objects, allowing for a collecting section to remove the charged foreign objects from the airstream. An embodiment of the present invention may provide an automatic accumulation system for collecting the foreign objects removed from the airstream. An embodiment of the present invention may provide an automatic cleaning system for cleaning components of the collecting system.
US08043407B2 Welding solid wire
It is an object of the present invention to provide a similar composition metal type welding solid wire capable of forming a welded joint having excellent cryogenic characteristics, such as ensuring a low-temperature toughness equivalent to that of the cryogenic base metal, and in addition, further having a high crack initiation resistance, and a weld metal thereof. The welding solid wire of the present invention is an iron base welding solid wire including carbon: 0.10 mass % or less (not inclusive of 0%), silicon: 0.15 mass % or less (not inclusive of 0%), nickel: 8.0 to 15.0 mass %, manganese: 0.10 to 0.80 mass %, and Al: 0.1 mass % or less (not inclusive of 0%), and oxygen in an amount of 150 ppm or less (inclusive of 0), characterized by including: a REM: 0.005 to 0.040 mass %, or chromium: 4.0 mass % or less (not inclusive of 0%).
US08043404B2 High extrusion ratio titanium metal matrix composites
Disclosed herein are extruded titanium metal matrix composites with enhanced ductility. Also disclosed is the extrusion at high extrusion ratio of titanium metal matrix composites produced by powder metal processes. The ductility and machinability of these titanium metal matrix composites extruded at high extrusion ratios combined with their wear resistance and excellent imaging characteristics makes these high extrusion ratio extruded titanium metal matrix composites useful as biological implants, including prosthetic devices. Also disclosed are articles such as orthopedic implants for knee, hip, spine or other biomedical devices, with enhanced properties, made from the disclosed extruded material.
US08043399B1 Process for rapid microwave-enhanced detoxification of CCA-treated wood
A method for detoxifying spent CCA (copper, chromium, arsenic) treated wood, from which CCA is efficiently removed from the wood, allowing both the CCA and the wood to be reused has been developed. The method comprises the steps of (1) microwave-enhanced acid extraction of CCA, (2) separation of the acid-containing CCA solution from the wood, (3) separation/precipitation of CCA from the acid extract, (4) recovery and regeneration of CCA-bearing precipitant for reuse in the wood preservation industry, (5) recycling recovered acid solution, (6) microwave-assisted liquefaction of the extracted wood, and (7) use of detoxified liquefied wood to form polymeric materials such as polyurethanes and phenolic resin adhesives. The recovered CCA may be used to treat wood. The recovered acids may be used to extract CCA from CCA-treated wood, and the liquefied wood may be used as phenolic or polyurethane resins.
US08043394B2 Particulate matter filter assembly with a flow device
A particulate matter (PM) filter assembly includes a PM filter that filters PM from an exhaust stream and that includes an entry face facing a first direction of flow of the exhaust stream, and a swirl element disposed in the exhaust stream and that includes a blade that directs a portion of the exhaust stream in a second direction of flow that is different than the first direction of flow. The blade directs the portion of the exhaust stream to distribute the exhaust stream over a frontal area of the entry face of the PM filter. A related method of filtering particulate matter from an exhaust stream is also provided.
US08043390B2 Apparatus and method for controlling the gas composition produced during the gasification of carbon containing feeds
A method for controlling the output composition from a gasification device for use in the gasification of biomass using a gasifier in which the biomass and gas both flow in a downward direction. The method combines the use of steam and oxygen as a mixed oxidation stream to control the processes occurring within the gasifier. The oxidants are introduced into the gasifier using a number of injection rings. Each injection ring is comprised of a number of injection nozzles each radially distributed at the same vertical height and possibly connected to the same supply source. Particularly satisfactory results can be achieved through the use of three injection rings, one at the top of the gasifier, one at the interface of the oxidation and reduction zone and one a small distance below the grate assembly. The produced syngas also contains extremely low concentrations of tar and low molecular weight hydrocarbons.
US08043389B2 Fuel cell heat recovering reformer and system
A fuel cell system includes a reformer for reforming fuel and generating hydrogen gas. A stack generates electricity through an electrochemical reaction between the hydrogen gas and oxygen. A fuel supply unit supplies fuel to the reformer. An air supply unit supplies air to the reformer and the stack. The reformer includes a reformation reactor unit for generating the hydrogen gas and a heat-insulating unit including a vacuum area covering the reformation reactor unit and recovering heat generated from the reformation unit.
US08043386B2 Process for producing polymer electrolyte
A process for producing a polymer electrolyte for a nonaqueous battery by mixing a vinylidene fluoride copolymer and a nonaqueous electrolytic solution with a solvent that can be evaporated, wherein the vinylidene fluoride copolymer comprises 80 to 97 wt. % of vinylidene fluoride monomer units and 3 to 20 wt % of units of at least one monomer copolymerizable with vinylidene fluoride monomer and has an inherent viscosity of 1.5 to 10 dl/g, and evaporating the solvent to form a polymer electrolyte comprising the vinylidene fluoride copolymer impregnated with the nonaqueous electrolytic solution.
US08043385B2 Composition oxidation colouring of keratinic fibres, containing a cationic cellulose ether, a metasilicate and oxidation dyes, method for oxidation colouring and uses thereof
The present invention relates to a dyeing composition comprising, in a medium appropriate for dyeing: A) one or more specific cationic cellulose ether (s), B) one or more metasilicate (s), and C) one or more benzene, heterocyclic or naphthalene oxidation dye (s). The present invention also relates to a method for dyeing keratinous fibers employing such a composition and to the use of this composition for dyeing of keratinous fibers.
US08043383B2 Reducing formaldehyde emissions
A method for reducing formaldehyde emissions from articles prepared from, or which otherwise comprise formaldehyde-emitting compositions, such as formaldehyde-containing resins, is described. The method relates especially to a method for reducing formaldehyde emissions from products such as cellulose laminates, permanent press (wrinkle-free) textiles, floral foams and ceiling or acoustical tiles, which involves isolating the article in an enclosed space with a formaldehyde scavenger, particularly a formaldehyde scavenger carried by a substrate.
US08043380B1 Bone implant with osteo-inducing structure
A spine implant system having two or more adjacent segments that have a male-female annular interlocking relationship for increased mechanical stability and resistance to relative translation and rotation. One or more segments is provided with at least one perforation channel or void to promote osteo-induction within the segment. Optionally, two adjacent segments have at least one common or aligned perforation channel or void. Two or more spaced apart portions of a segment surface are optionally chamfered to provide easier fabrication and/or positioning of the segment. Two spaced apart exposed surface planes of an interlocked segment pair optionally are axially oriented at a small positive angle relative to each other, to approximate natural spine curvature. Segments in some embodiments have a curvilinear delta cross sectional shape and/or have crosshatched grooves in exposed surfaces. Many of the embodiments are useful in transforaminal lumbar interbody fusion (TLIF).
US08043373B2 All-barrier elastomeric gel-filled breast prosthesis
An elastomeric gel-filled prosthetic implant having a shell made of a single gel barrier layer. The barrier layer is formed of a homogeneous silicone elastomer capable of sterically retarding permeation of the silicone gel through the shell and having a bleed rate that is less than about 40% of the bleed rate of current shells which use a sandwiched construction with an internal barrier layer. Further, the barrier layer shell is made of a material that exhibits a wet strength that is comparable to or greater than current shells. The silicone elastomer may be a polydimethyl siloxane, and the substituted chemical group is a diphenyl group with a minimum mole percent of at least 13%. The implant may be designed for breast reconstruction or augmentation such that the shell is accordingly shaped. The shell wall thickness is at least 0.254 mm (0.010 inches), and desirably about 0.456 mm (0.018 inches). The implant shell may be made by dip-forming, spray-forming, or rotational molding. The exterior may be smooth or textured.
US08043370B2 Optical device for restoring accommodative capacity of the eye
The invention relates to a device for restoring the accommodating ability of the eye, which comprises: a) at least one optical system (3) b) at least one data acquisition system (8) that does not touch the ciliary muscle and has either acoustic or optical means to detect the movement of the ciliary body, or it has means to detect the spatial orientation of the two eyeballs with respect to each other, or it has means for measuring the diameter of the pupil and the luminance in at least one eye as a physiological control signal for accommodation c) at least one data processing system to produce a regulating signal for the optical system from the physiological control signal received d) at least one power supply system (10), and e) at least one system for attachment (22).
US08043363B2 Endoluminal prosthesis
An endoluminal prosthesis is disclosed and comprises a first generally tubular stent, a second generally tubular stent, and a generally tubular graft member concentrically disposed between the first and second stents. One or more of the first and second stents may have a body portion and a flaring portion. The first and second stents each may have a recoil force such that the combined application of the first and second recoil forces secures the graft member between the first and second stents.
US08043360B2 Devices, systems and methods to treat heart failure
Several unique intracardiac pressure vents, placement catheters, methods of placement and methods of treating heart failure are presented. The intracardiac pressure vents presented allow sufficient flow from the left atrium to the right atrium to relieve elevated left atrial pressure and resulting patient symptoms. In an aspect of the invention, the interatrial device comprises portions that are more flexible or less flexible than another portion of the device.
US08043359B2 Medical devices having superhydrophobic surfaces
According to an aspect of the invention, medical devices are provided, which have at least two superhydrophobic surface regions which engage one another when the medical device is deployed in vivo.
US08043352B2 Medical device delivery system with captive inner member
Delivery systems for delivering and deploying expandable intraluminal medical devices at a desired point of treatment within a body vessel are provided. The delivery systems comprise a sheath member and an inner member slideably disposed within a cavity formed by the sheath member. An expandable intraluminal medical device is disposed about the inner member and is initially positioned within the delivery system. A means for preventing axial movement of the inner member holds the inner member in position so that, while the delivery system and medical device are positioned at a desired point of treatment, the sheath member can be retracted while an axial position of the inner member is substantially maintained.
US08043349B2 Configurable phototherapy device
Disclosed herein is a device which includes a first panel, a second panel, a center panel, an upright support (pillar), and a base. The first and second panels each include one or more ultraviolet lamps. The center panel may optionally include one or more ultraviolet lamps. The panels are mounted to a swivel plate, which is in turn mounted to the upright support. The swivel plate allows the panels to be rotated by approximately 90 degrees. The upright support has a track that allows the swivel plate to move up and down the upright support.
US08043346B2 Anterior cervical plate with independent spring-loaded locking slides for each screw
An anterior cervical plate and method includes a plurality of retaining slots comprising a first and second hole each adapted to permit bone retaining members to pass partially therethrough; and a platform separating the first hole from the second hole. The plate further comprises a pair of locking slides matingly adjacent to one another and adapted to rest on the platform and retain the bone retaining members in the plurality of retaining slots, wherein each the locking slide comprises a deflectable flange; and a lip positioned on an opposite side of the deflectable flange, wherein a first locking slide of the pair of locking slides is positioned in an opposite orientation relative to a second locking slide of the pair of locking slides. The deflectable flange of the first locking slide is aligned adjacent to the deflectable flange of the second locking slide.
US08043342B2 Craniospinal fusion method and apparatus
A method for effecting reduction, stabilization and enhancement of fusion of the human cranio-cervical junction, which may be performed in order to relieve mechanical stresses imparted to the spinal cord and brainstem as a result of an abnormal clivo-axial angle, includes steps of achieving the correct craniocervical relationship, of effecting a fusion of a first portion of a bone forming material based structural member to a human cranium, and effecting fusion of a second portion of the bone forming material based structural member to a least one portion of a human cervical spine. Fusion of the bone forming material based structural member to the human cranium may be promoted through the use of plate member that is shaped to define a graft accommodation space between the plate member and the cranium.
US08043340B1 Dynamic spinal stabilization system
A dynamic spinal stabilization system that enables spinal movements throughout a range of motions from a neutral condition of the spine, to a flexion condition, and an extension condition. The system includes metal pedicle screws and at least one metal support rod, wherein the system includes elastomeric members operatively located to substantially eliminate metal-to-metal contact of metal components of the system that are movable relative to one another.
US08043333B2 Dynamic stabilization system
A dynamic bone fixation element for securing an elongated fixation element such as, a longitudinal rod or bone plate, to a patient's bone, preferably a vertebra. The dynamic bone fixation element preferably includes a bone fixation element and a flexible element for connecting the bone fixation element to the elongated fixation element. The flexible element preferably permits the elongated fixation element to move with respect to the bone fixation element and hence with respect to the bone affixed thereto. The flexible element preferably permits extension and/or compression of the elongated fixation element with respect to the bone fixation element and polyaxially movement of the elongated fixation element with respect to the bone fixation element. The flexible element is preferably in the form of a spring or a spring-like flexible element.
US08043331B2 Impermanent biocompatible fastener
An embodiment of the invention includes a method of fastening together two tissue portions. The method may include positioning a medical device adjacent a region of tissue having two tissue portions. The medical device may include a male member and a female member having a first bioabsorbable material overlying one of a non-bioabsorbable material and a second bioabsorbable material. The first bioabsorbable material may have a first degradation rate, and the second bioabsorbable material may have a second degradation rate slower than the first degradation rate. The method may also include inserting the male member inside the female member so as to inhibit withdrawal of the male member from the female member with the two tissue portions between the male member and the female member.
US08043328B2 Medical instrument
A hydraulically actuated medical instrument includes an elongated shaft having proximal and distal ends, a hydraulically actuated end effector at the distal end of the shaft and a fluid flow path extending through the shaft to the end effector. The shaft may be capable of an angled configuration where at least a portion of the shaft is manually movable to a direction in which it extends at an angle to another portion of the shaft. The end effector is not limited to a linear configuration and at least a portion of an elongated end effector extends at an angle relative to another portion of the end effector as is needed or desirable for the particular procedure to be performed.
US08043326B2 Self-expanding pseudo-braided intravascular device
A self-expanding, pseudo-braided device embodying a high expansion ratio and flexibility as well as comformability and improved radial force. The pseudo-braided device is particularly suited for advancement through and deployment within highly tortuous and very distal vasculature. Various forms of the pseudo-braided device are adapted for the repair of aneurysms and stenoses as well as for use in thrombectomies and embolic protection therapy.
US08043321B2 Embolic coil
An embolic coil comprises an elongated core element formed of a shape memory material treated to define a memorized secondary coil shape and an elongated outer element wound around the elongated core element to define a primary coil shape of the embolic coil. A method of forming an embolic coil, comprises the steps of imparting a memorized shape to a core element formed of a shape memory material, wherein the memorized shape defines a secondary coil of the embolic coil and straightening the core element in combination with the steps of winding an elongated outer element around the straightened core element to form a primary coil of the embolic coil and releasing the straightened core element when the device has been positioned at a deployment location to form the secondary coil of the embolic coil.
US08043320B2 Bifurcated balloon & stent delivery system
A bifurcated balloon for in vivo use, comprises: a proximal hollow tubular element, two bifurcating hollow tubular elements extending distally from a distal end of the proximal hollow tubular element, each of the two elements comprising: a first distal tubular element guidable into a first branch of a vessel bifurcation, and a second distal tubular element guidable into a second branch of the vessel bifurcation. The bifurcated balloon further comprises a longitudinal chamber extending from a distal end of the first distal tubular element, and a first substantially longitudinal guidewire channel passing through the longitudinal chamber, the first guidewire channel having two ends of which a first end passes through a proximal portion of the longitudinal chamber.
US08043315B2 Osteochondral repair using plug fashioned from partial distal allograft femur or condyle
A method and apparatus for repairing isolated chondral defects using allograft implants. Lesions in articular tissue are corrected by forming a recipient socket in the tissue. A donor graft of a size corresponding to the recipient socket is harvested from a partial tissue specimen obtained from allograft material. The donor graft is implanted into the recipient socket.
US08043311B2 Medical device systems
Medical device systems and related methods are disclosed.
US08043306B2 Methods for accurately deploying particular medical appliances at a target site
The present invention regards an apparatus for selectively and accurately deploying one or more sequentially positioned medical appliances from a portable medical device. The apparatus includes a body having a channel, a string passing through the channel, and a mechanism for moving the string predetermined distances to deploy medical appliances. The medical appliances may be, but are not limited to, ligation bands.
US08043305B2 Method of securing a graft
Disclosed is an anastomosis catheter, for achieving a tissue to tissue or synthetic graft to tissue attachment. The catheter includes a plurality of deployable tissue anchors, which may be laterally deployed into surrounding tissue. The anchors may be used to achieve end to end or end to side anastomoses. Methods are also disclosed.
US08043304B2 Cam lock burr hole plug for securing retainer/plug base
A burr hole plug comprises a plug base configured for being mounted around a cranial burr hole. The plug base includes an aperture through which an elongated medical device exiting the burr hole may pass. The burr hole plug further comprises a retainer configured for being mounted within the aperture of the plug base. The retainer includes a retainer support, a slot formed in the retainer support for receiving the medical device, and a clamping mechanism having a movable clamping element and a cam configured for being rotated relative to the retainer support to linearly translate the movable clamping element into the slot, thereby securing the medical device. The retainer further comprises another clamping mechanism having another movable clamping element and another cam configured for being rotated relative to the retainer support to linearly translate the other movable clamping element, thereby laterally securing the retainer within the plug base.
US08043298B2 Tool system for adapting a bone plate
A tool system for working on a bone plate is described. The tool system includes a first tool and a second tool, used at the same time as the first tool to shorten or bend the bone plate. Each of the two tools includes one or more introduction openings with which in turn one or more blades may be associated.
US08043294B2 Reference mark adjustment mechanism for a femoral caliper and method of using the same
A femoral caliper having one or more anatomical referencing members for placement against portions of the femur, such as the anterior cortex and posterior portion of the femoral condyles, to measure the femur for sizing of the femoral component. A reference mark positioning guide of the femoral caliper is connected to the anatomical referencing member and is capable of guiding placement of a reference mark on the femur that facilitates positioning of the femoral component. The femoral caliper includes an adjustment mechanism capable of displacing the reference mark positioning guide relative to the anatomical referencing member. This allows adjustment of the position of the reference mark (and hence the femoral component) on the femur to account for the up or down sizing of the femoral component. Preferably, the adjustment mechanism adjusts the reference mark positioning guide in the anterior-posterior direction to allow balancing of the tightness or laxity of the selected component.
US08043292B2 Surgical sagittal saw blade including a guide bar, a blade head and drive rods for pivoting the blade head
A surgical sagittal saw blade that including a guide bar shaped to be releasably secured in a static position to a complementary surgical sagittal saw. A blade is mounted to the guide bar to pivot around a pivot point. The blade has teeth located outside of the guide bar. Drive rods extend from the blade. The drive rods connect to a complementary driver integral with the saw. When the saw driver is actuated, the drive rods undergo reverse reciprocation. The reciprocation of the driver rods cause the blade to oscillate around a pivot point.
US08043289B2 Methods and systems for focused bipolar tissue ablation
Large tissue regions are treated using pairs of electrode arrays. The electrode arrays may be concave and disposed in tissue so that their concave portions are opposed to each other. Axial conductors may be provided extending from the arrays and toward each other in order to increase the heating of tissues lying along the axis between the deployed electrode arrays. By properly spacing the electrode arrays apart and selecting the diameters of the arrays, desired volumes of tissue may be treated, typically with a bipolar, radiofrequency current.
US08043286B2 Method and apparatus for plasma-mediated thermo-electrical ablation
Described herein are methods and apparatus for cutting a material including biological tissue. The apparatus has a cutting electrode with an elongate cutting portion. A voltage pulse waveform (typically comprising repeated bursts of minipulses) having a low or very low duty-cycle is applied to the cutting electrode to cut the tissue or other material by producing a vapor cavity around the cutting portion of the electrode and ionizing a gas inside the vapor cavity to produce a plasma. A low duty cycle cutting waveform may prevent heat accumulation in the tissue, reducing collateral thermal damage. The duration of the burst of minipulses typically ranges from 10 μs to 100 μs, and the rep rate typically ranges from 1 KHz to 10 Hz, as necessary. The apparatus and method of invention may cut biological tissue while decreasing bleeding and maintaining a very shallow zone of thermal damage.
US08043277B2 Method for delivery of therapeutic substances
A method for transitioning between therapeutic substances to be delivered at different flow rates to a patient. The first therapeutic substance is delivered to the patient at a known flow rate, a bridge duration equal to the known volume divided by the known flow rate is calculated; and the flow rate is controlled following introduction of a second therapeutic substance into the reservoir. If the second flow rate is lower than the first flow rate, delivery is begun of at least one of the first therapeutic substance and the second therapeutic substance at the second flow rate at least as soon as the bridge duration is over. If the second flow rate is higher than the first flow rate, delivery is begun of the at least one of the first therapeutic substance and the second therapeutic substance at the second flow rate at least as late as when the bridge duration is over.
US08043272B2 Collection and testing of infant urine using an absorbent article
A collection insert for use in a diaper for the testing of the urine of a baby is generally disclosed. The collection insert is configured to collect and temporarily retain the urine, allowing the urine to be later tested for the presence of absence of an analyte. The collection insert is fluidly isolated from the absorbent core of the diaper, and can be positioned between the bodyside liner and the outer cover or located in a pocket defined by the bodyside liner. The collection insert can include an insert cover and a collection core, such as a sponge, cellulosic material, polymeric nonwoven material, and the like. Additionally, the collection insert can be substantially free of superabsorbent material.
US08043266B2 Indwelling needle assembly
An indwelling needle assembly has an inner needle having a sharp point at its tip, an inner needle hub fixed to a base section of the inner needle, a hollow outer needle into which the inner needle is inserted, an outer needle hub fixed to a base section of the outer needle, and a tube inserted into the inner needle hub and connected to the base section of the outer needle hub such that the inner cavity of the tube communicates with the inner cavity of the outer needle. The center axis of the outer needle and the center axis of the tube at its head section are substantially parallel with each other with the inner needle inserted into the outer needle.
US08043265B2 Internal needle
An indwelling needle is provided which has a simple structure and is capable of securely mounting a needle tip protective tool on the tip portion of an internal needle. The indwelling needle A consists of an external needle 10 including a cannula 11 and a housing 12, an internal needle 20 including a metal needle 21 and a hub 22 and a needle tip protective tool 30. The needle tip protective tool 30 is mounted on the housing 12 with the metal needle 21 inserted into an inner section so that the metal needle 21 can be removed together with the internal needle 20 from the external needle 10. A main body 31 of the needle tip protective tool 30 has a cylindrical shape. Window sections 34a, 34b are provided on opposing circumferential surfaces of the main body 31. On a rear end marginal section of window section 34a, a movable gripped section 32 is provided whose outer section 32b extends toward the outside of the main body 31 and whose end consists of a grip section 32c. The gripped section 32c and the grip section 33c are latchable with each other within main body 31.
US08043260B2 Gastric access port
An improved mechanism for attaching a feeding tube to a feeding delivery system is presented. The feeding delivery system is attached to an elongated connecting member that is pivotally attached to a bolster. After attachment, the elongated connecting member is rotated to engage another tube at the base of the pivot. Before pivoting, the passageway is blocked by the interference of the elongated connecting member. As the elongated connecting member is rotated, the conduit system aligns and becomes a passageway to and from the stomach. The device provides a three part rigid plastic fit that does not wear out over a number of uses. The device prevents splash events, which occur when a feeding adapter is opened or when the adapter or valve is forced open by a cough or gastric pressure build up.
US08043259B2 Medical device systems
Medical device systems and related methods are disclosed.
US08043254B2 Medication delivery device
The medication delivery device is designed to receive a replaceable medication container (5) and to determine an adjusted medication dose AD for each medication container (5) received if the amount of medication contained in the medication container (5) is not a multiple of a prescribed dose D. The adjusted medication dose is the dose to be delivered instead of the prescribed dose at each use of the medication delivery device with the medication container (5) received. The adjusted dose is determined by selecting one of a first dose, that is higher than the prescribed dose, and of a second dose, that is lower than the prescribed dose, as a function of a variable B that cumulates the values nAD. (AD−D), where nAD is equal to INT (Cont/AD) and Cont is the amount of medication in the medication container received.
US08043252B2 Nitric oxide decontamination of the upper respiratory tract
A method of topically treating the respiratory tract of a mammal with nitric oxide exposure includes the steps of providing a source of nitric oxide containing gas and delivering the nitric oxide containing gas nasally to the upper respiratory tract of the mammal. Also provided are several designs for a nasal delivery device for the controlled nasal deliver the nitric oxide containing gas.
US08043249B2 Fixing device for injection needles
A device for connecting an injection needle to an injection apparatus, wherein the device provides a plurality of possible points of contact in at least two planes, and wherein the points of contact have associated spring forces for acting generally perpendicularly on the injection apparatus.
US08043248B2 Method for securing a barrier device within the gastrointestinal tract and integral component for same
A method is disclosed for creating an anchor within the gastrointestinal tract for supporting a barrier device therein. The gastrointestinal tract has a first wall, a second wall and a body lumen. The method comprises advancing an anchoring device through the first wall and the second wall, threading the barrier device over the anchoring device and advancing the barrier device into the body lumen, and forming a closed loop with the anchoring device for supporting the barrier device.
US08043245B2 Orthopedic device having a patient compliance system
An orthopedic device includes a strut assembly having a resilient shell defining opposed first and second sides, and a patient compliance system. The patient compliance includes a tightening strap connecting the first and second sides of the shell. The tightening strap has first and second end portions arranged for incremental uni-directional adjustment relative to at least one of the first and second sides of the strut assembly. The tightening strap is arranged to bend the shell and draw the first and second sides thereof toward one another.
US08043244B2 Wearable device
A wearable device includes a unitary first frame assembly which is integrally formed from first and second polymeric materials. The first frame assembly has a first frame portion formed by the first polymeric material and extends around part of a second frame portion formed by the second polymeric material. The first frame member includes a flexible first shell and a first connecting portion which depends from the first shell. The second frame portion forms part of the first shell and continuously extends to the first connecting portion without interruption. The second polymeric material has greater rigidity than the first polymeric material. The device also includes a second frame assembly having a second connecting portion which links to the first connecting portion.
US08043237B1 Massaging device having completely massaging effect
A massaging device includes a support member, a massaging member movably mounted on the support member, two opposite connecting straps connected with the massaging member respectively, two massaging belts each connected with a respective one of the connecting straps, a drive member mounted on the support member and provided with a rotation shaft, and an eccentric member rotatable with the rotation shaft and provided with an eccentric shaft to drive the massaging member to move relative to the support member. Thus, the massaging member is movable to massage the user's back reciprocally, and the two massaging belts are movable to massage the user's body reciprocally, so that the massaging device can be used to massage the user's body exactly and completely.
US08043231B2 Lancing unit and lancing apparatus
A lancing unit (U1) includes a lancing member (2), an auxiliary part (3) which is separate from the lancing member (2), and a supporter (1) detachably supporting these. Preferably, the lancing unit (U1) further includes a cap (29) which covers a needle (21) of the lancing member (2) and which is detachable from the lancing member (2), and the lancing member (2) is supported by the supporter (1) via the cap (29).
US08043225B2 Active implantable medical device equipped with means for the diagnosis of respiratory disorders, with sophisticated detection of respiratory cycles with artifacts
An active implantable medical device comprising circuits for measuring trans-thoracic impedance and delivering an impedance signal varying with respiratory activity of a patient. A signal representative of the respiratory activity of the patient is delivered starting from the impedance signal, and circuits for diagnosing respiratory disorder analyze variations of the respiratory signal on a plurality of successive cycles to detect there a profile of predetermined variation in relation to a given respiratory disorder. The device also includes circuits for automatically controlling respiratory cycles with artifacts, able to identify in the impedance signal a jump of static impedance, and/or to identify in a respiratory cycle or in a sequence of respiratory cycles a predetermined singularity representative of a cycle with artifact.
US08043220B2 Ultrasonograph
An ultrasound diagnostic apparatus includes an ultrasound probe, a transmission unit for transmitting an ultrasound signal to an object to be examined via the ultrasound probe, a reception unit for processing a signal received by the ultrasound probe, and an image generating unit for generating an image on the basis of the received signal processed by the reception unit, wherein the transmission unit has a function of transmitting the ultrasound signals with varying frequency plural times in an identical direction at predetermined time intervals. The ultrasound signal transmitted plural times includes a first waveform in which the frequency increases and a second waveform in which the frequency decreases, and the reception unit has a function of phasing and adding received signals respectively corresponding to the first waveform and the second waveform, whereby, in tissue harmonic imaging, the penetration is improved, while the resolution is maintained.
US08043214B2 Neuro-aid
An improved neurological testing apparatus 10 for examining a person's neurological system has a body housing 12, one or more separate specific taste testing substances 20, one or more separate specific smell testing substances 30, one or more vision charts 40, 42 and a light source 50. The body housing 12 includes a front surface 12A, a rear surface 12B, an upper end 14, a bottom end 16 and an interior chamber 18 for holding a battery 100. The separate specific taste testing substances 20 are attached to the front or rear surfaces. The separate specific smell testing substances 30 are attached to either the front or rear surface. The vision charts 40, 42 lie on one of the front or rear surfaces opposite the smell or taste testing substances 20, 30. The light source assembly 50 is mounted in the body structure 12 and includes a light 50A connected to a battery 100 via an on/off switch 60 located in the body 12, wherein said light source 50 is used to analyze pupillary reflex as seen through an eye examination lens 4 located directly above the light 50A and for examining the fundus of the eye (retina).
US08043213B2 Advanced patient management for triaging health-related data using color codes
Systems, devices and methods for triaging health-related data, such as significant health-related events associated with health-related parameters, are disclosed. One aspect is a method for use in managing a patient's health within a patient management system. In various embodiments of the method, a number of predetermined events are accessed. The events are related to the patient's health and are identified by the patient management system. Each of the predetermined events are classified according to severity using a color-code system. In various embodiments, a red event is an imminent life threatening event, a yellow event is a serious health-related condition that is not imminently life threatening, and a green event is an event that is neither an imminent life threatening event nor a serious health-related condition. Other aspects and embodiments are provided herein.
US08043211B2 Endoscope device with a heat removal portion
This endoscope device includes: an LED adaptor that has a plurality of LED chips and that can be removably fitted onto a distal end of an insertion portion that is inserted into a body cavity of an endoscopy subject; an LED supporting block that is provided on the LED adaptor and that supports the plurality of LED chips; and a heat removal portion that removes heat from the LED supporting block.
US08043207B2 Non-circular resection device and endoscope
A proximal housing for a full-thickness resection device (FTRD) is provided with a plurality of chambers through which fasteners are introduced into a portion of tissue to be resected. The proximal housing has a noncircular cut-out opposite the plurality of chambers to receive a noncircular endoscope. The proximal housing also is provided with a resection cavity into which the tissue to be resected is to be received. In addition, shaft openings are provided through which mounting shafts may be inserted. A noncircular endoscope is also disclosed for insertion into the cut-out whereby the endoscope has passages to house the functions of remote viewing, illumination, insufflation and irrigation.
US08043206B2 Self-regulating gastric band with pressure data processing
A self-regulating gastric band apparatus for adjusting stoma size is disclosed. The apparatus includes an adjustable gastric band that has an expandable portion containing a volume of fluid. A band adjustment assembly is provided for implanting with the gastric band that includes a sensor for sensing fluid pressure in the expandable portion. The band adjustment assembly further includes a pump assembly connected to the expandable portion and to a controller that can operate the pump assembly to adjust the volume of the fluid in the band based on the sensed fluid pressure. The band adjustment assembly includes memory storing an operating range relative to a target fluid pressure, and the pump assembly is operated to maintain the sensed band pressure within the operating range. The target pressure is set to maintain pressure variations below a predefined variation limit generally corresponding with satiated fill volumes for a particular patient and implanted band.
US08043200B2 Method and storage medium for replacing process instrument in processing apparatus
Disclosed is a method for replacing a process instrument in a processing apparatus, in which a target object is loaded by transfer mechanism into a processing unit and is subjected to a process by use of the process instrument. The method includes confirming that a process on the target object is finished in a processing unit designated as a process instrument replacement target, and providing information that a process instrument replacing operation is permitted to start. The method further includes, when a shutter of the processing unit designated as the process instrument replacement target is closed to perform a process instrument replacing operation and an operation prohibition state is thereby applied to the transfer mechanism, canceling the operation prohibition state to allow the transfer mechanism to perform a load/unload operation relative to a processing unit not designated as a process instrument replacement target.
US08043197B2 Exercise device having inelastic straps and interchangeable parts
An exercise device having an anchor with multiple components is described. In one embodiment, the anchor includes one or more interlocking components, such as rigid or flexible loops. Rigid loops such as gated rings may advantageously be used to connect the different components. Embodiments permit for replacing, interchanging, or adding components to an exercise device anchor.
US08043196B1 Jump rope assembly having enhanced strength
A jump rope assembly includes a rope body having two ends each connected with a connecting unit and a handle unit. The rope body has an inner portion provided with a through hole. The connecting unit includes a connecting sleeve mounted on the rope body, a fixing plug inserted into the through hole of the rope body, and a retaining ring mounted in the connecting sleeve and compressing the rope body to press the rope body toward the fixing plug so that the rope body is fixed between the fixing plug and the retaining ring. The handle unit includes a handle having an enlarged end portion mounted in the connecting sleeve, and a locking ring mounted on the enlarged end portion of the handle and locked onto the connecting sleeve.
US08043184B2 Vehicle differential including pump with variable-engagement clutch
A vehicle differential assembly is provided that includes a differential adapted to allow differing rotational speed between a pair of outputs. The differential includes a gear assembly connected to the outputs and one or more hydraulically-actuated clutches for selectively and variably coupling the outputs. A hydraulic pump is adapted to generate hydraulic fluid pressure for engagement of the hydraulically-actuated clutches. A variable-engagement clutch is operatively connected to the input and the hydraulic pump such that the input selectively drives the hydraulic pump during engagement of the clutch to provide hydraulic fluid pressure to the hydraulically-actuated clutches. A valve operatively connected to the hydraulic pump and the hydraulically-actuated clutches to selectively and variably provides fluid pressure from the hydraulic pump to the hydraulically-actuated clutches. A method of controlling vehicle stability is also provided.
US08043182B2 Hybrid powertrain
A hybrid powertrain is provided that includes an engine operatively connected with an input member. The powertrain includes a transmission with first and second electric motor/generators, a differential gear set having multiple members, and selectively engageable torque-transmitting mechanisms. The input member, the output member, the engine and the motor/generators are selectively interconnected through the differential gear set by engagement of the torque-transmitting mechanisms in different combinations. An electronic controller controls the electric motor/generators, the engine and the torque-transmitting mechanisms to provide multiple operating modes between the input member and the output member, including an electric-only operating mode in which the engine is off and one motor generator acts as a motor to provide torque at the output member while the other electric motor/generator can remain substantially stationary, and another electric-only operating mode in which both electric motor/generators act as motors to provide torque at the output member.
US08043179B2 Drive chain adjustment mechanism of chain-driven vehicle
A drive chain adjustment mechanism for simplifying the tension adjustment work of a drive chain of an all terrain vehicle. The drive chain is wound around a driven sprocket connected to the rear wheels of the vehicle. The mechanism includes a case to which the driven sprocket is coupled; a cam which moves the case in a fore and aft direction with respect to a vehicle body frame; and a bolt which is located rearward of a shaft portion of the driven sprocket, and which attaches the cam and the case to the vehicle body frame. When the case is moved in the fore and aft direction of a vehicle body, the tension of the drive chain is adjusted.
US08043175B2 Sensing home plate
A sensing home plate, structurally assembled from a home plate main body, and a sensing device disposed therein. The sensing device is assembled from a power supply circuit, a signal transmitting circuit, a fine tuning circuit, a signal receiving circuit, and an acousto-optic circuit. The signal transmitting circuit transmits signals, and forms a sensing area on the upper side of the home plate main body. When a baseball passes through or contacts the sensing area causing interference therewith, then a signal is received by the signal receiving circuit, and the acousto-optic circuit emits sound and light as a means to indicate such. The present invention can thus be used in the sport of baseball to accurately determine whether or not the ball pitched by the pitcher is a strike (good pitch) or ball (bad pitch), thereby assisting the chief umpire in making decisions, and reducing judgmental errors.
US08043174B2 Goal height adjuster lock
A fixed support member includes upper and lower horizontal plates, and a movable support member is coupled to the fixed support member for vertical movement. A drive screw extending between the fixed support member upper and lower horizontal plates and coupled to the movable support member for adjusting the position of the movable support member relative to the fixed support member by rotation of the drive screw. A locking plate fixed to a lower surface of the fixed support member lower horizontal plate having an opening receiving a lower end of the drive screw. A drive coupling connected to the lower end of the drive screw has a portion that can be coupled to a torque input device so that a torque applied to the drive coupling can cause rotation of the drive screw. The drive coupling has an upper portion shaped to be received in the locking plate opening to prevent rotation of the drive coupling and drive screw. A spring coupled between the drive coupling and the drive screw biases the drive coupling toward engagement with the locking plate opening.
US08043172B2 Rebounder and method of use
A rebounder for rebounding a ball includes a base; a frame pivotally connected to the base for adjusting an angle of the frame relative to the base, the frame including a first member having a first maximum dimension and a second member having a second maximum dimension less than the first member, the second member structurally supported by the first member; a rebounding mat; a plurality of springs coupling the rebounding mat to the first member, and wherein the second member is disposed forward of the springs and configured to protect the springs from contact by the ball.
US08043171B2 Golf swing aid
A golf swing aid is provided which keeps the wrists in close proximity during a golf swing. The aid wraps around one or both of a golfer's wrists, and may be wrapped around the club. The aid is divided into distinct regions which inform the golfer of whether the swing will result in a square shot, a hook shot, or a slice.
US08043170B2 Saturated polyurethane compositions and their use in golf balls
The present invention is directed to golf balls having at least one layer formed from a saturated polyurethane composition. The polyurethane is the product of a reaction between an H12MDI/PTMEG-based prepolymer and a polycaprolactone curing agent. Golf balls of the present invention include one-piece, two-piece, multi-layer, and wound golf balls. The composition may be present in any one or more of a core layer, a cover layer, or an intermediate layer.
US08043169B2 Multi-layer core golf ball
Golf balls comprising a multi-layer core and a cover are disclosed. The multi-layer core comprises a thermoset rubber inner core, a thermoplastic first intermediate core layer, a thermoplastic second intermediate core layer, and a thermoset rubber outer core layer.
US08043167B2 Golf clubs and golf club heads having interchangeable rear body members
Golf club heads (e.g., drivers, fairway woods, wood-type hybrid clubs, or the like) according to at least some example aspects of this invention include: (a) a heel; (b) a toe; (c) a crown; (d) a sole; (e) a striking face; (f) a cavity, wherein the cavity is at least partially open; (g) a rear face opposite the striking face, the rear face defining an opening to the cavity; and (h) a rear body member configured to be inserted into the opening. The rear body member may be releasably engaged with the opening to the cavity, rear face, and/or other portion of the club head body.
US08043166B2 Driver with deep aft cavity
A golf club head with a deep aft cavity is disclosed herein. The body has a striking plate wall, a crown section, a sole section and a rear wall. An area of interest preferably has less than 12% of the mass of the golf club head. The golf club head preferably is a driver.
US08043165B2 Sole for iron golf club head
The present invention discloses an iron-type golf club head in which the sole portion has a main surface and a stepped surface. Further, the sole portion comprises a chromium coating. The invention creates a unique combination of head shape and surface friction coefficients that minimize the bad effects of turf impact.
US08043162B2 Golf training device
A swing training device which positively prevents a player's wrists from uncocking until a desired point the swing is reached.
US08043158B2 Game device and image processing device
To provide an image processing device, image processing method, and data processing method for a game device providing novel game features. In a multiple-player game device 1 comprising mutually coupled input mechanisms 31, it is possible for a game to be played by fewer people than the aforementioned number of players, by using a portion of the input mechanisms 31, the coupling of the input mechanisms other than the input mechanisms used by the fewer number of players being disengaged in cases where a game is played by a fewer number of players.
US08043157B2 Method and apparatus for gaming machines with a tournament play bonus feature
A gaming method and device includes a game, at least one non-qualifying outcome in the game, and at least one qualifying outcome in the game, wherein a player qualifies for a multi-player tournament to be held in the future when the player achieves the at least one qualifying outcome.
US08043155B2 Gaming device having a plurality of wildcard symbol patterns
A gaming device which includes a variety of methods to allow the player to select patterns of wildcard symbols, from a plurality of wildcard symbol patterns, and use those patterns during subsequent games. One embodiment allows the player to select a pattern of wildcard symbols and use the selected pattern during a free spin bonus game.
US08043151B2 Trading game simulation method
A trading simulation game that emulates the roles of market makers and traders involved in the securities market. The simulation allows for random market activity by specifying a starting market price and employing a subset of a pool of market-affecting items to modify the starting price and determine the final game price. Items in the subset are incrementally revealed to simulation participants during play, and designated participants may make the first offer to trade. All participants simultaneously make and accept offers to buy and sell the simulated security without restriction, based on the public and private information they have and their dynamic estimates of the final game price. Trades are tracked, and they are settled at the final game price when all items are revealed. The use of a subset of a pool of market-affecting items allows for probability-based strategy similar to popular card games like blackjack and poker.
US08043146B2 Butchering processes for meat products
A method for mass-production butchering of a beef chuck roll includes locating an anterior side and a posterior side of the chuck roll, and making a first series of cuts into the chuck roll along lines not intersecting the anterior and posterior sides. The first series of cuts form a first set of separate beef portions with increasing weights from initial to final cuts in the first series. The method also includes making a second series of cuts into the chuck roll along lines not intersecting the anterior and posterior sides to form a second set of separate beef portions.
US08043145B2 CMP pad dresser with oriented particles and associated methods
CMP pad dressers with superabrasive particles oriented into an attitude that controls CMP pad performance, and methods associated therewith are disclosed and described. The controlled CMP pad performance may be selected to optimize CMP pad dressing rate and dresser wear.
US08043133B2 Wave power
A wave-powered water vehicle includes a surface float, a submerged swimmer, and a tether which connects the float and the swimmer, so that the swimmer moves up and down as a result of wave motion. The swimmer includes one or more fins which interact with the water as the swimmer moves up and down, and generate forces which propel the vehicle forward. The vehicle, which need not be manned, can carry communication and control equipment so that it can follow a course directed by signals sent to it, and so that it can record or transmit data from sensors on the vehicle.
US08043132B1 Method for operating a propulsion system of a watercraft
A hybrid marine vessel is provided with a system that inhibits the movement of the marine vessel under its own power when the marine vessel is connected or recharging to a stationary source of energy on shore. This avoids significant damage that could otherwise occur if the marine vessel moves away or attempts to move away from the dock when connected by a cable or other device to a stationary structure. The system detects a potential connection between the boat and a stationary shore component, such as a source of energy, and inhibits the transmission from being placed in a forward or reverse gear position under those conditions. Similarly, if the boat is already in a forward or reverse gear position, the system inhibits its being placed into a battery recharging mode.
US08043131B2 Electrical cable contact
A cable connector includes an electrical contact configured to receive a cable at one end, and an electrical component at another end. The contact can be constructed as a one-piece contact body or a two-piece contact body, and the contact body can include a cable lock that assists in retaining the cable in the contact body.
US08043130B2 Female electrical contact comprising spring contact plates
The invention relates to a female electrical contact including a housing which is cut and folded from a metal strip and which includes at least one contact plate which extends along the longitudinal axis (A) of the housing and which is equipped with a section that is in contact with a complementary contact pin. According to the invention, the contact plate includes part for connecting to the housing and a free end. The invention also includes a spring element which is cut from the extension of the contact plate prior to folding, such as to form a counter plate after folding. The spring element and the plate are provided, for example, on either side of the above-mentioned connection part.
US08043125B2 Wire containment cap
A wire containment cap includes a first side having a plurality of retainers for retaining wires, and a second side opposite the first side. Two sidewalls extend between the first side and the second side, and a support rib extends between the two sidewalls. The support rib includes two pair separators for separating wire pairs. In one embodiment, a plurality of sloped pair splitters is located between two of the retainers and includes a sharp point for cutting through insulation material on a pair of bonded wires. A communication jack assembly including a front portion and the wire containment cap is also described.
US08043124B2 Modular cable termination plug
The invention is a modular cable termination plug having a conductor divider having an entrant barb and a plurality of divider channels, a load bar having a plurality of through holes and a plurality of slots, and a plurality of contact terminals. Additionally, the invention may include a housing, a strain relief collar and a strain relief boot.
US08043123B2 Power receptacle for portable electronic device
A power receptacle for a portable electronic device suitable for connecting a power plug is provided. The power plug has a tubular terminal and a clamping terminal in the tubular terminal. The power receptacle includes an insulating housing, an anode terminal, and a cathode terminal. The insulating housing has a receiving cavity, and the power plug is suitable for being plug in the receiving cavity. The anode terminal disposed in the insulating housing is located at the central part of the receiving cavity. The cathode terminal disposed in the insulating housing is located at a side of the receiving cavity. During a process of plugging the power plug into the receiving cavity, the tubular terminal contacts the cathode terminal, and then the clamping terminal contacts the anode terminal, so that the power plug is electrically connected to the power receptacle.
US08043119B2 Method of manufacturing electrical cable, and resulting product, with reduced required installation pulling force
Disclosed is type THHN cable having a reduced surface coefficient of friction, and the method of manufacture thereof, in which the central conductor core and insulating layer are surrounded by a nylon sheath. A high viscosity, high molecular weight silicone based pulling lubricant for THHN cable, or alternatively, erucamide or stearyl erucamide for small THHN gauge wire, is incorporated, by alternate methods, with the nylon material from which the outer sheath is extruded, and is effective to reduce the required pulling force on the cable during installation.
US08043115B2 Apparatus and methods
Apparatus configured to be foldable from an unfolded configuration into an in-use folded configuration. The apparatus, when in the unfolded configuration, comprising: a flexible substrate having first and second opposing ends with respective first and second connectors located at respective first and second opposing ends; and a third connector located on the flexible substrate between the first and second opposing ends. The apparatus is configured to be folded from the unfolded configuration into the in-use folded configuration to bring the first and second connectors together.
US08043112B2 Jack connector assembly having circuity components integrated for providing POE-functionality
The invention relates two a jack connector assembly having a circuitry components integrated providing power over LAN, functionality, in particular for use with regard to Ethernet-networks. An object of the present invention is to provide a jack connector assembly providing integrated power over LAN-functionality and especially avoiding any undesirable and destructive heat accumulation. The object is achieved by a modular jack connector assembly having at least one connector housing (100) and at least one connector insert (200) insertable into the connector housing, each connector housing (100) having a front mating side with at least one port opening (301, 312) for receiving a plug having a plurality of electrical contacts and a rear side (112) for inserting at least one of said connector inserts (200), each connector insert (200) having a front end side and a rear end side and is supporting electrical contacts (240) with contact sections (245, 246) arranged at the front end for detachable connection with corresponding electrical contacts of at least one of said plugs, and is supporting at the rear end side components (260) of a circuitry providing a power over LAN-functionality, that components (260) are arranged outside the jack connector housing (100).
US08043108B2 High voltage plug-connector for motor vehicles
A high voltage plug-connector for the detachable electric connection of high voltage components, particularly an electric drive system of a motor vehicle, has a plug with electric power contacts, a socket with a mating contacts for mating with the power contacts, and a signal plug that interacts with signal contacts for activating the high voltage. The signal plug locks the plug and the socket mechanically in their plug-in position. The mechanical plug-connector has a pre-latching position in which the signal plug is guided to a releasing position and the electric contact between the power contacts and mating contacts can be released. The mechanical lock is released only when the electric contact between the power contacts and the mating contacts is opened and the signal plug is guided to a cover position in which the signal plug covers the power contacts.
US08043106B1 Low profile socket connector with flexing lock arm
A socket connector body includes a shroud having an opening, a lock arm, a substantially unyielding, non-resilient beam member, and means to pivot the lock arm. The lock arm includes a forward end portion and middle portion having an inward extending lock nib. The means of the lock arm pivots the forward end portion toward the non-resilient beam member from a free state of rest such that the forward end portion engages the non-resilient beam member. Further pivoting of the lock arm causes the middle portion of the lock arm to flexibly arch outwardly and away from the shroud such that the lock nib is lifted outwardly away from the plug connector body and out of engagement therewith to enable retraction of the plug connector body from the shroud through the opening.
US08043105B2 Sealable squib connector system
The invention relates to sealable squib connectors, in particular for airbag ignition systems. The connector including a connector housing with a plug-in projection, which plug-in projection has a mating face at its distal end; a seal expansion element; and a resilient sealing ring provided at the mating face of the plug-in projection between the mating face and the seal expansion element. The seal expansion element is being movable against the mating direction towards the mating face from an open position to a closed position thereby expanding the sealing ring.
US08043104B2 Cable connector
A cable connector (1) for receiving a flexible circuit member (101) includes an insulative housing (11) with a receptacle (13) for receiving the flexible circuit member therein. A plurality of terminals are provided in terminal receiving cavities (14a, 14b). The housing includes a pair of end portions located at opposite ends of said housing with the end portions having a pair of spaced apart walls (16, 35) to provide flexibility to at least one of said walls. Each the flexible wall has a locking member (30) thereon. An actuator i(21) is mounted on the housing and moveable between first and second operative positions. The flexible circuit member being insertable when the actuator is at the first open position and being engaged by the terminals in an operative manner when the actuator is at the second closed position. The actuator includes an actuator body generally extending along the receptacle when in the second closed position and a locking portion (26) disposed on opposite ends of the actuator body. Each of the housing locking members engaging one of the locking portions disposed on the actuator when the actuator is in the second closed position.
US08043102B2 Connector sheath and cable connector assembly having same
The present invention discloses a connector sheath (1) adapted to be used in a cable connector assembly, comprising a T-shaped main insulation bushing (102), an inner semiconductive shield layer (105) disposed in the T-shaped main insulation bushing and integrally formed with the T-shaped main insulation bushing; and an outer semi-conductive shield layer (101) disposed on an outer surface of the T-shaped main insulation bushing and integrally formed with the T-shaped main insulation bushing. The T-shaped main insulation bushing is generally made of an elastic insulation material having a dielectric constant value in the range from about 5 to about 15. The present invention also discloses a cable connector assembly having a connector sheath.
US08043101B2 Electronic device with USB connector
An electronic device with a USB connector is provided, which is designed for solving a problem in the conventional art that a USB cap on an electronic device may easily get lost. The electronic device with the USB connector includes an outer housing, an intermediate housing located inside the outer housing and moveable relative to the outer housing, and a circuit board located inside the intermediate housing. The USB connector is disposed on the intermediate housing, and electrically connected to the circuit board. A USB connector through hole is configured on the outer housing at a position corresponding to the USB connector. The electronic device further includes an elastic apparatus disposed in a moving direction of the intermediate housing, and an intermediate housing movement positioning apparatus. The technology is applicable to electronic devices with a USB connector such as a wireless USB Modem or a USB flash drive.
US08043097B2 Low profile power connector having high current density
A receptacle power connector is provided having first and second rows of electrical power contacts retained in a connector housing. The connector housing has a low profile, and the power contacts are arranged in rows that each achieves a current density of about 120 Amps/linear inch (2.54 cm).
US08043093B2 Dental root canal-filling composition
To provide a dental root canal-filling composition which is generally formed in a point shape, has high strength, is properly deformed so as to afford easy operativity for filling in a root canal, has improved wetting and adhering properties with a root canal-filling sealer and is less deteriored by sterilization or chemical disinfection, the dental root canal-filling composition comprises one or more kinds of polyolefin resins selected from the group of polyethylene, polypropylene, and a copolymer of polyethylene and polypropylene, a styrene block copolymer, one or more kinds of thermoplastic resins selected from the group of ester gum, rosin, an alicyclic saturated hydrocarbon resin, a terpene resin, and an aliphatic petroleum resin, and one or more kinds of inorganic fillers selected from the group of zinc oxide, barium sulfate, zirconium oxide, titanium oxide, ytterbium fluoride, a barium glass, and an aluminosilicate glass.
US08043091B2 Computer machined dental tooth system and method
A method and system for making a dental prosthetic includes, for example, arranging a first virtual tooth image relative to a second virtual tooth image on a virtual denture set and locating a first actual prosthetic tooth relative to a second actual prosthetic tooth in a manner corresponding to the arranged first and second virtual images. The method also may include performing at least one Boolean operation to remove a portion of the first virtual tooth image and the second virtual tooth image and machining the first actual prosthetic tooth and the second actual prosthetic tooth to remove a portion correspond to material removed by the Boolean operation performed on the first and second virtual tooth images.
US08043089B2 One piece dental implant and use thereof in prostodontic and orthodontic applications
The specification describes one-piece dental implants comprising a threaded shaft, optionally a non-circular abutment and a head having a shape which is capable of accepting a keeper cap or an O-ring, or which is capable of accepting and retaining a dental wire. The implants have dimensions that permit their use in a non-surgical method of insertion into bone, and are useful in both prosthodontic and orthodontic applications.
US08043084B2 Device for moulding containers comprising means of adjusting the volume dimensions of the moulding cavity
Device for moulding containers, in particular bottles, from a thermoplastic material such as PET by blow-moulding or stretch blow-moulding of heated preforms, such moulding device comprising at least one mould comprising at least two mould halves respectively comprising two supports and two mould blocks defining a joint plane in the closed position of the mould, each mould block comprising a shell) in which an impression is made partly forming the moulding cavity and a shell-holder fixed to said respective support of the mould block, characterised in that at least one removable spacer is provided between said shell and said shell-holder, as a result of which the dimensional characteristics of the moulding cavity can be modified without the need for intervention on the supports and the shell-holders.
US08043082B2 Injection head for a corrugator for the production of plastics pipes
An injection head (10) is described for a corrugator which is intended for the production of plastics pipes. The injection head (10) has a main head (12), a nozzle body (14) and a mouthpiece means (16) with at least one mouthpiece (32, 36). The main head (12) is embodied with first channels (50, 52) and the nozzle body (14) is embodied with second channels (58, 60) which are flow-connected to the first channels (50, 52). The/each mouthpiece (32, 36) has a central body (74, 76) and a sleeve body, (78, 80) surrounding said central body. On its lateral surface (82, 84) facing the sleeve body (78, 80), the central body (74, 76) is embodied with wound plastics distributor grooves (86, 88) which are flow-connected by means of connecting portions (114, 120) to a concentric annular groove (112, 116) which is formed on the reverse surface (90, 92) of the central body (74, 76) and into which the second channels (58, 60) of the nozzle body (14) discharge.
US08043075B2 Progressive cavity propagation pump
A pump is provided that includes a body made at least partially of an elastomeric material and an inlet port and an outlet port, with each port being coupled to the body. The pump further includes a flow passage formed in the body and extending between the inlet port and the outlet port, with at least a portion of the flow passage being normally closed and with the inlet port being fluidicly uncoupled with the outlet port when the pump is in a free-state condition. An actuating device is coupled to the body and is operable for sequentially deforming the body to create a cavity within the normally closed portion of the flow passage, the cavity being in fluid communication with the inlet port, thereby drawing a packet of fluid from the inlet port into the cavity and propagating the cavity and the packet of fluid contained therein toward the outlet port.
US08043070B2 Speed control
A pumping system for moving water of a swimming pool includes a water pump, an infinitely variable speed motor, and an arrangement for operating the motor. In one example, the pumping system includes a memory configured to store a plurality of retained speed values, an arrangement for providing a plurality of retained speed values to the memory, and an arrangement for reading a selected one of the plurality of retained speed values from the memory. In addition or alternatively, the pumping system includes a storage medium for digitally storing a plurality of pre-established motor speed values and an arrangement for receiving input from a user to select one of the pre-established motor speeds. In addition or alternatively, the pumping system further includes an arrangement for restarting operation of the motor at a previously selected speed value when power supplied to the motor is interrupted during operation of the motor. A method for controlling the pumping system is also provided.
US08043068B2 Ni-Fe based super alloy, process of producing the same and gas turbine
A Ni—Fe based super alloy having high strength and toughness at high temperatures even when used in high-temperature environments, and a process of producing the super alloy. A turbine disk using the super alloy, a process of producing the turbine disk, a turbine spacer using the super alloy, and a process of producing the turbine spacer, as well as a gas turbine are also provided. The Ni—Fe based super alloy contains not more than 0.03% by weight of C, 14-18% of Cr, 15-45% of Fe, 0.5-2.0% of Al, not more than 0.05% of N, 0.5 to 2.0% of Ti, 1.5-5.0% of Nb, and Ni as a main ingredient.
US08043065B2 Wind turbine blade with prefabricated leading edge segments
A wind turbine rotor blade includes first and second shell components joined together at a trailing edge of the blade. Each shell component includes a forward edge. At least one leading edge segment is joined to the shell components and aligned along a longitudinal length of the blade. The leading edge segment has an open-ended cross-sectional profile defined by an arcuate skin having first and second longitudinal edges and first and second end faces. The longitudinal edges of the leading edge segment are joined to the forward edge of the first shell component at a first bond line, and joined to the forward edge of the second shell component at a second bond line. The leading edge of the blade between the first and second bond lines is a continuous unbroken surface between the bond lines.
US08043061B2 Turbine bucket tip shroud edge profile
A turbine bucket includes a bucket airfoil having a tip shroud with a leading edge comprising two scalloped faces and a trailing edge comprising a third scalloped face defining leading and trailing edge profiles substantially in accordance with Cartesian coordinate values of X and Y as points 1-41 set forth in Table I. The X and Y values are distances in inches which, when respective points are connected by smooth, continuing arcs define the leading and trailing edge tip shroud scalloped profiles. The tip shroud further has first and second, upper and lower, Z form edge profiles substantially in accordance with Cartesian coordinate values of X and Y as points 42-59 and 60-77, respectively of Table II.
US08043060B1 Turbine blade with trailing edge cooling
A turbine rotor blade with a trailing edge cooling circuit in which cooling air from an impingement cavity located adjacent to the trailing edge region is connected to a plurality of metering channels that open onto the pressure side wall of the trailing edge region of the airfoil to discharge the cooling air. A row of submerged slots that open onto the suction side wall of the airfoil are connected to the metering channels by a row of small holes that bleed off the cooling air in the metering channel in a progressive manner and discharge the air into the slot. The trailing edge cooling circuit allows for a thinner trailing edge airfoil, reduces the metal temperature and reduces the shear mixing between the cooling air and the mainstream hot gas flow.
US08043058B1 Turbine blade with curved tip cooling holes
A turbine blade with single tip rail located on the suction side of the tip, and a plurality of curved diffusion holes to discharge cooling air onto the pressure side wall and the tip floor or tip corner of the blade to provide cooling and to limit leakage of the hot gas flow through the tip gap. The curved diffusion holes are curved in an upward direction toward the tip, and each includes a metering hole with an inlet axis normal to the cooling supply cavity surface. A suction side tip rail is flush with the backside wall and slants outward toward the front edge on the inner side. A first curved diffusion hole opens onto the pressure side wall just below the tip corner, a second curved diffusion hole opens adjacent to the tip corner, and a third curved diffusion holes opens onto the tip floor adjacent to the tip corner and at a midpoint between the tip corner and the tip rail. The second diffusion hole provides a layer of film cooling air to the forward side of the tip corner where the first layer of film cooling air does not come into contact so that the entire tip floor is covered by layers of film cooling air.
US08043057B1 Air cooled turbine airfoil
A turbine airfoil with a multiple impingement cooling circuit to provide backside impingement cooling of the leading edge region and the pressure and suction side walls. A leading edge cavity and a mid-chord cavity are separated by a rib. A first baffle is secured within the leading edge cavity and forms a series of impingement compartments and impingement cooling holes to channel cooling air along the first baffle and provide impingement cooling along the backside wall of the leading edge region. A second baffle is secured within the mid-chord cavity and forms a series of pressure side and suction side impingement compartments and impingement cooling holes alternating from the pressure side wall to the suction side wall to channel cooling air along the second baffle and provide impingement cooling along the backside walls of the pressure and suction sides. A spent air collection channel extends along the trailing edge region and is connected to a row of exit cooling holes along the trailing edge of the airfoil. Cooling air from the first baffle flows into the second baffle, and from the second baffle into the spend air collection channel and out through the exit cooling holes.
US08043056B2 Self-assembly micro fan
A self-assembly micro fan includes a body, a plurality of blades and flexible joints, with any one of the blades being connected to the body by one of the flexible joints while each flexible joint is regarded as a pivot to move the blade connected therewith to have an angle relative to a radial direction of the body. Besides, each of the flexible joints has plural link members or at least one link member and extension member to provide variable types of shape of the micro fans, so that the air driving efficiency of the self-assembly micro fan is improved.
US08043054B2 Method and system for monitoring wind turbine
A monitoring system for a wind turbine includes at least one acoustic sensor configured to measure an acoustic emission generated by at least one component of the wind turbine, and a control system configured to calculate at least one wear characteristic of the component based on the measured acoustic emission. The wear characteristic includes at least one of a current amount of wear on the component, a rate of wear on the component, and a predicted amount of wear on the component.
US08043052B2 Fluid flow machine
A fluid flow engine (1), in particular a turbo engine, has at least one guide vane row (5) with a plurality of guide vanes (6) and at least one rotor blade row (7) with a plurality of rotor blades (8). One guide vane row (5) and one rotor blade row (7) that follows the former directly downstream with respect to a working gas flow (11), together form a stage (10) of the fluid flow engine (1). To reduce the load on the rotor blades (8), the guide vanes (6) and the rotor blades (8) are coordinated at least in one stage (10) so that in a reference relative position between the respective guide vane (6) and the respective rotor blade (8), there is an axial distance (B) and a circumferential distance (C) between the outgoing flow edge (16) of the respective guide vane (6) and the oncoming flow edge (17) of the respective rotor blade (8) that is measured in the axial direction and is varied along a height (H) of a gas path (12) running axially between the blade rows (5, 7) of this stage (10), said height being measured radially.
US08043051B2 System, method, and apparatus for stackable multi-stage diffuser with anti-rotation lugs
A stackable multi-stage diffuser with anti-rotation lugs utilizes cast lugs and complementary recesses in the diffuser walls. When stacked in a housing, the lugs of lower diffusers nest inside the recesses of upper diffusers. The diffuser sections interlock and are mechanically locked in the housing to prevent rotation of the diffusers. Each diffuser is sealed directly to adjacent diffusers with o-rings, but do not contact or seal to the housing.
US08043050B2 Gap seal in blades of a turbomachine
A gap seal (9) radially seals a gap (8) which extends axially and radially between two blades (1, 2) of a turbomachine which are adjacent in the circumferential direction (3). The two blades (1, 2) have, in each case, an axially extending longitudinal slot (10, 11), which is open towards the gap (8), on its respective blade root (6, 7). A band-form or strip-form sealing element (12) engages with its longitudinal sides (13, 14) in the two longitudinal slots (10, 11) and bridges the gap (8). The one blade (1) has a projection (15) on its blade root (6), which projects from the blade root (6) in the circumferential direction (3) and extends in the circumferential direction (3) and radially, at least in the region of the respective longitudinal slot (10), and bridges an axial longitudinal end of the gap (8) in the process. The other blade (2) has a step-shaped recess (16) on its blade root (7), complementary to the projection (15) of the one blade (1) and in which the projection engages. The projection (15) has a transverse slot (17) which extends in the circumferential direction (3) and is open towards the gap (8). The sealing element (12) engages with an end face (18) in the transverse slot (17).
US08043048B2 Systems and methods for monitoring a structural health of a wind turbine
A method for monitoring a structural health of a wind turbine. The method includes transmitting, from a sensor to a controller, at least one monitoring signal indicative of a structural discontinuity, the sensor operatively coupled to a structural component of the wind turbine. A first notification signal is transmitted from the controller to a user computing device upon receiving the monitoring signal.
US08043044B2 Load pin for compressor square base stator and method of use
A load pin has an end portion, and a vane has a base with a cutout in the base, wherein the end portion of the load pin engages a wall portion of the cutout in the base of the vane, thereby inhibiting any movement of the vane in a particular direction.
US08043041B1 Manhole cover lifting apparatus and method
A manhole lifting apparatus comprising a base support assembly and a lifting mechanism. There is a beam structure which extends over the manhole, with a pivot support at one end of the beam structure, and a mobile support at the opposite end of the beam structure. The lifting mechanism is at the central part of the beam structure. The lifting mechanism lifts the manhole cover, and the support assembly is rotated about the pivot support to remove the manhole cover from the manhole.
US08043032B2 Interchangeable tool part for a reamer
An interchangeable tool part for a reamer includes a hard metal cutting plate. The cutting surface of the cutting plate is arranged at a distance of at least 0.02 mm from the cutting edge on one side in the region of the cut part and at least partially has a peak-to-valley height which increases by at least 0.01 mm in order to assure the production of cut fragments in the main cutting area and to prevent a build up of cuts in the region of auxiliary cutting section and/or to simplify the removal of cuts. Also high targets can be reached by virtue of the fact that the cutting plates define at least one cutting cavity in the cutting surface thereof at a distance of at least 0.02 mm from the main cutting edge on the end side in the region of the entry angle.
US08043026B2 Flow control system for a detention pond with tapered plunger
An application for a flow control system includes a tapered plunger situated within an conduit. The conduit is open to a downstream drainage system. The tapered plunger is buoyant, assisted by one or more floats attached such that, when the water level around the flow control system increases to a pre-determined level above a top rim of the conduit, the tapered plunger lifts due to the buoyancy. In such, the flow rate is maintained substantially constant. At the emergency level, alternate drain systems provide increased drainage to reduce the potential of flooding.
US08043019B2 Card supplying and storage system
A system for storing index cards, the system including at least one storage sheet having at least one pocket, at least one supply sheet having at least one index card formed therein and detachable therefrom. The system further includes a binding mechanism that binds the storage sheet and the supply sheet together.
US08043018B2 Ring binder mechanism
A ring binder mechanism has a housing supporting first and second hinge plates and rings, each ring including first and second ring members. The first ring member is mounted one of the hinge plates and moveable with the hinge plate relative to the second ring member between closed and open positions. An actuating lever for opening the rings is mounted on a pin secured to the housing. Pivoting of the actuator moves a travel bar relative to the hinge plates. A blocking element is secured to the travel bar for conjoint movement therewith between a locking position in which the blocking element blocks pivoting movement of the hinge plates to open the rings and a non-locking position in which the blocking element does not block pivoting movement of the hinge plates to open the rings. A torsion spring on the pin biases the actuating lever to its closed position.
US08043017B2 Cosmetic unit comprising two coupled partial units
The cosmetic unit has two partial units, each including a receptacle for receiving a cosmetic substance and a closure cap for allowing the closure of the receptacle. The two partial units are inter-connectable by a coupling member engaging with the two closure caps. Each closure cap has a cap recess, extending in the direction of a central axis, on the axial front end facing away from the receptacle of the corresponding partial unit. The coupling member including a central portion with two axial coupling projections being formed thereon wherein each coupling projection engages with one of the two cap recesses when in an assembled state.
US08043011B2 Rolling bearing for tenter clip
The present invention provides a rolling bearing, for a tenter clip, which is capable of restraining deterioration of a sealing member even though the sealing member contacts ester oil, and is excellent in reliability and durability at high temperatures. A periphery of an outer ring of the rolling bearing for use in the tenter clip of a film-stretching apparatus is lubricated with the ester oil. In the sealing member which covers openings disposed at both axial ends of an inner ring and the outer ring of the rolling bearing, at least a portion of the sealing member which contacts the ester oil is made of (A) a fluororubber molding of a vulcanizable fluororubber composition which comprises a copolymer containing tetrafluoroethylene (TFE), propylene, and a to-be-crosslinked monomer consisting of unsaturated hydrocarbon, having two to four carbon atoms, in which a part of hydrogen atoms is substituted with fluorine atoms or (B) a fluororubber molding of at least one fluororubber composition selected from a vulcanizable fluororubber composition containing a TFE-propylene binary copolymer and a vulcanizable fluororubber composition containing a vinylidene fluoride-TFE-propylene ternary copolymer.
US08043009B2 Hub unit, hub unit manufacturing method and hub unit transporting method
A hub unit is configured such that nitrogen gas, which functions as inert gas, is filled in a rolling element arranging space which is defined by an inner circumferential surface of an outer ring and an outer circumferential surface of a hub body for arranging rolling elements therein in such a manner as to be sealed therein in a semi-hermetically closed state by a seal member and a cover, so as to prevent the nitrogen gas from flowing out to an exterior space. The seal member, which is provided at an end portion of the rolling element arranging space which end lies on a vehicle-outer side, is formed as an elastic seal element, and is fixed to the outer ring at one end whereas being secured to the hub body at the other end thereof while being elastically deformed, whereby the seal member has a function to prevent the intrusion of water from the exterior space into the rolling element arranging space. This seal member prevents the inert gas filled in the rolling element arranging space from flowing out to the outside.
US08043006B2 Axial piston machine with an elongated guide element for a cage segment
An axial piston machine of swashplate construction is provided. The axial piston machine has two pivot bearing parts. In order to improve the guiding of a cage segment mounted within a gap between the two pivot bearing parts, a guide element, in the region of its rotary bearing connected to the cage segment is displaceably connected to the cage segment by an elongated guide in a longitudinal direction. The guide member, in the region of its end region connected to the other pivot bearing part, is rotatable by an associated rotary bearing and rigidly connected in the longitudinal direction to one of the two pivot bearing parts.
US08043005B2 Reservoir closure system and method
A system for sealably closing a reservoir is disclosed. The system can have a container and a slider. The container can have an orifice and catches and lips surrounding the orifice. The slider can be translatably attached to the container over the orifice. The slider can slidably engage the catch and lips to force the orifice closed. While attached to the catch and lips, the slider can create a pressurized seal of the orifice.
US08043004B2 Container with expandable portion
A soft sided insulated container assembly has a first insulated container portion and a second insulated container portion. The second insulated container portion can be collapsed to a flat position, and secured in place. Either of the container portions may have a liner. The liner in the first container portion may be a substantially rigid liner. The assembly may have a lifting member. In one example the second portion may be hingedly mounted to the first portion, and the lifting member may be mounted such that when the assembly is lifted, the hinge is in an up-and-down orientation. In another embodiment, the lifting member may be eccentrically mounted, such that the assembly may possibly sit more comfortably against a carrier's hip. The first container portion may have an auxiliary opening or door, and that door may be more easily opened than the main access of the first insulated container portion.
US08043003B2 Method for determining an imaging rule and method for generating a 3D reconstruction
It is possible that at a predetermined position of the imaging components of a radiographic imaging system the object is not fully viewed. The object can be a calibration phantom, which means that it is not possible to directly determine an imaging rule with the aid of the calibration phantom at this position of the imaging components. According to the invention, an imaging of the calibration phantom at a different position takes place and an imaging rule for this position is determined. This is then converted, provided a movement parameter is known which describes the movement from the position with the record of the calibration phantom to a different position. The imaging rule obtained in this way can be further improved, e.g. with the aid of a recording of the calibration phantom from the position in question, including if the calibration phantom is not completely imaged.
US08043001B2 Apparatus and method for determining and/or monitoring temperature
An apparatus and method for determining and/or monitoring at least one temperature, the apparatus including: a first and a second temperature sensor; a measurement transmitter; wherein the measurement transmitter has four terminals for electrical connection of electric lines; and five electric lines. The first temperature sensor is connected with three terminals of the measurement transmitter via three electric lines the three electric lines are connected with the first temperature sensor and with the measurement transmitter in such a manner that, via a 3-line circuit, a value of the electric resistance of at least one of the three lines can be obtained; and the second temperature sensor is connected with two terminals of the measurement transmitter via two electric lines. The value of the electrical resistance of the at least one electric line obtained via the 3-line circuit serves for determining the value of the electrical resistance of at least one electric line, via which the second temperature sensor is connected with the measurement transmitter.
US08042998B2 Method for detecting fault of oil temperature sensor for automatic transmission
A method for detecting a fault of an oil temperature sensor of an automatic transmission by using a determining means for detecting the fault of the oil temperature sensor. The method includes any one or more of the steps of 1) determining the fault of the oil temperature sensor by comparing minimum and maximum output values of the oil temperature sensor in every driving mode, 2) determining the fault of the oil temperature sensor by detecting abnormal excessive increase and decrease of the oil temperature for a specific duration, 3) determining the fault the oil temperature sensor in the stuck state in the driving mode when transmission oil temperature increases, and 4) determining the fault of the oil temperature sensor by detecting the oil temperature exceeding a predetermined temperature based on the time when the engine is left at a stopped state.
US08042995B2 Method for monitoring temperature of computer components to determine ambient chassis temperature
A computer-implemented method for monitoring temperature of a blade server to determine ambient temperature includes the steps of determining temperatures of each of any installed processing components, and determining a temperature of an administrator component. If there are no processing components installed in the computer chassis, the method reports the ambient temperature as the temperature of the administrator component, and if there are processing components installed in the computer chassis, the method reports the highest temperature value of a processing component which is lower than the temperature value of the administrator module as the ambient temperature.
US08042992B2 Sensor for thermal analysis and systems including same
Certain embodiments disclosed herein are directed to a sensor comprising a support member, a sample sensor coupled to the support member and comprising a sample support electrically coupled to a first set of interconnects, and a reference sensor coupled to the support member and comprising a ring coupled to a second set of interconnects, in which the ring is positioned adjacent to and surrounding at least a portion of the sample support of the sample sensor.
US08042991B2 Dispenser with dynamic mixer for two-part compositions
Novel dispenser means are provided for mixing and dispensing two-part curable compositions wherein the mixer elements are isolated from the curable components.
US08042990B2 Durability monitoring and improvement of a blender
A blender for blending foodstuff includes a base enclosing a motor and a jar sensor secured to the base. The base has an upper mounting surface and the motor is mounted beneath the upper mounting surface. The jar sensor is secured to the base proximate the upper mounting surface. A jar is removably mountable to the base on the mounting surface. The jar includes a closed end and an actuator is mounted proximate the lower jar surface. The jar sensor detects the presence of the actuator when the jar is mounted to the base in an operating position. The motor is powered when the jar sensor detects the presence of the actuator in the operating position.
US08042988B2 Hole-jetting type mixer-reactor
A hole-jetting type mixer-reactor, comprises the following parts: a first feeding port, a second feeding port, an outer casing, an inner casing, jet holes and a mixing reaction zone. The inner casing is inside the outer casing, and the lower portion of the outer casing forms a buffer chamber with the inner casing. The first feeding port connects with the inner casing to constitute one flow channel, and the second feeding port connects with the buffer chamber to form the other flow channel. The jet holes are on the wall of the inner casing situated at lower portion of the buffer chamber. The mixing reaction zone is inside the inner casing below the jet holes. The cross section of the inner casing is rectangular or rectangle-like. The mixer-reactor can achieve a fast mixing of two reactant streams which reacts with instantaneous, complicated parallel or consecutive competing reactions. The time scale of the mixing process is several milliseconds.
US08042986B2 Backlight module
A backlight module including several light sources, a frame, a light guide plate and a flexible printed circuit board is provided. The flexible printed circuit board includes a body and a fixing structure. The body is bent, so that a first part and a second part of the body are respectively disposed on two sides of the frame. The light sources are disposed on the first part, and the light-emitting surfaces of the light sources face the light guide plate. The fixing structure including a structure body, several first connecting portions and two protrusions is disposed on the first part. The first connecting portions extend from the two opposite first sides and are fixed on the body, so that the structure body is positioned above the light sources. The protrusions respectively extend slantwise from the first sides toward the direction opposite to the structure body and insert into the frame.
US08042984B2 Illumination apparatus
A point light source is converted into a plane light source having a satisfactory uniformity. The point light source is converted into a line light source by means of a linear light guiding plate, and further into the plane light source by means of a plane-like light guiding plate. Light from the point light source is reflected at a lamp reflector to be incident on at least two side surfaces of the plane-like light guiding plate.
US08042983B2 Light guide plate for display device
A light guide plate according to the present invention includes an incident plane into which a light flux from a light source is incident, and a plurality of prisms having reflection planes reflecting the light flux incident from the incident plane, wherein the reflection planes of the plurality of prisms are extended to cross in a direction in which the light flux from the light source incident into the incident plane travels, and of the reflection planes of the plurality of prisms, the reflection plane of at least one prism closest to the incident plane is formed in a recess shape with respect to the incident plane. Thereby nonuniformity of backlight illumination can be improved and a display device can be uniformly and efficiently illuminated.
US08042980B2 Vehicle headlamp
A vehicle headlamp is provided with semiconductor-type light sources, lenses, a reflector, light shading members, prism members, and a switching device. When the light shading members are positioned in a first location by means of the switching device, a light distribution pattern LP for low beam, having one or more cutoff lines, is illuminated. In addition, when the prism members are positioned in the first location by means of the switching device, a light distribution pattern HP for high beam is illuminated. As a result, the vehicle headlamp can achieve downsizing, weight reduction, power saving, and cost reduction.
US08042979B2 Headlight assembly for a motorcycle
A headlight case, that houses a light body, is made small in size. The light body includes a reflector, and a lens attached to the reflector. The light body is pivotally supported in the headlight case by bolts extending through a ring member extending around the light body, so that an aiming operation can be performed. The ring member is connected to the light body behind the lens, and is locked to the headlight case at a position spaced away from an open edge thereof. A ring body of the ring member has an outer shape smaller than a maximum outer shape of the light body. A rim, to be fitted around the open end of the headlight case, has a lead that extends toward a position spaced away from the open end of the headlight case, to be fitted into a concave part of the headlight case.
US08042974B2 Removable, rotatable gobo holder assembly
The invention provides a system and method for maintaining removable, rotatable gobo holders in place on a fixed baseplate within an effects lighting fixture. In the first preferred embodiment, a gobo platform includes a rotatable baseplate having at least one aperture and at least one removable, rotatable gobo holder including points of active retention configured to retain the removable, rotatable gobo holder on the rotatable baseplate.
US08042971B2 Light emitting device (LED) lighting systems for emitting light in multiple directions and related methods
Lighting systems for emitting light in multiple directions including one or more light emitting devices (LEDs) and a housing configured to receive the one or more LEDs are provided. The one or more LEDs are configured to generate light in a first direction to illuminate a first area proximate to the lighting system. The housing is configured to reflect a portion of the generated light so as to allow a remaining portion of the generated light to pass through the housing in a second direction, different from the first direction, and illuminate a second area proximate to the lighting system. Related methods are also provided herein.
US08042969B2 Lighting device and method of assembling the same
A lighting device and a method of assembling the same are disclosed herein. The lighting device may include a lens assembly having a plurality of condensing lenses, a reflector having a plurality of openings, and a light emitting module having a plurality of LEDs. The condensing lenses, the plurality of openings, and the LEDs may be positioned to correspond to each other. The reflector may reflect light emitted from the light emitting elements to maximize light distribution efficiency of the lighting device.
US08042967B2 Lamp module and lighting device comprising such a lamp module
The present invention relates to a lamp module (10) comprising at least one light emitting diode (LED) chip (12) for emitting light, means (13, 15, 16, 17) for extracting and shaping the light emitted from the chip(s), and a base (21) for allowing the lamp module to be fitted and connected to a lighting device. The lamp module is characterized by at least one electrically switchable cell (22) adapted to receive light emitted from the LED chip(s), which cell in a first state transmits incoming light without substantially altering the direction of the light and in a second state alters the direction of the light when the light passes the cell(s). This allows for electrically controlled adjustable beam shaping. The present invention also relates to a lighting device (30) comprising such a lamp module.
US08042963B2 Optical member, method of manufacturing the optical member and backlight assembly having the optical member
An optical member includes a base body, a plurality of light-concentrating lenses and a plurality of light-diffusing patterns. The base body has a first surface and a second surface which is opposite to the first surface and receives light from light sources. The light-concentrating lenses are formed on the first surface. The light-diffusing patterns are respectively formed at positions of the second surface respectively corresponding to the light sources to reduce an amount of light reflected by the light-concentrating lenses. Each of the light-diffusing patterns includes curved surfaces extending in a first direction and has a width which is in a predetermined range. The light-diffusing patterns are separated from one another in a second direction substantially perpendicular to the first direction.
US08042962B2 Lighted fittings for bathing installations
A fixture for through hole mounting to a panel includes a flange structure fabricated of a translucent material. The flange structure includes a body portion adapted to extend through a mount hole in the panel and having a outer peripheral portion, and a transverse flange portion having an outer size larger than the hole opening. A periphery flange portion overlaps the panel surrounding the hole when the flange structure is installed in the panel hole. A light source attachment portion is configured to direct light emitted from a light source into the body portion of the flange structure, so that at least some of the emitted light passes into the flange portion to illuminate the flange portion.
US08042961B2 Audio lamp
In one embodiment, this invention discloses a device that is a lamp on the one hand, and also a speaker on the other, comprising a light-emitting element, a surface that acts as a sound-emitting element, and a base socket that can fit to an ordinary household lamp socket. The surface can be translucent and act as a lamp cover at the same time. There is also an electronic assembly in the lamp that controls both the light-emitting and sound-emitting elements, as well as communicates with an external host or other devices. In another embodiment, the lamp cover can also couple with an acoustic-wave transducer unit so that the audio lamp device becomes a light and a microphone. Various sensors can also be equipped within the audio lamp, and the results can be sent to the external host through the electronic assembly.
US08042958B2 Automatic darkening filter with automatic power management
A protective automatic darkening filter (ADF) includes automatic power management capabilities. The ADF includes a power management control unit that controls power to the ADF based on whether or not the ADF is currently in use. In one embodiment, to determine whether the ADF is in use, the power management control unit includes a motion sensor that senses movement of the ADF and controls power to the ADF based on the sensed movement.
US08042957B2 Height adjustment device with fine tuning for an image system
Through combination of gears with different sizes in a transformation gear set configured in the height adjustment device, the height adjustment device can be fine-tuned. When an actuator of the height adjustment device is pressed, a first gear engaging with the actuator rotates and has a first relative distance with the actuator while a second gear engaging with a rack of the supporter has a second relative distance with the rack. The second relative distance is shorter than the first relative distance and the supporter is adjusted in a large scale and is fine-tuned through operating one single actuator.
US08042951B2 Illuminant module and optical projection device
An illuminant module includes a lamp including a lampwick, a reflector and a guide cap, a lamp holder, a blower, and an axial fan. At least one portion of the lampwick is disposed in the reflector. The guide cap having a guide wall, a first air outlet, and a first air inlet is connected to the reflector and covers an opening of the reflector. The lamp holder has a space where the lamp is disposed, a second air inlet corresponding to the first one, a third air inlet adjacent to the second one, a fourth air inlet adjacent to the third one, a second air outlet corresponding to the first one, and a third air outlet adjacent to the second one. The blower outside the space is disposed at the second air inlet. The axial fan outside the space is disposed adjacent to the third and fourth air inlets.
US08042949B2 Projection of images onto tangible user interfaces
A surface computing device is described which has a surface which can be switched between transparent and diffuse states. When the surface is in its diffuse state, an image can be projected onto the surface and when the surface is in its transparent state, an image can be projected through the surface and onto an object. In an embodiment, the image projected onto the object is redirected onto a different face of the object, so as to provide an additional display surface or to augment the appearance of the object. In another embodiment, the image may be redirected onto another object.
US08042948B2 Apparatus that produces a three-dimensional image
The subject matter disclosed herein relates to systems and methods for providing image projection and entertainment.
US08042946B1 Contrast sensitivity test
Methods and apparatus are provided for measuring contrast sensitivity. In one method, a person is presented with a test pattern having several test areas. In a first test cycle, one of the test areas contrasts with (for example, is darker than) the other test areas. The person being tested indicates which test area the person perceives as contrasting with (darker than) the other test areas. This is repeated a number of times for the other test areas, with the level of contrast staying the same but with a different test area becoming the contrasting (darker) test area. In subsequent cycles, these steps are repeated, with decreasing levels of contrast. The contrasting test area may, for example, appear as a solid, as a pattern such as two contrasting vertical bars, or as an animation such as a sinusoidal frequency grating.
US08042945B2 Multifocal intraocular lens simulator and method of simulating multifocal intraocular lens
A multifocal intraocular lens simulator includes an optical system enabling an object to be observed therethrough, and a test lens holder which holds a prescribed test intraocular lens. The intraocular lens holder is installed at a position optically conjugate with a position at which an eye of an observer is to be placed. The present invention also teaches a method of simulating a multifocal intraocular lens.
US08042944B2 Apparatus and method for observing an eye, as well as OCT-module
An apparatus for observing an eye comprises an imaging device (9) that is provided with a magnification unit (11) and an observation unit (10). The magnification unit (11) has an objective lens (12) for receiving observation light rays from the eye. The apparatus includes an optical coherence tomography (OCT) system (15) comprising an OCT light source (16) for emitting OCT light, as well as splitting means (17) for splitting the OCT light into a reference beam and a sample beam. The OCT system (15) comprises transferring means (33) for directing the sample beam from the splitting means through the objective lens to the eye. The OCT system comprises an OCT module (19) that is detachably connected between the magnification unit (11) and the observation unit (10).
US08042936B2 Detachable sideshade for spectacles
A sideshade for reducing peripheral glare that is removably attachable to a temple of spectacles such that the wearer of the spectacles is not required to remove the spectacles in order to attach the sideshade to or detach the sideshade from the temple of the spectacles. The detachable sideshade is optical or near-optical quality so as not to degrade the wearer's peripheral vision. The sideshade is lightweight and easy to attach and remove.
US08042935B2 Micro-miniature fluid jetting device
Micro-fluid jetting devices and methods for ejecting fluid mixtures on a substrate are disclosed. Embodiments of the invention show fluid-flow architecture whereby fluid channels direct a plurality of fluids from their respective reservoirs to be ejected through the nozzles of a nozzle plate.
US08042932B2 Drum printer with continuous load-print-unload cycle
The present invention is concerned with a drum printer in which the loading and unloading of printing medium onto and off a drum of the printer is performed without interrupting or decelerating the drum rotation and the printing process.
US08042923B2 Liquid ejecting head and ink jet printing apparatus
When each of an energy acting chamber and a second ejection port portion is partitioned by a first virtual plane into an area positioned on a first side of the first virtual plane and an area positioned on a second side of the first virtual plane, the energy acting chamber has a larger volume in the first side area than in the second side area. Conversely, the second ejection port portion has a smaller volume in the first side area than in the second side area. The first virtual plane is parallel to both a supply direction of a liquid flowing to the energy acting chamber and an ejection direction, and passes through a center of a heating element. The first virtual plane divides each of the energy acting chamber and the second ejection port portion into two parts in an orthogonal direction orthogonal to the supply direction.
US08042922B2 Dispenser unit for refilling printing unit
A dispenser unit for dispensing ink to refill a supply of printing fluid in a printing unit includes a body assembly; a plurality of ink outlets provided on a bottom side of the body assembly, the plurality of ink outlets each for engaging with respective ink inlets of the printing unit; a compressible ink storage volume provided within the body assembly, the compressible ink storage volume having a sealed end and an outlet end connected to no more than one of the plurality of ink outlets; a plunger provided within the housing and abutting the sealed end of the compressible ink storage volume; and a compressing actuator for compressing the plunger against the sealed end of the compressible ink storage volume, thereby facilitating a dispensing of ink from within the compressible ink storage volume into the printing unit via the ink outlet to which the outlet end of the compressible ink storage volume is connected.
US08042919B2 Piezoelectric inkjet printhead
A piezoelectric inkjet printhead includes a manifold, a chamber array including a plurality of chambers in connection with the manifold and arranged along at least one side of the manifold, a vibrating plate to cover the plurality of chambers, and a plurality of piezoelectric actuators formed on the vibrating plate to change pressures of corresponding ones of the plurality of chambers by vibrating the vibrating plate. The plurality of chambers includes a plurality of pressure chambers disposed in a center portion of the chamber array and having corresponding ink ejecting nozzles, and at least two dummy chambers, one disposed on each side of the chamber array and having corresponding dummy nozzles that do not eject ink. A plurality of trenches may be formed in the vibrating plate between each of the piezoelectric actuators.
US08042917B2 Liquid dispenser head, liquid dispensing unit using same, image forming apparatus using same, and method of manufacturing liquid dispenser head
A liquid dispenser head includes a plurality of nozzles, a plurality of liquid chambers, and a plurality of vibration members. The nozzle is used to discharge liquid. The liquid chamber communicates with the nozzle. The vibration member has a vibration portion, which is used as a deformable wall face of the liquid chamber. The vibration member includes a metal member and a resin layer directly formed on the metal member, and the resin layer has a coefficient of linear expansion greater than a coefficient of linear expansion of the metal member.
US08042914B2 Jetting error detector, droplet jetting applicator and display device manufacturing method
A jetting error detector includes multiple input circuits configured to receive respective voltage values of multiple piezoelectric elements from a droplet jetting head configured to jet droplets through multiple nozzles by use of the multiple piezoelectric elements, a selection circuit configured to sequentially select one of the multiple input circuits, a judging unit configured to judge, based on the voltage value inputted to the selected input circuit, jetting quality of the nozzle for jetting the droplets by use of the piezoelectric element corresponding to the selected input circuit, and an output circuit configured to output a jetting quality judgment result of the nozzle.
US08042910B2 Replaceable printbar assembly
A web press printer includes a frame spaced above a media support and at least one printbar removably secured to the frame. The at least one printbar supports an array of printheads extending generally transverse to the media support. The at least one printbar also includes a connector and a routing mechanism. The connector is configured to releasably connect to an element supply that is external to the at least one printbar. The routing mechanism is in communication with the element supply via the connector and configured to separately route the supplied element to each respective printhead.
US08042903B2 Image forming apparatus
An image forming apparatus including a head part with an ejection port configured to eject ink, a discarded-ink tank configured to collect ejected or absorbed ink in order to restore a function of the ejection port, and a sensing device configured to sense the discarded ink collected in the discarded-ink tank, the sensing device including an absorber configured to absorb the ink and an optical sensor configured to sense the light received from the absorber is disclosed, wherein the apparatus uses ink having a characteristic such that the discarded ink is accumulated in a mountain-like shape in the discarded-ink tank, and the absorber is arranged at the location, at which discarded ink can be absorbed when a mountain top of the discarded ink accumulated in a mountain-like shape reaches a predetermined height so that the discarded ink flows along a slope of the discarded ink accumulated in a mountain-like shape to the absorber.
US08042900B2 Inkjet recording apparatus and inkjet recording method
An inkjet recording apparatus includes: a treatment liquid deposition device which deposits on a recording medium a treatment liquid that insolubilizes or aggregates an ink; a recording head having nozzles which eject the ink; a non-uniformity correction amount storage device storing non-uniformity correction amount data which are prepared with respect to each of the plurality of nozzles and determined according to ejection characteristics of each of the plurality of nozzles; a data acquisition device which acquires density data of an image; a non-uniformity correction amount revision device which revises the non-uniformity correction amount data according to the density data related to another nozzle which ejects the ink that overlaps on the recording medium with the ink ejected by each of the plurality of nozzles, in such a manner that the non-uniformity correction amount data are determined; a non-uniformity correction device which corrects the density data according to the non-uniformity correction amount data; and an image forming device which controls the recording head according to the density data in such a manner that the image is formed on the recording medium.
US08042899B2 System and method for compensating for weak, intermittent, or missing inkjets in a printhead assembly
A system enables surrounding inkjets to be used to compensate for missing, intermittent, or weak inkjets without requiring additional passes of the image substrate or slowing the printing process. The system includes a printhead firing signal generator configured to generate a plurality of inkjet firing signals with reference to a set of predetermined firing signal parameters, and a firing signal adjustment circuit configured to modify at least one predetermined firing signal parameter to increase a first mass of liquid ink ejected by an inkjet proximate a defective inkjet in response to a signal identifying the defective inkjet.
US08042898B2 Inkjet recording apparatus and method
The inkjet recording apparatus includes: an inkjet recording head which includes a nozzle through which liquid is ejected; a pressure regulating unit which includes a liquid chamber that communicates with the nozzle and a gas chamber that is partitioned from the liquid chamber by a flexible film; and a liquid chamber pressure controlling device which controls a pressure of the liquid chamber to a predetermined negative pressure when carrying out back pressure control in which back pressure is applied to the liquid inside the nozzle, wherein: the flexible film causes change in the pressure of the liquid chamber when the liquid is supplied for at least a predetermined supply amount to the liquid chamber in a state where the gas chamber is open to air; and the liquid chamber pressure controlling device carries out the back pressure control after controlling the pressure of the liquid chamber to a predetermined value of positive pressure by supplying the liquid of at least the predetermined supply amount to the liquid chamber.
US08042894B2 Apparatus that uses consumable items and a method of managing such apparatus
An apparatus is provided that uses a consumable item, of given type, requiring occasional renewal. The apparatus has a first operating mode in which it only works with a subset of consumable items of the given type; the apparatus also has a second operating mode in which it is not restricted to working with consumable items of the aforesaid subset. The apparatus is changed from its first mode to its second mode following a determination that the apparatus has reached a predetermined time or usage threshold for being in its first mode. The change to the second mode is preferably effected in response to a release code generated either by the apparatus itself or by a remote system following the determination that the predetermined time or usage threshold being reached. Advantageously, the consumable items can be used to pass usage data to a remote system.
US08042893B2 Method of storing data on a photograph
A method of storing data on a photograph includes delimiting a plurality of data block regions on the photograph, the plurality of data block regions superposing a region of the photograph delimited for the printing of a photographic image; compressing data representing the image contained in the photograph with a compression technique; redundantly encoding the compressed data using Reed-Solomon encoding techniques; shuffling the redundantly encoded compressed data in a deterministic manner to reduce potential effects of localized encoded data caused by damage to the photograph; and printing the shuffled data as dots within the data block regions on the photograph. The printing of the shuffled data is performed simultaneously with a printing of the image of the photograph.
US08042891B2 Counterbalance assembly for a dishwasher door and associated method
A dishwasher is provided, comprising a door pivotably attached to a body and having a counterbalance assembly coupled therebetween for facilitating pivoting of the door, wherein the counterbalance assembly includes a biasing member coupled to the body and serially engaged with a flexible element coupled to the door, and a guide member secured to the body and including a fixed arcuate member defining a first guide track, and a pulley rotatable about an axis and defining a second guide track, wherein the flexible element is at least partially wrapped about each of the fixed arcuate member and the pulley so as to serially engage the first and second guide tracks, and wherein the first guide track of the fixed arcuate member is offset from the second guide track of the pulley along the axis thereof.
US08042889B2 Multiple drive for tracked running gear
The invention relates to a tracked running gear, in which two running gears are arranged parallel to one another and each running gear has a longitudinal member (1) and a running gear chain (2) which runs around the longitudinal member in the longitudinal direction thereof and forms an upper and a lower strand, and at least one traction drive, composed of a motor, transmission and a drive gearwheel which meshes into the running gear chain and also a deflecting wheel which permits chain tensioning by means of a movement relative to the fixed part of the longitudinal member, characterized in that at least one additional traction drive (3) which acts on the upper strand is provided on the longitudinal member, wherein the running gear length is changed or remains unchanged.
US08042887B2 Brake control apparatus
A brake control apparatus for controlling brakes of a vehicle having wheels, the apparatus including a stopped-state detecting portion which detects, based on a speed of at least one of the wheels detected by at least one wheel-speed sensor, a stopped state of the vehicle; an operation-force limiting portion which limits, based on a detection of the stopped state of the vehicle by the stopped-state detecting portion, an operation force of at least one of the brakes, such that an upper limit of the operation force is an intermediate level of an operation-force range used when the stopped state is not detected; a sensor ignoring portion which ignores, when an electric voltage supplied from a power source to the at least one wheel-speed sensor is not higher than a reference value, the at least one wheel-speed sensor; a limitation canceling portion which cancels, when the sensor ignoring portion ignores the at least one wheel-speed sensor, a limitation of the operation force by the operation-force limiting portion; and a rapid-change restraining portion which restrains a rapid change of the operation force caused by a cancellation of the limitation of the operation force by the limitation canceling portion.
US08042886B2 Vehicle brake system
A vehicle brake system is provided with a brake ECU serving as controlled hydraulic brake force control for executing a controlled hydraulic brake force control to decrease the rotational speed of an electric motor when at least both of a regenerative brake force and a controlled hydraulic brake force are being applied. The brake ECU decreases the rotational speed of the electric motor when the gradient of a target controlled hydraulic brake force being a controlled hydraulic pressure command value given to a hydraulic brake device is downhill or is not present. Thus, the pressured fluid supplied from a pump driven by the electric motor to be supplied to wheel cylinders of a vehicle is decreased to enhance the efficiency in utilizing the regenerative energy which an electric motor for driving the vehicle has in dependence on the stepping state of a brake pedal.
US08042883B2 Braking device for vehicle
To provide a brake device for a motor vehicle that can suppress variation in braking force applied to a wheel regardless of variation in friction coefficient between a rotator and a braking means in a fluid pressure brake.A brake device for a motor vehicle is provided with a fluid pressure brake 2 (braking means) that applies brake force according to brake fluid pressure to a brake disk (rotator) 20 fixed to a driving wheel (wheel) 3, and has a first feedback mechanism (pressure-reducing means) 15-1 that applies reaction force inputted from the brake disk 20 to the fluid pressure brake 2 in brake operation in a reducing direction of the brake fluid pressure.
US08042882B2 Truck/trailer brake control system
A braking system for a combined tractor-trailer assembly wherein a leading trailer is coupled to a towing tractor and a trailing trailer is coupled to the leading trailer. All of the wheels of the trailers are provided with brakes which may be applied and released in response to the operation of a brake control pedal operated by a driver in the tractor. The brakes also may be applied to the trailing trailer whenever the latter is parked. The brakes of the trailing trailer normally are maintained in applied condition by a spring assisted mechanism when such trailer is parked. Such mechanism normally is disabled by pressurized fluid when the trailer is in service and the pressured fluid is accessible via a valve which simultaneously enables the parking brakes and service brakes simultaneously to be coupled to and uncoupled from the pressure fluid system.
US08042880B2 Automobile wheel
An automobile wheel having a wheel di.sk with improved stiffness and increased strength and driving stability. An imaginary inward angled line is tangential to an inner circumferential surface of an inward recessed circumferential portion of a hat portion and tangential to an inner circumferential surface of a hub surface rounded portion of a hub mounting portion. An imaginary outward angled line is tangential to an inner circumferential surface of an outward recessed circumferential portion of the hat portion and runs through an intersection point of a disk flange and an imaginary bottom line. The imaginary bottom line extends along a back side surface of the hub mounting portion. The imaginary inward and outward angled lines satisfy a ratio of an imaginary outward angle to an imaginary inward angle of equal to or greater than 0.8 to equal to or smaller than 1.25 with respect to the imaginary bottom line.
US08042879B2 Vehicle wheel and method for manufacturing it
The invention relates to a vehicle wheel, in particular a hybrid vehicle wheel, composed of a wheel disc, which is fabricated from lightweight metal as a cast part, and a rim ring, which is made from steel, is connected to the wheel disc in a rotationally fixed fashion and has at least one rim horn and a drop base edge, and a method for manufacturing such a hybrid vehicle wheel. In order to be able to manufacture the hybrid vehicle wheel at low cost and to ensure sufficient force flow between the rim ring and the wheel disc, the wheel disc has, on the disc edge, a contour which is matched to the profile of the rim horn and drop base edge and extends over the distance between them and on which the rim ring bears in the mounted state of the wheel disc and rim ring while clamping the wheel disc between a first pressure zone on the drop base edge and a second pressure zone on the rim horn. Fitting is carried out by folding over or rolling over a rim ring blank onto the edge of the disc.
US08042877B1 Drop shaft
An apparatus for attaching hydraulic cylinders to a vehicle chassis. A plurality of vertical, parallel, spaced apart plates having first apertures through which stub shaft pins are disposed for attaching hydraulic cylinders, and second apertures below the first apertures through which a separate, tubular shaft is disposed. The stub shaft pins may be removed and replaced without removing the rest of the assembly or the tubular shaft from the apparatus or the vehicle.
US08042874B2 Insulating cover for flammable cushioning materials
An insulating cover for a cushioning material for providing a barrier against heat and flame, the insulating cover comprising at least one layer of aluminum film or ceramic fabric, at least one layer of fire-blocking material, and at least one layer of adhesive, wherein the insulating cover is flexible so as to conform to and move with an underlying cushioning material. The insulating cover may be positioned between a cushion and the protective fabric of an aircraft passenger seat.
US08042872B2 Recliner for vehicle seats
The present invention provides a recliner for vehicle seats. The recliner includes a gear rim, a guide plate, a lever rotating shaft, a cam, elastic members, a main control disk, locking gears and locking gear support members. The gear rim has internal gear teeth. The guide plate is coupled to the gear rim and has guide blocks thereon. The lever rotating shaft is inserted through the gear rim and the guide plate. The cam has support protrusions on both ends thereof. The elastic members are provided on the inner surface of the guide plate, and each elastic member elastically supports a first end of the corresponding support protrusion. The main control disk is coupled to the cam. Inner and outer guide holes are formed through the main control disk. The locking gears are slidably provided between the facing guide blocks, and each locking gear has a support depression. The support depression has a concave curved surface and a convex curved surface. External gear teeth are formed in each locking gear. Each locking gear support member has a first contact surface which is in close contact with the concave curved surface of the support depression, a second contact surface which is in close contact with the corresponding guide block, and an inner surface which is supported on both ends thereof by the cam.
US08042867B2 Seat pan drop down link
A chair having an adjustable seat pan is disclosed. The chair includes a seat frame having a front end and a rear end, a seat back attached to the rear end of the seat frame, a seat pan pivotally connected to the seat frame, and a seat drop down link having a first end attached to the seat frame and a second end in contact with a catch member located beneath the seat pan so that the seat pan can be raised and lowered from a flat position to approximately a 6° angle with respect to the seat frame.
US08042864B2 Roof module for a motor vehicle
A roof module for motor vehicles is disclosed having an exterior skin, a material layer adjacent thereto, a spacer layer, and a circumferential plastic material.
US08042863B2 Vehicle roof bow assembly
A vehicle roof bow assembly includes a roof bow member and a pair of telescoping mounting brackets. The roof bow member is configured to extend in a lateral side-to-side direction along an underside of a vehicle roof panel. The telescoping mounting brackets each have a first end and a second end with the first end having a side rail attachment flange configured for rigid attachment to a first vehicle roof side rail and a roof panel attachment flange configured for rigid attachment to the vehicle roof panel and the second end being attached to the roof bow member. The telescoping mounting brackets are disposed at opposite ends of the roof bow member.
US08042862B2 Reinforcing brace
A reinforcing brace is disclosed. The reinforcing brace supports a collar of a fastener. In addition, the reinforcing brace is configured to strengthen the connection between a frame rail and a cross member. By aligning side walls of the reinforcing brace with walls of the cross member, the reinforcing brace can continue the walls of the cross member through the frame rail to strengthen the connection between the frame rail and the cross member.
US08042861B2 Tailgate and motor vehicle
A tailgate of a motor vehicle has an extensible wind-deflecting device which, in its non-use position, is preferably arranged, so as to lie within an outer contour, in a stowage space. Here, the tailgate is formed substantially from an inner and an outer panel, with a collecting device, which is connected to the inner and to the outer panel and which is arranged in the region of the stowage space, being provided for collecting water which has infiltrated into the stowage space.
US08042859B2 Structure of car door
A car door is constituted by a main body which includes an outer panel and an inner panel combined together, a door lower half, and a rising part extending upward from the door lower half and facing with a side edge of a roof via an upper part thereof; and a bracket-shaped sash assembly constituted by a front vertical sash whose bottom edge is joined to a front upper end of the door lower half, and a roof-facing sash extending from an upper part of the front longitudinal sash and joined to an upper end of the rising part.
US08042858B2 Retractable roof and vehicle including the same
A retractable roof includes a roof member 2 including a front roof panel 21, a back roof panel 22, and a linkage mechanism 4 for alternately chaining the position of the roof member 2 between an in-use position and a storage position. The back roof panel 22 is pivotally connected to the front roof panel 21 and a car body side member 42 to function as a first link of a four-bar link constituted by the first link and a second link.
US08042855B2 Lateral slide vehicle seat
An adjustable vehicle seat arrangement includes a plurality of strikers connected with a vehicle frame, an inboard vehicle seat, and an outboard vehicle seat. At least one outboard seat rear striker includes a latching section divider dividing the outboard seat rear striker into at least two laterally spaced apart latching sections. The outboard vehicle seat includes an outboard seat rear latch that cooperates with the outboard seat rear striker and an outboard seat front latch that cooperates with an outboard seat front striker. The outboard seat is generally laterally aligned with and laterally offset from the inboard seat. The outboard seat is laterally adjustable and the outboard seat rear latch is configured to engage the outboard seat rear striker at each of the at least two laterally spaced apart latching sections. A method for laterally adjusting a vehicle seat and a vehicle seat assembly are also disclosed.
US08042853B2 Slidable room support system
A slidable room assembly comprises a vehicle body having an opening formed in an exterior wall, and a reciprocable slideout unit or compartment disposed in the opening and slidable between a retracted position and an extended position. A cable support system supports the slidable room during movement of the slideout unit. Sliding movement of the slideout unit is controlled by a drive mechanism separate from the cable support system.
US08042849B2 Device and method for lifting a pool skimmer basket
The present invention is directed to a skimmer basket lifting tool that enables a user to engage and remove a skimmer basket for cleaning without having to contact the debris accumulated within the basket. The skimmer basket lifting tool comprises a lifting handle which has both a proximal and a distal end, a base portion, including an attachment point for engaging the distal end of the lifting handle, and a pair of opposed skimmer basket crossbar engagement elements extending downward from the base portion. The opposed crossbar engagement elements are oriented such that rotation of the base portion, following insertion of the base portion into a skimmer basket housing, results in reversible engagement of the opposed crossbar engagement elements with the skimmer basket crossbar. This reliable, reversible engagement enables the skimmer basket to be lifted from the skimmer basket housing.
US08042848B2 Telescopic clipping apparatus
A telescopic clipping apparatus includes a handle, a lever, a telescopic unit, a clipping unit, a reel, a pulley and a cable. The lever is movably connected to the handle. The telescopic unit is connected to the handle. The clipping unit is pivotally connected to the telescopic unit. A reel is located in the telescopic unit. A pulley is connected to the clipping unit. The cable is wound around the pulley, and includes a first end tied to the lever and a second end tied to the reel.
US08042847B2 Tray energy absorber and bumper system
The present invention provides for various embodiments of a tray energy absorber comprising a base, a first transverse wall that is arcuate and extends from the base, a second transverse wall spaced apart from the first transverse wall and which extends from the base. The tray energy absorber further comprises a plurality of ribs that extend from the base joining the first transverse wall to the second transverse wall. In one embodiment the density of the ribs varies along the transverse width of the tray energy absorber. In another embodiment at least one of the plurality of ribs is greater than 50% of the height of the first transverse wall or the second transverse wall where the rib joins the first and second transverse walls. The present invention also provides for a bumper system used in a vehicle that includes a tray energy absorber attached to a bumper beam. The bumper system optionally includes a fascia that substantially envelops the bumper beam and the tray energy absorber.
US08042838B2 Fluid line connector safety device
Devices can use various features to prevent the disconnection of connectors used in medical treatments. For example, a disconnection prevention member can have ends which hold luer connectors such that a male luer connector is prevented from separating from a female luer connector. The member can thus prevent disconnection of luer connectors that are incompletely mated.
US08042834B2 Front structure of vehicle
Provided is a front structure of a vehicle 1, and the front structure includes a pair of side frames 2 and a first cross member 31. The pair of side frames 2 are disposed respectively on both sides of the vehicle in a vehicle-width direction and extend in a front-to-rear direction. The first cross member extends in the vehicle-width direction, and connects front-end portions 25 of the pair of side frames 2. Each of the front-end portions 25 of the side frames 2 includes a protruding portion 26 that extends frontwards integrally thereof beyond the first cross member 31. In the event of a frontal collision of the vehicle 1, the protruding portions 26 absorb the produced energy, so that the amount of deformation of portions respectively of the side frames 2 located at rear sides of the front-end portions 25 can be reduced.
US08042832B2 Airbag of vehicle
The airbag of the vehicle according to the present invention can suppress initial deployment of a cushion and minimize injury of an occupant caused by a pressure upon deployment of the cushion since outer tethers covering the outer side of the cushion are sewn and coupled to the cushion at a plurality of positions and a plurality of sewing portions are adapted to be sequentially broken according a deployment pressure of the cushion. Therefore, injury of an occupant in an OOP (Out-of-Position) condition can be prevented. Furthermore, the airbag can optimally cope with various collision modes because the outer tethers are formed in plural number and the sewing strength of the plurality of outer tethers can be adjusted. Furthermore, deviation in the performance of the outer tethers can be decreased compared to a tear line because the outer tethers are sewn to the cushion and the sewing portions are broken by a deployment pressure of the cushion. Furthermore, deviation in the performance of the outer tethers can be decreased since the outer tethers are sewn and fixed to the cushion.
US08042828B2 Multi-position foldable stroller
A foldable stroller includes a rolling chassis, a push arm coupled to the rolling chassis to move relative to the chassis, and a folding mechanism.
US08042826B1 Trailer hitch carriage assembly
A trailer hitch carriage assembly for reducing downward force on a tow vehicle and facilitating hitching of a trailer includes an axle having pivotable distal end portions and a tow bar pivotally coupled to a central portion of the axle. The tow bar has a proximal end and a distal end. A tie rod assembly is coupled to the distal end of the tow bar and has a pair of distal end portions. Each distal end portion of the tie rod assembly is pivotally coupled to a respective one of the distal end portions of the axle. A pair of hubs is included, each hub being rotationally coupled to a respective one of the distal end portions of the axle and wheels are coupled to the hubs. A trailer hitch receiver is coupled to the proximal end of the tow bar and a ball hitch is coupled to the axle.
US08042825B2 Trailer towing system
An accessory towing apparatus that connects a towing vehicle to a gooseneck-type trailer. The accessory towing apparatus includes a chassis framework assembly mounted on an axle having two ground engaging wheels. The chassis assembly includes three linkage arms, which are attached to and extend from the framework. The ends of the three linkage arms are designed to engage and couple with three brackets attached to the main frame of the towing vehicle. When the respective coupling mechanism of the three linkage arms are each engaged, they are substantially parallel to one another and pivot about a substantially common axis. An automatic alignment and attachment system may also be included to greatly simplify the attachment process of the gooseneck-type trailer to the towing vehicle.
US08042824B2 Wheel mount assembly
A wheel mount assembly for mounting a drive wheel to a frame of a wheelchair is provided. The assembly includes a camber body attachable to an axle of one of the drive wheels and operable to pivotably couple about the frame through a range of camber angles; a spacer operable to set a desired camber angle, the spacer contacting the camber body at the desired camber angle; and a clamp operable to secure the camber body and the spacer to the frame at the camber angle. Optionally, a transverse member, extending between the drive wheels, may engage a portion of the frame such that the clamp is prevented from pivoting through the camber angles of each respective camber body. Again, optionally, the wheel mount assembly may be clamped to the frame at a multitude of positions, thus facilitating adjustment of the center of gravity of the wheelchair.
US08042822B2 Bicycle frame with improved weld joint
A bicycle frame comprising a first tubular member having a first interface portion, and a second tubular member having a second interface portion engaged with the first interface portion to create a joint. The joint includes a peripheral channel having a depth that is greater than a wall thickness of the first tubular member, and a weld is positioned in the peripheral channel. To enhance the transition from the first main portion to the second main portion, the second tubular member can include a fillet surface between the second main portion and the second interface portion. The fillet surface is contoured to provide a smooth transition between the top tube and the head tube. An outer surface of the first interface portion can be offset from an outer surface of the first main portion to thereby create a shoulder.
US08042820B1 Driver's side large vehicle step
A device is added to or built into a subject large vehicle, such as a large motorhome. The device provides for the driver's use either one or two retracting steps to facilitate the driver's entrance into or exit from the subject vehicle. Since these steps retract for travel, they are able to extend far enough beyond the side of the subject vehicle to allow the driver's weight to be directly above each step in stair step fashion rather than vertical ladder fashion. The device makes motorhome driver's side doors safer and more usable for less athletic people and the elderly.
US08042811B1 Inflatable vehicles for simulating driving for use with video games
An inflatable vehicle for simulating a driving experience while playing realistic computer-video driving games such as the WII games. The vehicle is life-like in construction and allows a player to sit therein while playing driving game. A steering wheel or handle bar is configured to receive a game controller—which controls the video game when the steering wheel or handle bar is manipulated like a real life equivalent thereof.
US08042810B2 Method of assigning a temporary banker for a game of chance
A roulette-style game of chance which is played using a player-banked system. The role of temporary Banker is randomly offered to one of the player positions (12-22). If a player is not available or willing to accept the role, a licensed Banker is appointed as temporary Banker and game play begins. Once a game decision is reached, wagers are resolved according to pre-established wager resolution priorities with pay-outs being resolved before collections. In situations where a player acts as temporary Banker, other players may bank behind the temporary Banker by placing supplemental value at risk. A player acting as temporary Banker, and any players banking behind the temporary Banker, are not permitted to pay out and collect more than the aggregated amount of value they have placed at risk. Once a pre-determined number of games of chance have been played and resolved in this manner, the role of temporary Banker is offered to another player so that all players have an equal chance of participating in the role of temporary Banker.
US08042804B2 Sheet conveying apparatus and image forming apparatus
A sheet conveying apparatus for conveying a sheet having an image formed thereon includes: a first rotator; a second rotator that comes into pressure contact with the first rotator and contacts a surface of the sheet having the image formed thereon; and a plurality of ribs that are formed on a circumferential surface of the second rotator and disposed in an axial direction so as to be continuous in a circumferential direction. Among the plurality of ribs, the ribs disposed at both ends of the second rotator in the axial direction have a diameter that is smaller than those of the other ribs.
US08042803B2 Media stacking apparatus for media dispenser
The present invention relates to a medium stacking apparatus for an automatic medium dispenser. A medium stacking apparatus according to the present invention comprises a medium box provided with a seating space allowing medium to be pulled and stacked therein, inlet rollers provided to face each other and to rotate in opposite directions relative to one another so that the medium are pulled into the seating space, a damping unit colliding against the medium pulled into the seating space by the inlet rollers, and a pressing unit for pressing down a trailing end of the medium. The damping unit and the pressing unit are connected to both ends of a link by the pins to cooperate with each other. Thus, the medium are stacked in the seating space, so that the following medium can be pulled therein without any interference. According to the present invention, there is an advantage in that a stacking reliability is enhanced when medium are stacked.
US08042801B2 Image forming apparatus
Disclosed herein is an image forming apparatus. The image forming apparatus can include an apparatus body, a cassette detachably mounted to the apparatus body, the cassette being configured to hold print media, and a sensor unit configured to sense whether the cassette is mounted, whether the print media are loaded, and/or whether the print media is properly positioned in the cassette.
US08042800B2 Sheet pick-up device of automatic document feeder
A sheet pick-up device of an automatic document feeder is used for feeding a sheet into a sheet feeding path. The sheet pick-up device includes a sheet pick-up module and a sustaining element. The sheet pick-up module includes a driving shaft and a sheet pick-up arm coupled to the driving shaft. The sheet pick-up arm is driven by the driving shaft to be rotated to either a standby position or a sheet feeding position. The sustaining element is pivotally coupled to the sheet pick-up arm. When a distal end of the sustaining element is rotated to be in contact with the sheet feeding path, the sheet pick-up arm stays in the standby position.
US08042797B2 Sheet feeding apparatus and image forming apparatus
A sheet feeding apparatus 80 includes a lifter plate 23 that is disposed in a sheet storage case 4 and stacks a sheet 7a, an air heater 14 and a fan 11 that blow heated air to the sheet 7a stacked on the lifter plate 23, and a control device 16 that changes a control condition of the heated air blown by the air heater 14 and the fan 11 based on a storage period of time of the sheet 7a on the lifter plate 23.
US08042792B2 Hydraulic engine bearing
A hydraulic engine bearing for motor vehicles has a fluid-filled working chamber (4) surrounded by a rubber-elastic circumferential wall (3). A compensating chamber (7) is provided which is in connection with the working chamber (4) via an overflow duct (6) in a baffle plate (5) between the working chamber (4) and the compensating chamber (7). Another compensating chamber (10) is connected to the working chamber (4) via a bypass duct (9). The additional compensating chamber (10) is formed from an elastic bellows (11), which is surrounded on its outside by a fixed wall (12) and wherein an intermediate space (13) is arranged between the bellows (11) and the wall (12). The intermediate space can be filled with a compressible medium, preferably air, and the medium can be emptied by means of a switching device, wherein the switching device is formed from at least one non-return valve (14) and at least one on-off valve (15). The non-return valve (14) is arranged in a vent duct as a connection path of the intermediate space (13) to the outside of the fixed wall (12) such that only a flow of the medium present in the intermediate space (13) to the outside of the wall (12) is possible. The on-off valve (15) is arranged at a vent duct (17) as an additional connection path of the intermediate space (13) to the outside of the engine bearing and can close or open the vent duct (17).
US08042789B2 Valve for distributing fluids
A valve for distributing fluids includes a housing, a bobbin disposed within the housing having a bore, a coil disposed on the bobbin wall, and an armature disposed within the bore of the bobbin. The armature includes a point region and a main body that is integral to the point region. The armature moves between a first position and a second position. The solenoid valve further includes a pole piece having a cylindrical bore and a valve body disposed within the cylindrical bore of the pole piece. The solenoid valve also includes a spool disposed within the valve body bore, and a spring member operatively disposed within the spool bore.
US08042780B2 Drinks holder
A cup holder, especially for a motor vehicle, has a box-like pedestal (12) that has a placement surface (14) on its top, and a box-like cover (16) that is arranged over the pedestal. The side surfaces of the pedestal (12) serve as a guide for the cover (16), the cover having a recess (18) that is concentric with the placement surface (14).
US08042773B2 Method and device for deactivating defective doors
A method for deactivating a defective door of a train. The method steps include: providing a first door and a first controller; providing a second door and a second controller; providing a separate remote, central activation device configured to transmit an activation signal; determining that a fault has occurred at the second door; and deactivating the second door by an activation signal transmitted from the remote, central activation device via the first controller. A deactivation apparatus for deactivating a defective door of a train, the deactivating apparatus including: a first train door, a first controller, and a first locking device; a second train door, a second controller, and a second locking device, wherein the second train door is a defective train door; a separate, central control center connected to the first and second train doors and configured to provide and transmit an activation instruction, wherein the defective train door is deactivated by the activation instruction via the first locking device of the non-defective door.
US08042768B2 Systems and methods for reducing noise in aircraft fuselages and other structures
Systems and methods for reducing noise in aircraft fuselages and other structures are described herein. A noise reduction system configured in accordance with one embodiment of the invention includes an auxetic core, a damping layer, and a constraining layer. In this embodiment, the auxetic core is supported by a structural member, and the damping layer is sandwiched between the auxetic core and the constraining layer. A method for manufacturing a structural assembly in accordance with another embodiment of the invention includes forming a stiffener by positioning a first ply of composite material against a first tool surface, positioning damping material against the first ply, and positioning a second ply of composite material against the damping material to sandwich the damping material between the first and second plies. The method can further include forming a skin by positioning a third ply of composite material against a second tool surface offset from the first tool surface, and attaching the stiffener to the skin by co-curing the first, second and third plies of composite material.
US08042763B2 Rotary wing vehicle
A rotary wing vehicle includes a body structure having an elongated tubular backbone or core, and a counter-rotating coaxial rotor system having rotors with each rotor having a separate motor to drive the rotors about a common rotor axis of rotation. The rotor system is used to move the rotary wing vehicle in directional flight.
US08042762B2 Vertical take-off tilt rotor aircraft
A vertical take-off aircraft having a main power plant at the top of the aircraft to provide lift and an additional power plant to force air to travel in a horizontal direction to counteract the rotational force exerted on the main body of the aircraft by the main power plant. The additional power plant is connected to the aircraft such that tilting of the main power plant relative to the main body of the aircraft is able to cause the additional power plant to move relative to the main body of the aircraft.
US08042761B2 Center/surface rewinder and winder
A winder for winding a web to produce a rolled product is provided. The winder includes a web transport apparatus that is used for conveying the web. Also included in one exemplary embodiment is a plurality of independent winding modules. The winding modules are independently positioned to independently engage the web as the web is conveyed by the web transport apparatus. The winding modules may be configured to wind the web to form a rolled product by center winding, surface winding, and combinations of center and surface winding. The winding modules are structurally and operationally independent of one another where if one module is disabled, another may still operate to produce the rolled product without shutting down the winder.
US08042760B2 Method and apparatus for transferring a wound web
A method and apparatus for transferring a web wound about a loaded core. The steps include providing a core shaft axially extending between a core shaft first end and a core shaft second end, providing a web wound about a loaded core, the loaded core coaxially related to the core shaft, axially supporting the core shaft by a first axial support operatively engaged with the core shaft first end and a second axial support operatively engaged with the core shaft second end, axially moving the loaded core from the core shaft to the second axial support, and removing the first axial support and the second axial support.
US08042757B2 Welding wire guide ring
A wire guiding device includes a base and a guide portion for streamlining the flow of coiled wire from a storage container. Side walls may be included to bridge the distance between the device and the storage container to prevent wire from rising upward around the outside of the wire guiding device. As the amount of wire in the bin decreases, the wire guiding device will float downward maintaining constant downward pressure on the coil of wire. Wire in the storage container will therefore be drawn out in a smooth manner limiting or substantially preventing tangles.
US08042755B2 Bar and groove pattern for a refiner plate and method for compression refining
A refiner plate for a mechanical refining system, the plate including: a refining surface including bars and grooves, wherein the bars have a leading edge defined by an interior angle of between 150 degrees to 175 degrees.
US08042754B2 Laboratory vibration grinding mill having inclined grinding bowls
A laboratory vibration grinding mill comprising a circular oscillating drive that operates in at least two dimensions, at least one support, and a respective elongated grinding bowl held in each support. The grinding bowl has a filling of grinding bodies and is provided with frontal grinding bowl bases. The support for a grinding bowl is configured such that a longitudinal axis of the grinding bowl forms an angle of less than 90° with a plane of movement of the circular oscillating drive such that due to movement paths of the grinding bodies in the grinding bowl caused by the inclined position of the grinding bowl relative to the plane of movement of the circular oscillating drive, the frontal grinding bowl bases are incorporated into a size-reduction process as abutment and grinding surfaces.
US08042752B2 Nozzle repair to reduce fretting
A method repair for reducing fretting of a fuel nozzle of a gas turbine engine, including attaching at least one stiffening element to an outer surface of a portion of a stem member of the fuel nozzle, with a size and shape of the at least one stiffening element being selected such that a first natural frequency of the fuel nozzle with the at least one stiffening element attached thereto is outside the engine running frequency range.
US08042751B2 Nozzle system for injector
A nozzle system for an injector may include a casing that has an inlet through which liquid flows and an injector outlet through which liquid is outwardly injected, a swirler that is disposed inside the casing and includes at least one swirl groove formed in the exterior circumference thereof through which liquid passes and a swirl chamber formed between an end portion thereof and the injector outlet, and a needle for controlling liquid injection whereby swirl torque is generated when the liquid flows into the swirl chamber through the swirl groove and the liquid swirls in the swirl chamber.
US08042750B2 Release agent spray device for a casting machine
1. Release agent spray device for a casting machine.2.1. The invention relates to a release agent spray device for a casting machine, having one or more spray nozzles (1) and discharge means for the controlled discharge of release agent from the respective spray nozzle.2.2. According to the invention, the discharge means comprise at least one dosing unit (3) which is assigned to at least one spray nozzle (1) and which defines, in advance, a release agent quantity to be discharged by the at least one associated spray nozzle in an impending spray burst and which provides said release agent quantity, separated from a release agent supply, for discharge in the impending spray burst.2.3. Use for example for metal pressure-die-casting machines.
US08042749B2 Washer device for headlamp for vehicle
Disclosed is an economical washer device of a headlamp for a vehicle includes a nozzle unit integrally mounted onto a nozzle cover, the nozzle unit including a washer discharging opening and a washer introducing opening, wherein a washer liquid is fed through the washer introducing opening and selectively discharged through the discharging opening, and a slide drive unit integrated with the nozzle unit and configured and dimensioned to rotatably support the nozzle unit when the nozzle unit is rotated by an ejection pressure of the washer liquid discharged.
US08042744B2 RFID tag
A radio frequency identification (RFID) tag including a substrate, an RFID chip, a chip contact part, a folding circuit and a radiation part is provided. The chip contact part is formed on the substrate and electrically coupled to the RFID chip. The folding circuit is formed on the substrate and electrically coupled to the chip contact part. The folding circuit has a winding part, which forms a hollow region, for compensating the antenna electric length. The radiation part is formed on the substrate and electrically coupled to the folding circuit, wherein one terminal of the winding part of the folding circuit is open, and the other terminal is electrically coupled to the radiation part. At least one of the folding circuit and the radiation part is asymmetric to the chip contact part.
US08042742B2 Noncontact IC label and method and apparatus for manufacturing the same
A non-contact IC label comprising an electrically insulating first substrate; an electrically connected antenna coil and IC chip provided on one surface of said substrate; a magnetic layer provided on said one surface of said substrate so as to cover said antenna coil and said IC chip, a first adhesive layer provided on said magnetic layer, an electrically insulating second substrate provided on said first adhesive layer, a second adhesive layer provided on said second substrate, a release paper provided on said second adhesive layer, and an overlay material provided on a third adhesive layer on the other surface of said first substrate.
US08042737B2 RFID key rotation system
In various embodiments, a method for tracking objects includes receiving an internal identifier associated with an object. A first key or external identifier for a tag is associated with the internal identifier. A second external identifier is generated and the second external identifier is then written to the tag. The second external identifier is associated with the internal identifier associated with the object.
US08042735B2 Desktop card processor
A desktop card processor having increased card processing capabilities without increasing the horizontal footprint of the card processor. The card processor utilizes multiple card processing levels stacked in vertically separated levels to minimize the horizontal footprint of the card processor.
US08042733B2 Automated banking machine that operates responsive to data bearing records
An automated banking machine controlled responsive to data bearing records includes a card reader that can read identifying data from user cards. User operation of the automated banking machine is authorized responsive to the identifying data read by the card reader. The automated banking machine is allowed temporary usage of a machine application that enables the machine to perform an authorized banking transaction requiring operation of the card reader.
US08042732B2 Self service coin redemption card printer-dispenser
A method of transferring funds to a personalized portable storage medium using a kiosk, includes the acts of receiving funds comprising a batch of loose mixed coins in a coin receiving area of the kiosk, processing the coins in a coin processing module, determining a total value of the funds using a controller, displaying the total value of the funds to a user of the kiosk, and permitting the user to select a desired graphic from amongst a plurality of available graphic selections using via a user input device. The method also includes the acts of printing, using a printing device, the user-selected graphic on a portable storage medium print area, the portable storage medium comprising a storage medium bearing data to which a value relating to the total value is associated and dispensing the portable storage medium bearing the user-selected graphic to the user, the portable storage medium having a first value relating to the total value.
US08042731B2 Systems and methods for validating RFID tag data prior to writing into RFID tag
The present invention is generally directed to systems and methods for validating RFID tag data prior to encoding the data onto an RFID tag, utilizing at least one computing device separate from an RFID encoder. An embodiment of the present invention describes a method of receiving RFID tag data at a computing device, validating that data in compliance with an RFID format, such as the EPC Global standard, and sending the validated data to an RFID encoder. An alternative embodiment provides a method for receiving RFID tag data at a computing device configured for verifying the data, and if the data is not valid, returning an informative error message to a user indicating the component of the data that was invalid and how the error can be corrected. A further embodiment provides a method for allowing a user to correct the RFID tag data and re-validate the data.
US08042727B2 Heater, reflow apparatus, and solder bump forming method and apparatus
An apparatus characterized by a feature that in a stage on which a substrate or a jig holding a substrate is mounted, an opening closed when the substrate or the jig is mounted is provided and the substrate or the jig is heated by blowing hot air against the lower portion of the substrate or the jig and by a feature that a solder bump is formed on a pad electrode by heating or reflowing a solder composition which is a mixture containing solder particles, a flux component, and a liquid material which is liquid at normal temperature or changing to liquid when heated, and the composition is heated from the substrate side. With the former feature, oxidization of the solder paste on the substrate where no hot air comes and adhesion of particles to the substrate can be prevented, and with the latter feature, solder particles near the pad electrode are melted earlier and wet and spread over the pad electrode, solder particles above and far from the pad electrode are not melted sufficiently, and an effect of reducing the possibility that solder particles join together can be expected.
US08042718B2 Fuel cell actuation mechanism for combustion-powered tool
A combustion nailer includes a tool housing, a combustion source disposed at least partially in the housing and including a valve sleeve reciprocating relative to a cylinder head along a tool axis between a rest position and a pre-firing position, a fuel cell chamber in the housing in operational proximity to the combustion source and dimensioned for accommodating a fuel cell, at least one pivot point associated with the fuel cell chamber transverse to the tool axis for facilitating movement of the fuel cell between a non-activated position and an activated position, and at least one actuator pivotable about the pivot point and engaging the valve sleeve at a point closer to the tool axis than to the fuel cell chamber such that movement of the valve sleeve from the rest position to the pre-firing position causes movement of the fuel cell from the non-activated position to the activated position.
US08042716B2 Donning device for stockings
A device that makes donning of stockings, in particular medical compression stockings, easier has a flexible sheet body that has a low friction inner side and a high friction outer side and is closed by snap fasteners. It can be rolled to a tubular shape having a larger diameter at one end. The sheet body is inserted into a stocking with the end of lower diameter first and is then pulled over the foot and leg, with the device reducing the friction forces that have to be exerted.
US08042715B2 Dispensing closure comprising a safety system
A dispensing closure for a container that includes closure walls, at least one dispensing aperture, at least one closing element that is movable between a position where the aperture is closed, and a position where the aperture is open. This closure includes at least one actionable member that surrounds the closure walls and the closing element, a spring element disposed between the closing element and the closure walls to force the closing element to close the aperture, a connection device that includes a flexible pouch containing a temperature dependent material, and a catch mechanism. When the temperature is below a predetermined safety temperature the temperature dependent material is rigid enough so that the pouch restrains the catch mechanism in a position where the consumer can open the dispensing aperture by moving the actionable member, but when the temperature of the container is above the safety temperature, the temperature dependent material is sufficiently fluid so that the pouch is compressible to allow movement of the actionable member independently of the closing element, thus preventing a consumer from opening the dispensing aperture.
US08042712B2 System for dispensing fluid product
The subject invention is a system for dispensing a controlled amount of fluid product from a dispensing container which includes a pneumatically driven dispensing actuator. This actuator includes a dispensing cylinder and a movable dispensing piston. The dispensing piston is movable under pneumatic pressure within the cylinder, the cylinder including a volume of hydraulic fluid under the pneumatic pressure acting against the dispensing piston to selectively prevent movement of the dispensing piston. The invention further includes a means for selectively reducing the volume of the hydraulic fluid in the dispensing cylinder to allow the controlled movement of the dispensing piston. The controlled movement of the dispensing piston causes controlled displacement of the fluid product from the dispensing container. Advantageously, with the subject invention, a force may be generated and applied to a plunger, which can be accurately controlled. As will be recognized by those skilled in the art, the force results in movement of the plunger, which, in turn, translates into an accurate amount of fluid product being dispensed from the dispensing container.
US08042711B2 Dosage-dispensing device with a receiving device for an insertion unit
A receiving device in a dosage-dispensing device, which serves to receive any insertion unit that can be inserted in the receiving device, includes a plurality of support arms that are arranged parallel to each other and extend in their lengthwise direction substantially orthogonal to the direction of gravity. At least two support arms are arranged in planes lying above one another relative to the direction of gravity. Each support arm includes at least one support location, so that, when the dosage-dispensing device is in its operating state, the insertion unit can be supported through the support locations against the force of gravity. Each support arm includes at least one protrusion which serves to position the insertion unit in a plane that extends orthogonal to the direction of gravity. The main dimension of the protrusion is directed essentially against the direction of gravity. When the insertion unit is inserted in the receiving device, the protrusions reach into recesses that are formed on the insertion unit.
US08042705B2 Cap for safely filling and closing an engine oil tank, in particular of an aircraft engine
A cap, for safely filling and closing an engine oil tank, in particular of an aircraft engine, has an elongated tubular body for feeding oil into the tank; a removable cover body for closing an end portion of the tubular body; an oil feed valve located close to the end portion of the tubular body and movable between an open position, allowing oil flow into the tank, and a closed position, preventing oil outflow from the tank; a filter upstream from the oil feed valve; a dipstick for measuring the oil level in the tank, and which is housed in the tubular body and carried by the removable cover body; and a monostable valve controlled by the dipstick.
US08042704B2 Container with measuring device holding means
A container for holding a product including a holding means for removably holding a product measuring device adjacent the upper end of the container. The holding means is connected to the container and the measuring device may be replaced in the holding means to secure the measuring device therein during use of the product.
US08042692B2 Mailpiece conveyor device with servocontrol on reject rate
A device for conveying flat articles, in which device the flat articles are moved in series while being at a pitch one from the next that is normally constant, includes a synchronization device for compensating for a certain amount of variation in said pitch. This device includes: means for categorizing the articles on the basis of certain physical characteristics of the articles; means for identifying a certain amount of variation in the pitch for the current article and for recording said pitch variation in a memory in correspondence with the class of the current article; and means for servocontrolling the synchronization system on the basis of said pitch variations recorded in the memory in correspondence with said classes of articles.
US08042691B2 Heavy particle separation
A method of heavy particle separation, including a primary separation stage which includes the steps of dropping, accumulating, concentrating and discharging of heavy particles and/or a secondary separation stage for concentrating heavy particles which includes the steps of infeeding, stilling and retaining such particles.
US08042690B2 Wiper blade package
A beam-type wiper blade reclosable package that includes a pair of package halves joined by an elongate compliant joint that preferably enables one of the package halves to function as a cover that can be closed over a base that includes a curved beam-type wiper blade compartment formed therein. The compartment includes a wiper blade beam or backbone seat and a wiping blade element land, an adapter clearance recess defining a lip that facilitates package opening and closing and wiper blade removal facilitating pockets. The compliant joint preferably is a living hinge of double fold construction that serves to strengthen the package when closed.
US08042689B2 Extravascular system packaging systems
Extravascular system packaging systems are adapted to facilitate opening of the package and to facilitate one or more auxiliary uses of the packaging systems. Auxiliary uses include the use of at least a portion of the packaging system as a pre-insertion table and as a temporary disposal receptacle. In its use as a pre-insertion table, the packaging system is adapted to provide a stable temporary storage tool where the extravascular system is readily accessible to the medical practitioner. In its use as a temporary disposal receptacle, the packaging system is adapted to provide a stabilized receptacle adapted to temporarily receive a deployed needle assembly until the needle assembly can be properly disposed of in a sharps container.
US08042688B2 Combined drape and carrying bag unit
The present invention provides a combination drape and carrying bag unit including a singular sheet or plurality of joined sheet of pliable materials, both permeable and impermeable, of size to define a mat to accommodate a working medical procedural area thereon, upon which may be placed in single or combination, medical equipment and patient. The sheet has a drawstring casing extending about its periphery. The casing has openings therein on opposite sides of the mat and a drawstring is received in the drawstring casing and is of size to extend continuously about the periphery of the sheet when it defines a mat. The drawstring is slidably received in the drawstring casing so that when portions of the drawstring are pulled out of the drawstring casing through the openings, the periphery of the sheet forms gathers adjacent the casing to permit the periphery to reduce in size whereby the unit forms a carrying bag. Those portions of the drawstring which are pulled out of the casing may be secured together adjacent the openings to form a handle for the carrying bag.
US08042686B2 Merchandise storage container with two pull out holders
A merchandise storage container having a sleeve and a pair of pull out merchandise holders that are both stored in the same sleeve but may be pulled out to extended positions from opposite sides of the sleeve. The sleeve is configured to allow the two holders to be pulled out to their extended positions simultaneously. The sleeve is also configured to allow the holders to be pushed back to their retracted positioned simultaneously without interfering with one another. The sleeve is configured to prevent the holders from jamming during the operation of the container.
US08042683B2 Contact lens safety apparatus
A contact lens safety apparatus FIG. 1, invented as one unit with at least an elevating and de-elevating bowl (90). The contact lens safety apparatus can be opened or closed by lids (20) and a contact lens safely placed in (90), which can be sub-merged into solution (150) contained in (60). The contact lens after being placed in the contact lens safety apparatus for a period of time can be replaced back into the eye.
US08042682B2 Conveyor belt drive sprocket retention system
A drive sprocket retention system is provided for use in a belt conveyor in which a plurality of drive sprockets are mounted on a rotatable element having an axial keyway formation. The retention system is defined by a key arrangement disposed in the keyway formation of the rotatable element, wherein a recessed portion of a key is received and retained between each drive sprocket and the rotatable element without the need for threaded fasteners. The retention system is movable between a locked position and a release position, wherein the spacing between the drive sprockets is substantially fixed when the retention system is in the locked position, and the drive sprockets are independently movable along the longitudinal length of the rotatable element when the retention system is in the release position.
US08042680B2 Releasable coupling
A releasable coupling, including a first and a second coupling part for transmitting torque, where the first coupling part has a projection which extends in the direction of the geometrical axis of rotation and in the circumferential surface of which a first peripheral groove is embedded, that the second coupling part has a recess which is matched in cross section to a receptacle on the projection and in the circumferential surface of which a second peripheral groove is embedded, that an annular securing element is provided, the securing element being elastically deformable with respect to the contour of its open or closed periphery and, in the separated state of the two coupling parts, being insertable into one or optionally into one of the peripheral grooves and the contour of which, in the separated state of the two coupling parts, projecting out of the peripheral groove.
US08042678B2 Device for stripping impurities of a belt webbing from conveyor belt systems
A device for stripping soiling from a belt webbing in a conveyor belt system includes at least one lamella support disposed on a system carrier so as to be pivotable about a first axis and at least one stripping lamella disposed on the at least one lamella support so as to be pivotable about a second axis, the at least one stripping lamella having a first arm facing the belt webbing and a second arm facing away from the belt webbing. The device further includes at least one spring device attached to the first axis and having at least one spring element configured to bias the at least one stripping lamella towards the belt webbing such that in a stripping position the first arm lies flush against the belt webbing and at least one coupling element.
US08042673B2 Axially compliant clutch departure control sleeve
A torque transmitting apparatus includes a cover portion generally defined by a first axis. The cover portion includes a plurality of adjustment apertures. The apparatus also includes a flywheel coupled to the cover portion for rotation therewith, wherein the flywheel is restrained from axial movement relative to the cover portion. The apparatus also includes a pressure plate coupled to the cover portion for rotation therewith. The pressure plate is axially moveable relative to the cover portion. The apparatus also includes a clutch disk at least partially interposed between the flywheel and the pressure plate. The apparatus also includes a plurality of first members extending between the pressure plate and the cover. Each first member exerts a biasing outward radial force on the adjustment aperture to resist axial movement therebetween. A portion of the first member exerts a biasing axial force on the pressure plate thereby biasing the pressure plate toward the flywheel.
US08042672B2 Hydraulic arrangement for controlling a twin-clutch transmission of a motor vehicle
A hydraulic arrangement for controlling a twin-clutch transmission of a motor vehicle. The hydraulic arrangement has a hydraulic energy source for supplying the hydraulic arrangement with hydraulic energy with a hydraulic medium; a pressure accumulator for storing the hydraulic energy; and a clutch cooling device for cooling clutches of the twin-clutch transmission with the hydraulic medium. A transmission lubrication process and/or a transmission cooling process for cooling and/or lubricating a transmission of the twin-clutch transmission with the hydraulic medium is/are carried out, in addition to a prioritization process for prioritizing the supply of the pressure accumulator before the clutch cooling, and the clutch cooling before the transmission lubrication and/or transmission cooling by means of the hydraulic medium.
US08042670B2 Selectable one-way clutch with radial integrated forward/reverse rockers
A selectable one-way clutch assembly (SOWC) includes a first race, a second race, a plurality of rockers, and a selector ring. The first race and the second race each surround the first axis. Each of the rockers selectively hold torque in a rotational direction to establish a clutch operating mode. The selector ring defines a plurality of windows and is configured to rotates about the first axis to align at least one of the windows to establish one of the plurality of different clutch operating modes. The SOWC is engaged by rotating the selector ring about the first axis to align at least one of windows in a predetermined manner. Alignment allows at least one of the rockers to engage the second race in a radial direction to hold torque in at least one rotational direction.
US08042669B2 Rocker-type selectable one-way clutch with neutral position
A SOWC for use with a vehicle transmission is provided. The clutch includes outer and inner elements, also referred to as races, with the latter oriented concentrically within the former about an axis of rotation. A selection mechanism, which may be annular plates operatively connected with the side of the races and with one another, is selectively rotatable with respect to the inner and outer elements between different positions. Pivotable rocker elements are positioned between the races and are movable along cammed slots of the annular plates when the annular plates are rotated to establish a forward, a reverse, and a neutral operating mode corresponding with the different positions of the annular plates. In some embodiments, in the neutral operating mode, none of the rocker elements are in contact with the inner race.
US08042665B2 Multi function torque converter with lever spring and method for controlling hydraulic pressure and flow
A torque converter including an impeller clutch with a first portion connected to a cover for the torque converter and a second portion connected to an impeller; and a lever element in contact with the clutch and displaceable to multiply a first force applied to the lever element for operation of the clutch. In some aspects, the converter includes an impeller piston plate engaged with the lever element and displaceable to apply the force to the lever element. In some aspects, the torque converter includes a torus and a charge chamber for the impeller clutch, a second force is associated with a hydraulic pressure to prevent cavitation in the torus, a third force is required to operate the impeller clutch to transmit a desired torque across the impeller clutch, and the multiplied force is at least equal to a sum of the second force and a third forces.
US08042660B2 Device for braking the movement of a door, drawer or similar movable member
A rotary damper comprises a casing fixable to a structure and which comprises a wall which surrounds a chamber filled with a viscous fluid and has an inner surface facing the chamber. A cover is mounted and welded on a shoulder surface of the wall of the casing in such a way as to close the chamber in a sealed manner. A rotor which is mounted rotatably on the casing and comprises a disc portion, adapted to rotate within the chamber, and has a shaft portion extending axially from the disc portion and emerging through the cover so as to be operationally associable with a movable member. The cover has peripherally a collar-like protuberance, extending along the entire perimeter of the cover and coupled to the inner surface of the wall of the casing in such a way as to oppose the infiltration of the viscous fluid between the collar-like protuberance and the inner surface of the wall.
US08042659B1 Active force generation/isolation system employing Magneto Rheological Fluid (MRF)
A system for reducing vibrations in a rotating system uses a variable viscosity medium that is redistributed in a housing connected to the rotating system. Specifically, the medium is responsive to a control signal that is generated as a function of rotational speed of the rotational system. The control signal activates a triggering device that promotes a change in viscosity of the medium such that it is distributed within the housing in a manner that reduces vibratory loads.
US08042657B2 One-way load self-control spiral type brake
A one-way load self-control spiral type brake, including a reel, two bearing frames on the reel, a brake shaft set between the two bearing frames, and two ends of the brake shaft connecting a transmission shaft. A front end of said brake shaft equipped with a fixing apparatus. Behind the fixing apparatus, a first inner friction piece, a first external friction piece, a second inner friction piece, a second external friction piece, an internal screw thread pressure plate, a tension spring, a connection piece and a brake shaft coupling are provided in sequential order around the brake shaft, and are fixed together by a socket and spigot joint. The inner friction piece and the brake shaft mesh. An external tooth is set on an outer circle of the external friction piece. A front end of the brake shaft coupling is fixed outside of an internal screw thread pressure plate.
US08042656B2 Disk brake
The strength and rigidity of pad springs are increased with a simple structure to prevent deformation and so forth of the pad springs caused by external force. A mounting member is provided with pad springs for resiliently supporting friction pads. Each pad spring has guide plates, a connecting plate, an engagement plate, radially urging portions, circumferentially urging portions, reinforcement, etc. The reinforcement includes a rectilinear reinforcement formed on a flat plate portion of the connecting plate by embossing, for example, and oblique reinforcements formed on joint portions of the connecting plate. Thus, the joint portions and so forth of the pad springs can be prevented from being deformed by external force when the brake is activated or during assembling operation, for example, and hence it is possible to prevent positional displacement of the guide plates, etc. that would otherwise be caused by the deformation of the joint portions.
US08042654B2 Device for securing and lubricating bushings
Disclosed is a device for securing and lubricating a bushing. The device comprises: a first member having a first body portion, a first engaging portion extending outwardly from the first body portion, and at least one channel drilled through a lateral outer surface of the first engaging portion and extending along a complete length of the body portion; and a second member having a second body portion and a second engaging portion disposed internally and along a longitudinal axis of the second body portion. The first engaging portion mounts a bushing covering at least a portion of an opening of the channel on the lateral outer surface and engages with the second engaging portion, thereby sandwiching the bushing between the first member and the second member. Pressurizing a lubricant through the channel transmits the lubricant to an inner surface of the bushing through the opening of the channel on the first engaging portion.
US08042652B2 Tree stand
The tree stand has a lower rectangular platform with an extended opening from the center to an outer edge of the platform for installation around a tree trunk. An upper platform provides a secure seating area. A plurality of support members are pivotally attached to the lower surface of the lower rectangular platform and have teeth on their lower end adapted for securing into the bark of a tree. The tree stand is further secured to the tree by a plurality of angle irons that are mounted at the center of the upper and lower platforms. Adjustable tension straps attach to the angle iron and tighten the platforms to the tree preventing vertical movement of the tree stand. The support members on the lower platform have a hook on the lower end opposite the teeth, and an adjustable tension strap that engages the hooks further tightens the support members against the tree.
US08042651B1 Ladder support device
A portable leveling device capable of supporting any types of ladders, scaffolds, and other objects requiring leveled support regardless of object size when a plurality of the devices is used. The device may be deployed by one hand automatically and instantly quick over a terrain of virtually any profile. The device is capable of projecting an extension ladder position eliminating human error and reducing deployment time when the ladder is actually positioned. The device is capable of indicating deployment faults before use and allows visual inspection of the parts at fault without disassembling. The device is lightweight, can be easily carried with one hand, and stored compactly.
US08042649B2 Vehicular muffler and motorcycle incorporating same
A vehicular muffler is configured and arranged to smoothly introduce exhaust gas into a sound-absorbing material disposed inside of an outer case which has a plurality of relatively short inner sleeves therein. The muffler includes a porous plate which is disposed inside of the outer case, and which is connected to an exhaust pipe, and the porous plate is operatively connected to an outlet pipe which discharges an exhaust gas to the outside environment through an opening formed in an outlet side of the outer case. In one embodiment, the inner sleeves are arranged substantially parallel to each other, and are fluidly connected to a joint exhaust feed pipe disposed inside the outer case. The inner sleeves are connected to the outlet pipe on the outlet side of the outer case.
US08042642B2 Motor vehicle with disconnectable all-wheel drive system
A vehicle with a primary driveline that is configured to distribute rotary power to a first set of vehicle wheels, a power transmitting device and a secondary driveline that is configured to distribute power to a second set of vehicle wheels. The power transmitting device has an input member, which is driven by the primary driveline, and an output member that is selectively coupled to the input member to receive rotary power therefrom. The secondary driveline has a propshaft, an axle input, a pair of axle shafts and at least one torque transfer device. The propshaft transmits rotary power between the output member of the power transmitting device and the axle input. The axle shafts are rotatably coupled to an output of the differential and configured to transmit rotary power to the second set of vehicle wheels. The at least one torque transfer device is configured to selectively inhibit torque transmission between the axle input and the second set of vehicle wheels.
US08042641B2 All wheel drive motorcycle
An all wheel drive motorcycle includes an internal combustion engine having an output shaft extending from the engine. A back wheel drive train is coupled to the output shaft with either a first chain or a first shaft. The first chain or the first shaft couples to a rear wheel drive sprocket or a rear wheel drive gear that couples to the first chain or first shaft, respectively. The motorcycle includes a front wheel drive train that extends from the output shaft to a universal joint that is positioned on the motorcycle within a range of about plus or minus ten degrees of perpendicular to the steering axis of rotation of the front fork where the front fork supports and retains the front wheel. The universal joint and the output shaft are coupled together with either a second drive chain or a second drive shaft. The universal joint includes a stub shaft having a front wheel drive sprocket engaging a front wheel drive chain where the front wheel drive chain also engages a front axle sprocket that supplies a drive force to the front wheel.
US08042638B2 Rotary working machine
Ample spaces are secured on a rotating table frame, in front of and behind a control valve. A rotary working machine where a step is placed on a rotating table frame, at the front of the frame, and a control valve is placed below the step. The step has operation levers and pedals mounted on it. The control valve is connected to the operation levers and the pedals to perform drive control of a hydraulic actuator, and is placed at an incline so as to be high at the front and low at the rear.
US08042637B2 Vehicle-mounted battery cooling structure
A vehicle-mounted battery cooling structure of the present invention includes a battery a cooling fan for cooling the battery, a cooling-medium channel connecting the battery and the cooling fan, and mounting areas located at a front seat side and a rear seat side, respectively, in a vehicle compartment. The battery is disposed in one of the mounting areas, and the cooling fan is disposed in the other one of the mounting areas.
US08042636B2 Saddle-ride type four-wheel vehicle
A water-cooled engine mounted on a vehicle wherein a mass concentration keeps the center of mass at a low position. In a saddle-ride type four-wheel vehicle, a radiator and a fuel tank are supported on lower frames, and are arranged, side by side, so as to be distributed respectively on the right-hand side and on the left-hand side so as to overlap each other when viewed from a side. In addition, the fuel tank and the radiator are disposed at the rear of a front suspension arm and at the rear of an engine. As a result, the concentration of mass and the keeping of the mass at a lower position are achieved. Moreover, the fuel tank and the radiator are allowed to stretch outwards beyond the upper frames. Consequently, the fuel tank can have a larger capacity and a ventilation path that leads to the radiator can be secured.
US08042633B2 Discharging system and electric vehicle
The operation of a discharging apparatus for correcting variations in voltage and remaining capacity among battery blocks forming a battery pack is performed more reliably. When power supply from a battery pack to a motor/generator is not being performed and when a variation amount of remaining capacity among the battery blocks forming the battery pack is larger than a predetermined value, a discharge request section issues a command to start power supply from a battery block to a discharge control unit corresponding to at least a battery block having the largest remaining capacity among the battery blocks. The discharge control unit receives the start command for power supply from the battery block and issues a command to a discharging section to discharge the battery block in response to start of the power supply from the battery block.
US08042632B2 Creep mode propulsion for stop-start hybrid vehicles
A control module including a traffic determination module that determines when a vehicle is in traffic and that selectively generates a traffic signal. The control module also having a creep enable module that generates a creep enable signal based on the traffic signal. The control module further including a power control module that selectively generates an internal combustion engine (ICE) disable signal. The power control module also commands a motor generator unit to produce power based on the creep enable signal as a driver reduces brake pedal pressure.
US08042626B2 Gripping tool
A gripping tool includes a body assembly and gripping assembly with a grip surface adapted to move from a retracted position to an engaged position to radially engage a work piece in response to relative axial displacement. A linkage is provided to act between the body assembly and the gripping assembly which, upon relative rotation in at least one direction, of the body relative to the grip surface results in relative axial displacement of the grip surface to activate the gripping elements. This tool was developed for use on drilling and service rigs having top drives, and supports rapid engagement and release, hoisting, pushing, and rotating.
US08042625B2 Drilling tool
A drilling tool has a body with a main drilling region and a pilot drilling region, eccentric to the main drilling region. The main drilling region has main blades and the pilot drilling region has pilot blades. The main drilling region has a main pass-through diameter, centered upon a first axis, one of the main blades extending to the main pass-through diameter, the pilot region having a pilot gauge diameter, centered upon a second axis of rotation at least one of the pilot blades extending to the pilot gauge diameter, wherein part of a circle of diameter equal to the pilot gauge diameter and centered upon the second axis of rotation extends outside of a circle of diameter equal to the main pass-through diameter and centered upon the first axis.
US08042623B2 Distributed sensors-controller for active vibration damping from surface
An apparatus for controlling a vibration of a drill string, the apparatus including: a plurality of sensors in operable communication with the drill string; and a controller in operable communication with the plurality of sensors, the controller connectable to a drill string motivator and capable of outputting a signal to the drill string motivator to control the vibration of the drill string.
US08042620B2 Manually adjustable packer wheel seeding system and method
An implement includes a frame configured to couple to a tool bar, a linkage coupled to the frame, and a ground engaging tool extending from a shank, wherein the shank is coupled to the linkage. The implement also includes a packer wheel configured to control a position of the ground engaging tool, wherein the packer wheel is positioned behind the ground engaging tool when the ground engaging tool is engaging the soil. Further, the implement includes an adjustment mechanism configured to allow for adjustment of the distance between the packer wheel and the ground engaging tool.
US08042617B2 System and method for deploying one or more tools in a wellbore
A system and method for deploying one or more tools in a wellbore according to which a clamp is coupled to a flexible interconnect.
US08042616B2 Apparatus and methods for drilling a wellbore using casing
Apparatus and methods for drilling with casing. In an embodiment, methods and apparatus for deflecting casing using a diverter apparatus are disclosed. In another embodiment, the apparatus comprises a motor operating system disposed in a motor system housing, a shaft operatively connected to the motor operating system, the shaft having a passageway, and a divert assembly disposed to direct fluid flow selectively to the motor operating system and the passageway in the shaft. In another aspect, methods and apparatus for directionally drilling a casing into the formation are disclosed. Methods and apparatus for measuring the trajectory of a wellbore while directionally drilling a casing into the formation are also described.
US08042614B2 Fiber laden energized fluids and methods of use thereof
The present invention relates to aqueous oilfield treatment fluids containing a gas component and fibers, wherein the fluids may further include a viscosifying agent and/or proppant. The fluids have good proppant suspension and transport properties as well as excellent gas phase stability. Use of fluids comprising an aqueous medium, a gas component, viscosifying agent, and fibers for hydraulically fracturing a subterranean formation, cleanup operations, and gravel packing a wellbore, are also disclosed.
US08042611B2 Field joint for a downhole tool
A field joint for connecting a plurality of downhole tool modules is disclosed. The modules include a housing and an electrical line. A bulkhead is coupled to a first module that includes a first conduit aperture for receiving an electrical connector assembly. The first electrical connector assembly is releasably coupled to the exterior portion of the first module and includes a first connector having a first end adapted for electrical coupling to an electrical line. A connector block is coupled to the second module that includes a second conduit aperture positioned to substantially face the first conduit aperture when the first and second modules are joined. A second electrical connector is disposed in the second conduit aperture and is electrically coupled to an electrical line such that an electrical contact is established with a second end of the first connector when the first and second modules are joined.
US08042610B2 Parallel heater system for subsurface formations
A heating system for a subsurface formation is disclosed. The system includes a plurality of substantially horizontally oriented or inclined heater sections located in a hydrocarbon containing layer in the formation. At least a portion of two of the heater sections are substantially parallel to each other. The ends of at least two of the heater sections in the layer are electrically coupled to a substantially horizontal, or inclined, electrical conductor oriented substantially perpendicular to the ends of the at least two heater sections.
US08042607B2 Conducting device including a corrugated fin for a heat exchanger
A conducting device, such as a corrugated fin, for a heat exchanger, has at least one surface with an increased microscopic roughness. A method increases the microscopic roughness of at least one surface of a conducting device such as a corrugated fin. A heat exchanger, such as an evaporator for an air-conditioning system of a motor vehicle, has tubes through which a medium flows and between which conducting devices are arranged, the conducting devices having a further medium, such as moist air, flowing around them.
US08042606B2 Minimal-temperature-differential, omni-directional-reflux, heat exchanger
A substrate formed of a suitable conductive-heat-transfer material is formed with small channels of a size selected to provide surface tension forces dominating a motion of a liquid-phase working fluid. A space above the channels of the substrate provides comparatively unobstructed space for the transport motion of a vapor phase of the working fluid effecting a heat-pipe effect in a multi-dimensional device. Channels may typically be formed in an orthogonal grid providing capillary return of liquids from a comparatively cooler condensation region to a comparatively warmer evaporation region, without any wicks other that the adhesion of the liquid phase working fluid to the vertices of the channels. Interference between the boundary layers of the liquid phase and the vapor phase of the working fluid are minimized by the depth of the channels, and the pedestals formed by the channel walls. Extremely small temperature differentials are thereby achieved between an outer surface of the substrate and an inner surface of the substrate when the liquid phase floods the substrate.
US08042600B2 Apparatus for producing alloy
A production apparatus is provided which can produce an alloy which can produce a rare-earth magnet having high coercive force. The apparatus for producing an alloy is a device that includes at least a casting device for casting a molten alloy by a strip casting method, a crushing device for crushing cast alloy after casting, a heat-retaining device for maintaining temperature of cast alloy flakes N supplied from the crushing device, and a storage container for storing the cast alloy flakes N after maintaining the temperature. The heat-retaining device includes a heat-retaining container for storing the cast alloy flakes N supplied from the crushing device, a temperature retaining heater for maintaining the temperature of the cast alloy flakes N in the heat-retaining container, an inclination device for sending the cast alloy flakes N in the heat-retaining container to the storage container by inclining the heat-retaining container.
US08042598B2 Reduced visibility insect screen
An insect screen of increased invisibility can be created by using small wire diameter elements and/or increasing the mesh density of the screen. The combination of small wire diameter and increased mesh density provide a screen with a higher Dalquist Rating that becomes invisible at closer distances. A “sweet spot” exists at which a screen with a combination high mesh density and small wire diameter is less visible, while still providing the strength, durability, and quality desired. Further, screens with properties in proximity to this sweet spot also provide a marked increase in invisibility.
US08042591B2 Paper beading apparatus for wallboard corner beads
A paper beading apparatus, includes a hopper having a body defining a chamber for holding a quantity of joint compound, and having an open upper end, an upper rim, and a lower end; a lid pivotally secured to the body for movement between an open position and a closed position, wherein in the closed position, the lid engages the open upper rim, the lid having a releasably sealable air port; and a base configured for and accommodating the hopper lower end, for receiving joint compound, and for applying the joint compound to a corner paper bead.
US08042572B2 Controllable pressure-reducing valve and device for the generation of pressure change signals
A controllable pressure reducing valve has a housing which includes an inner chamber extending in the direction of a longitudinal axis and an inlet opening and an outlet opening. The inlet opening and the outlet opening open into the inner chamber at an axial relative distance. The inner chamber includes between the mouths of the inlet opening and the outlet opening at least one annular wall having a cylindrical valve bore. An axially movable valve body which is arranged in the inner chamber, extends through the valve bore and has a piston-type portion guided in the valve bore and adapted to close the valve bore. The piston-type portion includes at least one control recess bounded by a control step which extends in axial direction and in circumferential direction and combines with an edge of the valve bore to form a controllable valve opening.
US08042562B1 Portable shelters, related shelter systems, and methods of their deployment
Portable shelters, shelter systems, and methods of deployment of such shelters are described. The shelters or housing units may be deployed in emergency housing situations and may be grouped into systems of shelters. One particular embodiment comprises a rigid top frame structure, a rigid bottom frame structure, and one or more collapsible support arms connecting the top frame structure to the bottom frame structure. The frame structures and the collapsible support arm(s) are sized and configured to form a shelter frame when the collapsible support arm(s) are in a fully extended position, and the top frame structure is brought into proximity with the bottom frame structure when the collapsible support arm(s) are in a collapsed position. Methods of rapid deployment of the shelters and systems of shelters are also described.
US08042561B2 Auxiliary curtain
A curtain suitable for attachment to the perimeter of an umbrella has a flexible sheet with an upper edge, a lower edge, a first side edge and a second side edge. The flexible sheet also has a front surface and a rear surface, each surface defining an area between about 8 square feet and about 120 square feet. Still further, the flexible sheet has one or more upper edge fastener portions disposed proximate to the upper edge for attaching the upper edge to a foreign surface, and has reversible retaining means for retaining the lower edge at a plurality of locations on the flexible sheet above the lower edge. The reversible retaining means are adapted such that, when the upper edge is attached to an umbrella, the vertical distance between the upper edge and the lowermost portion of the flexible sheet is adjustable by the user without the use of tools.
US08042560B2 Substrate processing apparatus
A substrate processing apparatus includes a rotary cup disposed outside a substrate holding member to surround a substrate held on the substrate holding member and to rotate along with the substrate holding member, and having a wall portion that receives a process liquid thrown off from the substrate being rotated. Further, this apparatus includes an exhaust and drain cup disposed outside the rotary cup to surround the rotary cup and the substrate holding member, and including an annular liquid receptacle that receives the process liquid thrown off from the substrate being rotated and an inner annular space formed on an inward side from the annular liquid receptacle. An exhaust mechanism is connected to the inner annular space of the exhaust and drain cup.
US08042558B2 High-pressure water cleaning system
A high-pressure water cleaning system includes a cleaning main body, a support frame member having a length which is larger than a width of an object, the support frame member being supported at extended end portions thereof at both sides by bearing units and eccentric rotational shafts such that the support frame member is eccentrically rotatable, the eccentric rotatable shafts being configured to rotate to cause the support frame member to perform rotational motion, a plurality of high-pressure water ejecting nozzles which are arranged on the surface of the support frame member to be equally spaced apart from each other and are directed to face the object, and a drive device configured to cause the eccentric rotational shafts to rotate. The high-pressure water ejecting nozzles are supplied with the high-pressure water and eject the high-pressure water to the object being moved at the constant speed while performing the rotational motion.
US08042554B2 Applicator especially for mascara
In an applicator, especially for mascara, hair dye, or similar cosmetics, including an oblong main body connectable to a rod. The main body is made of a comparatively hard synthetic material. A tubular bristle body having bristle-like, radially outwardly projecting extensions made of a relatively soft synthetic material, is also included. In order to attain an advantageous producibility and sturdy handling properties, the bristle body is axially fixed relative to the main body.
US08042552B2 Incorporation of ammonia-release compounds in smoking articles
A tobacco smoking mixture including an ammonia-release compound adapted to be formed into a lit-end cigarette is provided. The ammonia-release compound is incorporated in or on tobacco cut filler in an amount effective to reduce the cytotoxicity, and/or selective smoke constituents of cigarette smoke. Exemplary ammonia-release compounds include ammonium acetate, ammonium hydroxide, hexammine cobalt (III) chloride, hexammine cobalt (III) acetate, and combinations thereof. The ammonia-release compounds can release ammonia at temperatures between about 60° C. and about 400° C. Ammonia-release compounds can be combined with additives, such as glycerine, cobalt acetate, copper (II) acetate, zinc acetate, other metal salts or combinations thereof, to further reduce the cytotoxicity of cigarette smoke.
US08042548B2 Occlusion of fallopian tubes
A method for inducing Fallopian tube blockage as a means for female contraception comprises contacting the inner surface tissue of a Fallopian tube with a silver nitrate bearing substrate and delivering an amount of silver nitrate to the tissue sufficient to induce blockage of the Fallopian tube. At least one silver nitrate bearing bead is introduced through the uterine opening of the Fallopian tube by use of a catheter or other device suitable for manipulating the bead. Alternatively, a plurality of beads can be introduced into the Fallopian tube. In a preferred embodiment, one or more silver nitrate bearing beads are arranged on a string to facilitate later removal of the beads. The method of the present invention delivers an amount of silver nitrate to the tissue sufficient to cause tissue necrosis and blockage of the Fallopian tube.
US08042547B2 Respiratory shield
In an embodiment, a respiratory shield is disclosed. The respiratory shield is sized to be coupled with a respiratory device having a nasal air intake member so as to be positioned along an oral airflow axis.
US08042546B2 Nasal assembly
A nasal assembly for delivering breathable gas to a patient includes a frame having an integrally formed first connector portion. A nozzle assembly includes a gusset or base portion and a pair of nozzles. At least one inlet conduit is structured to deliver breathable gas into the frame and nozzle assembly for breathing by the patient. A pair of second connector portions are removably and rotatably connected to respective first connector portions of the frame and are in communication with respective inlet conduits, e.g., directly or via angle connectors. A headgear assembly is removably connected to the pair of second connector portions and/or the angle connectors so as to maintain the frame and the nozzle assembly in a desired adjusted position on the patient's face.
US08042539B2 Hybrid ventilation mask with nasal interface and method for configuring such a mask
A nasal ventilation interface and method for providing nasal ventilation to a patient includes a hybrid face mask, covering only the mouth, coupled with removable nasal inserts extending from the upper surface of the mask. The nasal interface has modular, removable, and disposable nasal pillows connecting the upper surface of the mouth-portion of the mask to the user's nares. Each part of the hybrid mask is modular and can be formed in various shapes and sizes. The ventilation interface has differing gas line entry ports and can be shallow with a relatively deep soft facial interface or deep with a relatively shallow facial interface. In either case, the upper surface receives the nasal interface. The upper surface can have a removable ceiling in which is defined a nasal interface connector. The nasal interface can be integral with a removable ceiling. The entire hybrid mask can be made in one piece.
US08042538B2 Nasal mask assembly
A mask system is provided to fit pre-adult patients, or patients having facial features that are very small or child-like, e.g., patients having dimensions in the lower 5%-10% of the population. For example, the headgear and/or cushion are dimensioned to accommodate this range of patients.