Document Document Title
US07999102B2 Cross-linked cyclic amine compounds and agents for pest control
Cyclic amine compounds represented by formula (1) or salts thereof or N-oxides thereof, wherein Cy1 represents an unsubstituted or substituted aromatic ring; X represents oxygen, sulfur, unsubstituted or substituted nitrogen, sulfinyl, or sulfonyl; R1a and R2a, R1a and R4a, R2a and R3a, or R3a and R4a form saturated rings together; R1a, R1b, R2a, R2b, R3a, R3b, R4a, R4b, and R5 which do not form the saturated rings are each independently hydrogen, hydroxyl, halogen, unsubstituted or substituted amino, nitro, or an organic group; Cy2 represents an unsubstituted or substituted aromatic ring with a proviso that Cy2 is an unsubstituted or substituted heteroaromatic ring when R1a and R2a form a saturated ring together and Cy1 is an unsubstituted or substituted phenyl, and Cy2 is a substituted pyridin-2-yl having one or more cyano as a substituent when Cy1 is an unsubstituted or substituted phenyl and Cy2 is a pyridin-2-yl.
US07999101B2 Cationic lipids for the transfection of nucleic acids
The present invention relates to cationic lipids capable of forming complexes with nucleic acids and the use thereof for the transfection of eukaryotic cells. The cationic lipids according to the invention have general formulas (I) and (Ia): (see formulas (I) and (Ia), wherein E is a heteroaryl; R1 and R2 are selected from H, —R7—NH2, alkyl; R7 is selected from alkyl, alkenyl, aryl, (C1-C20) alkyl-aryl-(C0-C20) alkyl; R3 and R4 are selected from: H, —R8—SH, R8—NH—NH2/—R8—CO—R9 or —R8—NH2; R8 is selected from: alkyl, alkenyl, aryl, (C1-C20) alkyl-aryl-(C0-C20) alkyl; R9 is selected from: H, alkyl; R5 and R6 are selected from: H, alkyl, alkenyl, aryl, (C1-C20) alkyl-aryl.
US07999097B2 siRNA targeting beta secretase (BACE)
Efficient sequence specific gene silencing is possible through the use of siRNA technology. By selecting particular siRNAs by rational design, one can maximize the generation of an effective gene silencing reagent, as well as methods for silencing genes. Methods, compositions, and kits generated through rational design of siRNAs are disclosed including those directed to nucleotide sequences for BACE.
US07999096B2 Anti-myosin Va siRNA and skin depigmentation
The present invention relates to novel isolated siRNAs comprising a sense RNA strand and a complementary antisense RNA strand which together form an RNA duplex, characterized in that the sense RNA strand comprises a sequence which has at most one nucleotide that is distinct in relation to a fragment of 14 to 30 contiguous nucleotides of the nucleotide sequence of exon F of the gene encoding the myosin Va protein, and also to compositions comprising at least one such siRNA, and to the use of at least one such siRNA as a cosmetic or therapeutic agent for skin depigmentation.
US07999095B2 Nucleic acid supported protein complementation
The present invention is directed to novel methods for in vitro and in vivo detection of target nucleic acid molecules, including DNA and RNA targets, as well as nucleic acid analogues. The present invention is based on protein complementation, in which two individual polypeptides are inactive. When the two inactive polypeptide fragment are brought in close proximity during hybridization to a target nucleic acid, they re-associate into an active, detectable protein.
US07999094B2 Promoter molecules for use in plants
The present invention relates to polynucleotide molecules for regulating gene expression in plants. In particular, the present invention relates to promoters isolated from Brassica napus that are useful for regulating gene expression of heterologous polynucleotide molecules in plants. The present invention also relates to expression constructs and transgenic plants containing the heterologous polynucleotide molecules.
US07999092B2 Amplicon rescue multiplex polymerase chain reaction for amplification of multiple targets
Disclosed is a method for amplifying and detecting polynucleotides which can provide sensitive, specific detection of multiple targets from a clinical specimen within a relatively short time.
US07999090B2 Fungal cell wall synthesis gene
The present invention provides isolated DNA encoding a GWT1 protein having activity to confer resistance of a fungus against a compound of formula Ia, and wherein a defect of a function of the GWT1 protein leads to a decrease in the amount of a glycosylphosphatidylinositol (GPI)-anchored protein in the cell wall of a fungus.
US07999089B1 RNA aptamers and the uses thereof
The present invention relates to RNA aptamers and uses thereof, more precisely RNA aptamers interfering the interaction of TCF with other proteins by binding specifically to β-catenin, RNA aptamers binding specifically to HMG domains of TCF-I proteins and uses of the same. The RNA aptamer of the present invention can be effectively used for the development of an anticancer agent since it binds specifically to TCF-I to interrupt the interaction of TCF with β-catenin involved in tumorigenesis and metastasis and the transcriptional activity of TCF-I in relation to oncogenes.
US07999086B2 Method of conditioning azo pigments containing carboxylic ester groups
The invention provides a method of conditioning azo pigments containing carboxylic ester groups, using glycol monoalkyl ethers or mixtures of glycols and glycol dialkyl ether.
US07999085B2 Enhanced capacity and purification of protein by mixed mode chromatography in the presence of aqueous-soluble nonionic organic polymers
This invention relates to the use of mixed mode chromatography for purification of a protein from a mixture containing other materials, including fragmented or aggregated antibodies, host cell proteins, DNA, endotoxin, and/or virus. This invention further relates to the integration of such a method into a multi-step procedure with other fractionation methods for purification of antibodies or other proteins suitable for in vivo applications.
US07999084B2 Devices and methods for reducing matrix effects
Devices and methods are provided for reducing matrix effects in protein precipitated bioanalytical samples comprising: a support, and a sorbent associated with the support capable of binding matrix interfering agents present in the bioanalytical sample, wherein the device further comprises filtering means for removing precipitated protein particles. The filtering means is a size exclusion filter or a polymeric or inorganic monolith having a maximum pore size less than or equal to the diameter of the particles to be removed from the sample, and can be integral with the sorbent or associated with the sorbent. The sorbent is characterized by sufficient selectivity between the matrix interfering agents and analytes of interest to provide retention of the matrix interfering agents while providing elution of the analytes of interest (e.g., a reversed phase or a polar modified reversed phase). Typical devices incorporating these features include luer syringe filters, individual filter cartridges, multiwell plates, pipette tips, or inline columns for multiple or single use.
US07999081B2 Anti-C5 alpha antibodies
The present invention refers to recombinant antibodies of human origin specific for the C5 component of the activated complement and characterised by the ability to inhibit the conversion of the C5 alpha chain to C5a and C5b. Moreover the present invention refers to the nucleotide sequences coding for such antibodies and to the therapeutic use of both polypeptide and nucleotide sequences, in particular for the therapy of diseases involving tissue damage deriving from uncontrolled activation of the complement system.
US07999070B2 Process for screening of a binding peptide specific for specific RNA and RNA binding peptides therefrom
The present invention relates to a screening method for RNA specific binding peptide using alpha-helical peptides. The screening method for RNA specific binding peptide of the present invention using alpha-helical peptides enables the selection of a peptide having strong binding capacity to a specific RNA having particular morphology and nucleotide sequence and the investigation of functions of RNA using the selected peptides, and is very useful for the production of a new drug using synthetic peptide having more powerful and specific binding capacity to RNA than those of natural peptides.
US07999068B2 Process for the preparation of bicyclic peptide compounds
A new process for the preparation of bicyclic peptide compounds Formula (I) in high yields of high purity, useful as intermediates for preparing compounds with pharmacological activity, is described.
US07999067B2 Protease resistant mutants of stromal cell derived factor-1 in the repair of tissue damage
The present invention is directed stromal cell derived factor-1 peptides that have been mutated to make them resistant to digestion by the proteases dipeptidyl peptidase IV (DPPIV) and matrix metalloproteinase-2 (MMP-2) but which maintain the ability of native SDF-1 to attract T cells. The mutants may be attached to membranes formed by self-assembling peptides and then implanted at sites of tissue damage to help promote repair.
US07999065B2 Hydrophobically modified polyamine scale inhibitors
Hydrophobically modified Si-containing polyamines are useful for treating scale in industrial process streams. Preferred hydrophobically modified Si-containing polyamines are particularly useful for treating aluminosilicate scale in difficult-to-treat industrial process streams, such as in the Bayer alumina process streams, nuclear waste streams and kraft paper mill effluent streams.
US07999058B2 Method for producing aromatic polymer
A method for producing an aromatic polymer includes polycondensing an aromatic compound represented by formula (I) in the presence of a nickel complex containing a phosphine compound represented by formula (II), wherein, Ar denotes an aromatic ring containing organic group, which aromatic ring may contain an oxygen atom and/or a nitrogen atom; R denotes a monovalent group containing a hydrocarbon group; k is an integer of 1 or more; X denotes an halogen atom, a nitro group or a group represented by —SO3Q, wherein Q denotes a monovalent hydrocarbon group; Y denotes O, S, an imino group, an ethenylene group, or an ethynylene group; n denotes 0 or 1; and M denotes H, —B(OQ1)2, —Si(Q2)3, —Sn(Q3)3 or —Z1(Z2)m, wherein Q1 denotes H or a monovalent hydrocarbon group; Q2 and Q3 denote a monovalent hydrocarbon group; Z1 denotes a metal atom or a metal ion; Z2 denotes a counter ion; and m is an integer of 0 or higher; wherein, R1 denotes a monovalent hydrocarbon group, and R2 denotes a divalent hydrocarbon group.
US07999056B2 Continuous production method and production apparatus of aromatic polycarbonate
The object of the present invention is to provide a method of producing plural kinds of aromatic polycarbonates by a melt process without changeover loss and quality deterioration. The present invention relates to a production method of an aromatic polycarbonate, comprising supplying a molten mixture of raw materials of an aromatic dihydroxy compound and/or a carbonic diester prepared in the absence of an ester exchange catalyst to polycondensation steps of two lines each equipped with three vertical reactors connected in series and one horizontal reactor, and at the same time, continuously conducting polycondensation reaction in the presence of an ester exchange catalyst.
US07999051B2 Furanone copolymers
The present disclosure provides copolymers including a first monomer including at least one phospholipid possessing at least one vinyl group and a second monomer including a furanone possessing vinyl and/or acrylate groups. Compositions, medical devices, and coatings including such copolymers are also provided.
US07999049B2 Process for producing fluoroelastomers
An emulsion polymerization process for the production of fluoroelastomers is disclosed wherein at least one fluorosurfactant is employed as dispersant, said fluorosurfactant being a fluoroalkylphosphoric acid ester of the formula X—Rf-(CH2)n—O—P(O)(OM)2, wherein n=1 or 2, X=H or F, M=a univalent cation, and Rf is a C4-C6 fluoroalkyl or fluoroalkoxy group. Optionally, a second dispersing agent may be employed in the polymerization, said second agent being a perfluoropolyether having at least one endgroup selected from the group consisting of carboxylic acid, a salt thereof, sulfonic acid and a salt thereof, phosphoric acid and a salt thereof.
US07999045B2 Method for producing aqueous polymer dispersion
A process for preparing an aqueous polymer dispersion using flush water.
US07999043B2 Multistage process for the polymerization of olefins
A multistage process for the homo or copolymerization of ethylene carried out in the presence of a catalyst system comprising at least one polymerization catalyst (A) based on a late transition metal component having a tridentate ligand which bears at least two ortho.ortho-disubstituted aryl radicals, at least one Ziegler polymerization catalyst (B), and at least a Lewis acid activating compound (C), wherein the molar ratio of the metal of the activating compound (C) to the late transition metal of catalyst (A) is different in the two polymerizations steps. Catalysts (A) and (B) may be selectively controlled by varying the amount of activating compound (C).
US07999042B2 Low coefficient of thermal expansion (CTE) thermosetting resins for integrated circuit applications
An embodiment of the present invention is a technique to form a resin. A mixture is formed by a curing agent dissolved in the epoxy resin. The epoxy resin contains a first rigid rod mesogen. The curing agent contains a second rigid rod mesogen and one of a hydroxyl, amine, and anhydride.
US07999036B2 Rubber composition and tire using same
The present invention provides a rubber composition capable of improving grip performance and abrasion resistance and a tire prepared by using the rubber composition. The rubber composition of the present invention comprises 0.5 to 150 parts by weight of thermoplastic polyurethane prepared from a conjugated diene polymer modified with hydroxyl groups at both ends thereof or an aromatic vinyl-conjugated diene copolymer modified with hydroxyl groups at both ends thereof based on 100 parts by weight of a rubber component comprising a diene rubber. The tire of the present invention is prepared by using the rubber composition.
US07999034B2 Benefit compositions and methods
A textile benefit composition comprising a polyurethane and/or a polyurethane co-polymer; a cross-linking agent; a catalyst; and a carrier and processes for making and using the aforementioned compositions and textiles treated with such compositions are disclosed.
US07999030B2 Latex compositions comprising functionalized vegetable oil derivatives
An ethylenically unsaturated vegetable oil is modified by the addition of an enophile or dienophile having an acid, ester or anhydride functionality. The modified vegetable oil is then reacted with a polyethylene glycol (PEG) derivative along with a functional vinyl monomer or a polyethylene glycol derivative that contains a vinyl functionality to form a vegetable oil derivative. The vegetable oil derivative is useful in forming latexes and coatings.
US07999029B2 Polyhedral-modified polymer
A macromolecule including a polymer and a polyhedral radical chemically bonded to a terminus of the polymer provides numerous processing and performance advantages. Further functionalization of this macromolecule also is described as being advantageous in certain circumstances. Methods of providing, functionalizing, and utilizing the macromolecule also are provided.
US07999024B2 Method of purifying a polymer
A method for purifying a polymer comprising providing a first mixture comprising at least one solvent and at least one polymeric material, diluting the first mixture with veratrole to produce a second mixture in which the polymeric material is substantially dissolved at a temperature less than 100° C., performing at least one step selected from (i) contacting the second mixture with an aqueous wash solution, and (ii) filtering the second mixture.
US07999019B2 Golf ball
Golf ball 2 has core 4, cover 6 and paint layer 12. The base polymer of the cover 6 includes a thermoplastic polyurethane elastomer. The cover includes 0.1 parts by weight or more and 10 parts by weight or less of a hindered amine heat and light resistance stabilizer having a hindered phenol group, per 100 parts by weight of the base polymer. Preferably, the hindered amine heat and light resistance stabilizer has two or more hindered phenol groups in the molecule. It is preferred that the cover 6 further includes an ultraviolet ray absorbing agent and a heat resistance stabilizer. Provided that the molar concentration of the hindered amine heat and light resistance stabilizer is defined as A, and the molar concentration of the ultraviolet ray absorbing agent is defined as B, the molar ratio (A/B) is preferably 0.01 or greater and 2.5 or less. The cover 6 may further include a heat resistance stabilizer.
US07999017B2 Method for coloring building materials using a cationic pigment dispersion
A cationic dispersion which includes at least one pigment, water, and at least one quaternary salt of a styrene maleimide imide resin in an amount effective to disperse the organic pigment. A method for preparing the cationic dispersion includes (i) mixing, at 500 to 10,000 rpm, at least one pigment; water; and either (a) at least one a quaternary salt of a styrene maleimide imide resin or (b) at least one styrene maleimide imide resin in combination with at least one weak acid, thereby forming a dispersion premix; (ii) milling the dispersion premix in a mixer filled with ceramic, metal or glass beads for a period of time sufficient to reduce pigment agglomerates to primary particles, thereby forming a non-standardized dispersion; and (iii) standardizing the dispersion against a color standard by adding water. The resulting cationic dispersion exhibits good alkali resistance and lightfastness, and can be used to integrally color concrete and other building materials.
US07999014B2 Flame retardant and polymer composition using the same
A flame retardant suitable for manufacturing a polymer composition is provided. The polymer composition is used for forming a cured film in which a balance among flame retardancy, adhesion, chemical resistance, heat resistance, and elasticity, and so on, is provided. A flame-retardant polymer composition with an excellent balance among the above properties is also provided. The flame retardant of the invention has a structure of Formula (1), (2), or (3): (in which, R1 is hydrogen or methyl, R2 is C2-20 alkylene or C2-20 alkylene in which any —CH2— is replaced by —O—, R3 and R4 are C1-20 alkyl, phenyl, and phenyl substituted by C1-5 alkyl or phenyl, R3 and R4 may also be an integrally-formed cyclic group, and p and q are 0 or 1).
US07999011B2 Method for cardioprotection and neuroprotection by intravenous administration of halogenated volatile anesthetics
Provided is a method of treating a patient having a tissue that is subject to an ischemic event. The method is conducted by parenterally administering a formulation containing a halogenated volatile anesthetic in an amount effective to improve the tissue's resistance to or tolerance of the ischemic event. In preferred embodiment of the invention, the amount of the formulation administered to the patient is sub-anesthetic. The formulation can be administered prior to, concurrently with, or after the ischemic event. The method can be used, for example, for treatment of patients having myocardial or neuronal tissue that is subject to an ischemic event.
US07999009B2 Strontium salts of sulphonic acids, a process for their preparation and pharmaceutical compositions containing them
Strontium salts of the sulphonic acids of formula (I): A-B—SO3H  (I), wherein: A represents a group selected from OH, NH2, SO3H and CO2H, B represents an arylene group or an optionally substituted linear or branched C1-C12alkylene chain wherein one or more carbon atoms of the alkylene chain may be optionally replaced by an oxygen atom, by a nitrogen atom or by an SO2 group. Medicinal products containing the same which are useful in the treatment of osteoarthritis and osteoporosis.
US07999008B2 Urea compounds that promote desquamation
The invention relates to the use of at least one urea derivative of the following formula (I) in a composition containing a physiologically acceptable medium, as agent intended for stimulating desquamation of the skin and/or the mucous membranes. It also relates to a method of cosmetic treatment and cosmetic agents containing it.
US07999005B2 Growth stimulant compositions
An improved weight and growth stimulant for domesticated animals such as cattle, pigs and sheep is comprised of an anabolic agent that is subcutaneously administered in the form of a dual release implant formulation. Increased gains are particularly improved when zeranol is administered in an immediate-release and controlled-release formulation which allows for a one-time dosage injection.
US07998998B2 Therapeutic substituted lactams
Disclosed herein are compounds having a formula: (I) Compositions, methods, and medicaments related thereto are also disclosed.
US07998994B2 Solid forms of (1R,2S,3R)-1-(2-(isoxazol-3-yl)-1H-imidazol-4-yl)butane-1,2,3,4-tetraol and methods of their use
Solid forms of (1R,2S,3R)-1-(2-(isoxazol-3-yl)-1H-imidazol-4-yl)butane-1,2,3,4-tetraol and hydrates thereof are disclosed, as well as compositions comprising them and methods of their use.
US07998993B2 TRPV1 antagonists
Compounds of formula (I) wherein R1, R2, R4, and W are defined in the description are TRPV 1 antagonists with CNS penetration. Compositions comprising such compounds and methods for treating conditions and disorders using such compounds and compositions are also disclosed.
US07998991B2 Neurotherapeutic treatment for sexual dysfunction
A method for improving sexual function is described. A mammal suffering from sexual dysfunction or otherwise in need of enhanced sexual function is administered a compound selected from those that are capable of inhibiting the activity of β-lactams, penicillin-binding proteins, and carboxypeptidases. Such compounds, including particularly β-lactam ring-containing compounds, can be used to formulate pharmaceutical formulations useful for improving sexual function.
US07998989B2 2-Imino-1,3-thiazoline-based compounds and T-type calcium channel inhibitors containing the same
Novel 2-imino-1,3-thiazoline based compounds represented by Chemical Formula I, and T-type calcium channel inhibitors containing the compound are provided. The T-type calcium channel inhibitors according to the present invention are useful as a treating agent of diseases associated with over-expression of the T-type calcium channel.
US07998986B2 Modulators of LXR
Compounds, compositions and methods for modulating the activity of nuclear receptors are provided. In particular, heterocyclic compounds are provided for modulating the activity of nuclear receptors, including liver X receptor (LXR) and orphan nuclear receptors. In certain embodiments, the compounds are N-substituted pyridones.
US07998983B2 Substituted isoxazoles as fungicides
The present invention provides compounds of formula I: along with methods of making the same, compositions thereof, and methods of use thereof, particularly methods of use as fungicides.
US07998981B2 Aminoaryl sulphonamide derivatives as functional 5-HT6 ligands
The present invention provides aminoaryl sulphonamide derivatives of formula (I), useful in the treatment of a CNS disorder related to or affected by the 5-HT6 receptor. Pharmacological profiles of these components include high affinity binding with 5-HT6 receptors along with good selectivity towards the receptor. The present invention also includes stereoisomers, the salts, methods of preparation and medicine containing the aminoaryl sulphonamide derivatives.
US07998980B2 Compounds for modulating TRPV3 function
The present application relates to compounds and methods for treating pain and other conditions related to TRPV3.
US07998979B2 Antibacterial quinoline derivatives
The present invention relates to novel substituted quinoline derivatives according to the general formula (Ia) or formula (Ib): including any stereochemically isomeric form thereof, a pharmaceutically acceptable salt thereof, a N-oxide form thereof or a solvate thereof. The claimed compounds are useful for the treatment of a bacterial infection. Also claimed is a composition comprising a pharmaceutically acceptable carrier and, as active ingredient, a therapeutically effective amount of the claimed compounds, the use of the claimed compounds or compositions for the manufacture of a medicament for the treatment of a bacterial infection and a process for preparing the claimed compounds.
US07998978B2 Substituted 2-amino-fused heterocyclic compounds
The present invention relates to compounds of formula (I), or a pharmaceutically acceptable salt or solvate thereof, wherein: R1, R2, Z1, t, and ring A are as defined in the specification. The invention also relates to pharmaceutical compositions comprising the compounds of formula (I) and methods of treating a condition that is mediated by the modulation of JNK, such as diabetes, the method comprising administering to a mammal an effective amount of a compound of formula (I).
US07998972B2 1H-imidazo[4,5-c]quinoline derivatives in the treatment of protein kinase dependent diseases
The invention relates to the use of imidazoquinolines and salts thereof in the treatment of protein kinase dependent diseases and for the manufacture of pharmaceutical preparations for the treatment of said diseases, imidazoquinolines for use in the treatment of protein kinase dependent diseases, a method of treatment against said diseases, comprising administering the imidazoloquinolines to a warm-blooded animal, especially a human, pharmaceutical preparations comprising an imidazoquinoline, especially for the treatment of a protein kinase dependent disease, novel imidazoquinolines, and a process for the preparation of the novel imidazoquinolines.
US07998965B2 Glutamate aggrecanase inhibitors
The present invention relates to modulators of metalloproteinase activity.
US07998964B2 N-hydroxyamide derivatives and use thereof
The present invention is related to N-hydroxyamide derivatives of Formula (I) and use thereof in particular for the treatment and/or prophylaxis of autoimmune disorders, inflammatory diseases, cardiovascular diseases, neurodegenerative diseases, cancer, respiratory diseases and fibrosis, including multiple sclerosis, arthritis, emphysema, chronic obstructive pulmonary disease, liver and pulmonary fibrosis.
US07998960B2 Affinity adsorbents for plasminogen
For the separation, removal, isolation, purification, characterization, identification or quantification of plasminogen or a protein that is a plasminogen analogue, an affinity adsorbent is used that is a compound of formula (II) wherein one X is N and the other is N, C—Cl or C—CN; A is a support matrix, optionally linked to the triazine ring by a spacer; Z is O, S or N—R and R is H, C1-6 alkyl, C1-6 hydroxyalkyl, benzyl or &bgr;-phenylethyl; B is an optionally substituted hydrocarbon linkage containing from 1 to 10 carbon atoms; D is H, OH or a primary amino, secondary amino, tertiary amino, quaternary ammonium, imidazole, guanidino or amidino group; or B-D is —CHCOOH—(CH2)3-4—NH2; and q is 2 to 6.
US07998957B2 Oxabicycloheptanes and oxabicylcoheptenes, their preparation and use
This invention provides compounds having the structure which may be used for the treatment of tumors.
US07998951B2 HCV NS5B inhibitors
The invention encompasses compounds of Formula I as well as compositions and methods of using the compounds. The compounds have activity against hepatitis C virus (HCV) and are useful in treating those infected with HCV.
US07998948B2 Pharmaceutical composition for treating esophageal cancer
A method of treating esophageal cancer, containing the step of administering to a patient suffering from esophageal cancer, a compound or a salt thereof, wherein the compound is: N-(2-fluoro-4-{[2-({[4-(4-methylpiperazin-1-yl)piperidin-1-yl]carbonyl}amino)pyridin-4-yl]oxy}phenyl)-N′-(4-fluorophenyl)cyclopropane-1,1-dicarboxamide, N-[4-({2-[(azetidin-1-ylcarbonyl)amino]pyridin-4-yl}oxy)-2-fluorophenyl]-N′-(4-fluorophenyl)cyclopropane-1,1-dicarboxamide, N-{2,5-difluoro-4-[(2-{[(3-hydroxyazetidin-1-yl)carbonyl]amino}pyridin-4-yl)oxy]phenyl}-N′-(4-fluorophenyl)cyclopropane-1,1-dicarboxamide, N-(2,5-difluoro-4-{[2-({[4-(4-methylpiperazin-1-yl)piperidin-1-yl]carbonyl}amino)pyridin-4-yl]oxy}phenyl)-N′-(4-fluorophenyl)cyclopropane-1,1-dicarboxamide, N-(2,5-difluoro-4-{[2-({[methyl(1-methyl-piperidin-4-yl)amino]carbonyl}amino)pyridin-4-yl]oxy}phenyl)-N′-(4-fluorophenyl)-cyclopropane-1,1-dicarboxamide, or N-(2,5-difluoro-4-{[2-({[3-(hydroxymethyl)azetidin-1-yl]carbonyl}amino)pyridin-4-yl]oxy}phenyl)-N′-(4-fluorophenyl)cyclopropane-1,1-dicarboxamide.
US07998944B2 Polymerizable compound and use thereof
The present invention is to provide a polymerizable compound which can be a raw material for a resin having high transparency, good heat resistance and mechanical strength required for optical components such as plastic lenses and the like, while attaining a high refractive index (nd) exceeding 1.7, and an optical component composed of such a resin.Disclosed is a compound represented by the general formula (3), wherein, in the formula, M represents a metal atom; X1 and X2 each independently represent a sulfur atom or an oxygen atom; R1 represents a divalent organic group; m represents an integer of 0 or 1 or more; p represents an integer of from 1 to n; q represents an integer of from 1 to (n−p); n represents a valence of a metal atom M; Yq each independently represent an inorganic or organic residue; and when q is 2 or more, Yq may be bonded to one another for forming a ring structure with the intermediary of a metal atom M.
US07998934B2 PAI-2 conjugates for the treatment and imaging of cancer
A method is described for detecting, visualizing, or treating cells, particularly cancerous cells, that express a uPA/uPAR complex. The method employs a PAI-2 conjugate molecule that comprises PAI-2 or a functional derivative, homologue, analogue, chemical equivalent or mimetic thereof, which PAI-2 is bound, linked, or otherwise associated with a toxin or label.
US07998933B2 Process for treating the skin comprising applying to the skin at least one composition comprising at least one N-aminoacylamide
The invention concerns novel compounds of the N-acylamino-amide family, compositions, in particular cosmetic or pharmaceutical, containing them, and their use for treating body or face skin ageing, whether chronobiologic or light-induced, and in particular skin ageing caused by decrease of skin elasticity and/or by collagen degradation in the structure of tissues.
US07998929B2 Solution preparations stabilized over long time
A G-CSF solution formulation which is substantially free from proteins as a stabilizer but which contains at least one amino acid or a salt thereof as a stabilizer.
US07998917B1 Visually enhancing heavy duty degreaser-cleaning composition
A visually enhancing degreaser/cleaning composition for removing grease stains adhering to metallic surfaces in the kitchen. The cleaning composition in powder form includes an alkali metal hydroxide, trialkali phosphates, and either polychlorinated copper phthalocyanine or hydrated chromium sesquioxide.
US07998912B2 Composite lubricant for hard disk media
A composite lubricant for recording disk media, a recording disk media including a layer of the composite lubricant, and method of manufacturing the same are described. The composite lubricant may include a non-phosphazene component and a phosphazene component where the non-phosphazene component is a difunctional perfluoropolyether compound terminated with first and second polar end groups, the first polar end group comprising a first number of hydroxyls and the second polar end includes a second number of hydroxyls, greater than the first number of hydroxyls. The phosphazene component may be a difunctional perfluoropolyether compound terminated with a phosphazene functional group and with a third polar end group, the third polar end group comprising a third number of hydroxyls equal to the second number of hydroxyls.
US07998908B2 Fluid loss control and well cleanup methods
A method for removing preexisting filtercake from a portion of a subterranean formation penetrated by a wellbore is carried out by providing water-degradable particles formed from a solid polymeric acid precursor material that is capable of a degrading to acid for reacting with materials of the filtercake. A slurry is formed from the particles with a carrier fluid. The slurry of particles is introduced into the formation through the wellbore and allowed to degrade to form acid, whereby the formed acid facilitates decomposition of preexisting filtercake material. In certain applications, the water-degradable particles may also be used in combination with non-water-degradable particles, which may be used for forming a gravel pack. The water-degradable particles may also be used to reduce fluid loss temporarily to areas of the formation immediately surrounding the wellbore.
US07998906B2 Methods and compositions for treating subterranean formations
Methods and compositions useful in treating subterranean formations, and more particularly, breaker compositions that may be useful in treating subterranean formations wherein synthetic polymers are used, are provided. Of the many methods and compositions provided herein, one composition comprises a source of hydrogen peroxide and an activator. One method comprises providing a polymer breaker composition comprising a source of hydrogen peroxide and an activator; introducing the polymer breaker composition into a well bore which penetrates a subterranean formation; allowing the activator to activate the source of hydrogen peroxide to create an oxidizing species; and allowing the oxidizing species to break synthetic polymers present in the subterranean formation.
US07998903B2 Glycerol-modified silicone spreading agent and a composition comprising the same
A spreading agent for agricultural chemicals, said spreading agent comprising at least one of the glycerol-modified silicone represented by the following formula (1), (2) or (3), wherein R1 can be the same with or different from each other and is selected from the group consisting of alkyl, aryl, aralkyl, and fluorinated alkyl groups each having 1 to 30 carbon atoms, p is an integer of from 1 to 3, q is an integer of 1 or 2, and r is an integer of from 0 to 6.
US07998902B2 Use of 5-benzyloxymethyl-1,2-isoxazoline derivatives as a herbicide
The present invention relates to a turf herbicide comprising, as an active ingredient, of 5-benzyloxymethyl-1,2-isoxazoline derivatives of formula (I), which have been known as an a rice paddy herbicide. In addition, 5-benzyloxymethyl-1,2-isoxazoline derivatives of the present invention have a high activity not only as a turf herbicide but also as a herbicide for upland crops and direct-seeded rice. As such, the derivatives of the present invention can be used either as an upland herbicide or as a herbicide for direct-seeded paddy field rice.
US07998898B2 Sorbent comprising activated carbon, process for making same and use thereof
A sorbent body adapted for abating toxic elements from a fluid stream, such as a carbon combustion flue gas stream or a syngas stream produced in coal gasification process, and process for making such sorbent. The sorbent body comprises an activated carbon matrix defining a plurality of pores, sulfur and an additive adapted for promoting the abatement of toxic elements from the fluid stream. At least one of the additive is formed into the sorbent body by in-situ forming such as in-situ extrusion. The sorbent is useful for abatement of, e.g., arsenic, cadmium, mercury and selenium from gas streams.
US07998896B2 Exhaust gas purifying catalyst
An exhaust gas purifying catalyst having a good ignition performance is provided. The exhaust gas purifying catalyst 1 includes a catalyst substrate 3 and a catalyst coating layer 5 which contains a noble metal and a refractory inorganic oxide and is formed on the catalyst substrate. The exhaust gas purifying catalyst is characterized in that the catalyst coating layer 5 includes an upstream portion 11 located upstream and a downstream portion 13 located downstream in a flow direction of an exhaust gas. The upstream portion 11 has a layered structure including an upstream portion inside layer 17 and an upstream portion outside layer 15. The upstream portion inside layer contains a cerium-zirconium composite oxide in which a relative proportion of CeO2 is 50 to 95 wt %, as the refractory inorganic oxide, and the upstream portion outside layer 15 and the downstream portion 13 contain a cerium-zirconium composite oxide in which a relative proportion of ZrO2 is 50 to 95 wt %, as the refractory inorganic oxide.
US07998895B2 Catalysts for olefin polymerization
Transition metal complexes of selected monoanionic phosphine ligands, which also contain a selected Group 15 or 16 (IUPAC) element and which are coordinated to a Group 3 to 11 (IUPAC) transition metal or a lanthanide metal, are polymerization catalysts for the (co)polymerization of olefins such as ethylene and α-olefins, and the copolymerization of such olefins with polar group-containing olefins. These and other nickel complexes of neutral and monoanionic bidentate ligands copolymerize ethylene and polar comonomers, especially acrylates, at relatively high ethylene pressures and surprisingly high temperatures, and give good incorporation of the polar comonomers and good polymer productivity. These copolymers are often unique structures, which are described.
US07998892B2 TiO2-containing silica glass and optical member for lithography using the same
The present invention provides a TiO2—SiO2 glass whose coefficient of linear thermal expansion upon irradiation with high EUV energy light is substantially zero, which is suitable as an optical member of an exposure tool for EUVL. The present invention relates to a TiO2-containing silica glass having a halogen content of 100 ppm or more; a fictive temperature of 1,100° C. or lower; an average coefficient of linear thermal expansion in the range of from 20 to 100° C. of 30 ppb/° C. or lower; a temperature width ΔT, in which a coefficient of linear thermal expansion is 0±5 ppb/° C., of 5° C. or greater; and a temperature, at which a coefficient of linear thermal expansion is 0 ppb/° C., falling within the range of from 30 to 150° C.
US07998886B2 Hindered amine treated textiles
A treated textile material comprises a plurality of yarns or fibers having a hindered amine or halamine compound disposed on the exterior surface of the yarns or fibers and/or dispersed in the interior portion of the yarns or fibers. A treated textile material comprises a finish on a surface thereof, the finish comprising the product of a reaction between a cross-linking agent and a polymer comprising a plurality of secondary, hindered amine moieties attached to the polymer chain. Methods for making the treated textile materials are also described.
US07998885B2 Fine-fiber nonwoven-supported coating structure
This invention relates to a liquid penetration-resistant water-vapor-permeable multi-layer composite material and process for making the same comprising a porous nanofiber web of polymeric fibers, wherein the polymeric fibers of the nanofiber web have a diameter of less than 1000 nanometers and wherein the nanofiber web has a basis weight of 5 to 40 grams per square meter and a surface roughness (ΔRMS) of 5 to 15 micrometers and where, the porous nanofiber web is coated on a surface with a layer of water-vapor-permeable, hydrophilic, monolithic, water transport material.
US07998883B2 Process for producing zirconium oxide thin films
This invention concerns a process for producing oxide thin film on a substrate by an ALD type process. According to the process, alternating vapour-phase pulses of at least one metal source material, and at least one oxygen source material are fed into a reaction space and contacted with the substrate. According to the invention, an yttrium source material and a zirconium source material are alternately used as the metal source material so as to form an yttrium-stabilised zirconium oxide (YSZ) thin film on a substrate.
US07998880B2 Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
A low k dielectric stack having an effective dielectric constant k, of about 3.0 or less, in which the mechanical properties of the stack are improved by introducing at least one nanolayer into the dielectric stack. The improvement in mechanical properties is achieved without significantly increasing the dielectric constant of the films within the stack and without the need of subjecting the inventive dielectric stack to any post treatment steps. Specifically, the present invention provides a low k dielectric stack that comprises at least one low k dielectric material and at least one nanolayer present within the at least one low k dielectric material.
US07998876B2 Method of producing semiconductor element
A method of producing a semiconductor element includes the steps of forming a wiring portion layer on a substrate; forming an interlayer insulation layer over the substrate and the wiring portion layer, in which a third insulation film, a second insulation film, and a first insulation film are laminated in this order from the substrate; forming a mask pattern on the first insulation film; removing a contact hole forming area of the first insulation film through a wet etching process; removing a contact hole forming area of the second insulation film through an etching process; removing a contact hole forming area of the third insulation film through an etching process; and a contact hole forming step of forming a contact hole in the interlayer insulation layer so that a surface of the wiring portion layer is exposed.
US07998875B2 Vapor phase repair and pore sealing of low-K dielectric materials
A method of treating a nanoporous low-k dielectric material formed on a semiconductor substrate is provided. The low-k dielectric material has etched openings with an etch damaged region containing silanol groups on exterior surfaces of the etched openings and on interior surfaces of interconnected pores. First, the low-k dielectric material is contacted with a vapor phase catalyst in an amount effective to form hydrogen bonds between the catalyst and the silanol groups in the etch damaged region, forming a catalytic intermediary. Second, the low-k dielectric material is contacted with a vapor phase alkoxysilane repair agent in an amount effective to react with about 50% or more of the silanol groups in the etch damaged region, such that the alkoxysilane repair agent reacts with the catalytic intermediary; and/or the low-k dielectric material is contacted with a vapor phase alkoxysilane sealing agent in an amount effective to prevent diffusion of an overlying barrier layer into the interconnected pores, such that the alkoxysilane sealing agent reacts with the catalytic intermediary.
US07998871B2 Mask forming and implanting methods using implant stopping layer
Methods of forming a mask for implanting a substrate and implanting using an implant stopping layer with a photoresist provide lower aspect ratio masks that cause minimal damage to trench isolations in the substrate during removal of the mask. In one embodiment, a method of forming a mask includes: depositing an implant stopping layer over the substrate; depositing a photoresist over the implant stopping layer, the implant stopping layer having a density greater than the photoresist; forming a pattern in the photoresist by removing a portion of the photoresist to expose the implant stopping layer; and transferring the pattern into the implant stopping layer by etching to form the mask. The implant stopping layer may include: hydrogenated germanium carbide, nitrogenated germanium carbide, fluorinated germanium carbide, and/or amorphous germanium carbon hydride (GeHX), where X includes carbon. The methods/mask reduce scattering during implanting because the mask has higher density than conventional masks.
US07998860B2 Method for fabricating semiconductor components using maskless back side alignment to conductive vias
A method for fabricating semiconductor components includes the steps of: providing a semiconductor substrate having a circuit side, a back side and conductive vias; removing portions of the substrate from the back side to expose terminal portions of the conductive vias; depositing a polymer layer on the back side encapsulating the terminal portions; and then planarizing the polymer layer and ends of the terminal portions to form self aligned conductors embedded in the polymer layer. Additional back side elements, such as terminal contacts and back side redistribution conductors, can also be formed in electrical contact with the conductive vias. A semiconductor component includes the semiconductor substrate, the conductive vias, and the back side conductors embedded in the polymer layer. A stacked semiconductor component includes a plurality of components having aligned conductive vias in electrical communication with one another.
US07998859B2 Surface preparation process for damascene copper deposition
A method is disclosed for metallizing a substrate comprising an interconnect feature in the manufacture of a microelectronic device, wherein the interconnect feature comprises a bottom, a sidewall, and a top opening having a diameter, D. The method comprises the following steps: depositing a barrier layer on the bottom and the sidewall of the interconnect feature, the barrier layer comprising a metal selected from the group consisting of ruthenium, tungsten, tantalum, titanium, iridium, rhodium, and combinations thereof; contacting the substrate comprising the interconnect feature comprising the bottom and sidewall having the barrier layer thereon with an aqueous composition comprising a reducing agent and a surfactant; and depositing copper metal onto the bottom and the sidewall of the interconnect feature having the barrier layer thereon.
US07998858B2 Vertical interconnect structure, memory device and associated production method
The present invention relates to a method for producing a vertical interconnect structure, a memory device and an associated production method, in which case, after the formation of a contact region in a carrier substrate a catalyst is produced on the contact region and a free-standing electrically conductive nanoelement is subsequently formed between the catalyst and the contact region and embedded in a dielectric layer.
US07998857B2 Integrated circuit and process for fabricating thereof
A process for fabricating an Integrated Circuit (IC) and the IC formed thereby is disclosed. The process comprises providing a substrate. The process further comprises forming a plurality of longitudinal trenches in the substrate and depositing a layer of a first conductive material on at least one longitudinal trench of the plurality of longitudinal trenches. A first layer of a second conductive material is deposited on the layer of the first conductive material. Thereafter, the process includes depositing a second layer of the second conductive material on the first layer of the second conductive material. The second layer of the second conductive material at least partially fills the at least one longitudinal trench. The first conductive material is selected such that a reduction potential of the first conductive material is less than a reduction potential of the second conductive material.
US07998854B2 Wafer level integration module with interconnects
A method and apparatus for manufacturing an integrated circuit (IC) device (90) is disclosed. A wafer (10) is first provided having a first or top surface and a second or bottom surface. The wafer may be a blank polished or unpolished silicon wafer or the like. High aspect ratio micro-structures (16) that are specifically designed to provide a die level interconnect configuration and mapping, are provided on the first blank surface (12) of the wafer. The wafer with preformed conductive interconnect microstructures (16) are further processed for device fabrication, for example, at the wafer fabrication facilities. Once the front side (12) devices are fabricated, the silicon material (20) is then removed from a second side (14) of the device wafer (10), opposite the first side, to expose the high temperature conductive interconnect microstructures (16). Contacts are formed on the second side of the device wafer using conductive metal. These contacts are electrically connected to the interior of the microstructures and thereby electrically connect with the functional device (26). The dies (90(1)),(90(2)) are separated along the separation zones (88) between the dies to produce individualized functional and packaged dies, each of which serves as a fully packaged IC device (90).
US07998852B2 Methods for forming an RF device with trench under bond pad feature
Electronic elements (44, 44′, 44″) having an active device region (46) and bonding pad (BP) region (60) on a common substrate (45) desirably include a dielectric region underlying the BP (35) to reduce the parasitic impedance of the BP (35) and its interconnection (41) as the electronic elements (44, 44′, 44″) are scaled to higher power and/or operating frequency. Mechanical stress created by plain (e.g., oxide only) dielectric regions (36′) can adversely affect performance, manufacturing yield, pad-to-device proximity and occupied area. This can be avoided by providing a composite dielectric region (62, 62′, 62″) having electrically isolated inclusions (65, 65′, 65′) of a thermal expansion coefficient (TEC) less than that of the dielectric material (78, 78′, 78″) in which they are embedded and/or closer to the substrate (45) TEC. For silicon substrates (45), poly or amorphous silicon is suitable for the inclusions (65, 65′, 65″) and silicon oxide for the dielectric material (78, 78′, 78″). The inclusions (65, 65′, 65″) preferably have a blade-like shape separated by and enclosed within the dielectric material (78, 78′, 78″).
US07998850B2 Semiconductor device and method for manufacturing the same
Disclosed herein are a semiconductor device and a method for manufacturing the same. The method includes forming a gate structure using a carbon nano tube (CNT). In order to prevent reduction of the gate resistance and the short channel effect, a CNT gate having a grown CNT pattern with a half-cylinder shape is formed over a recess of a semiconductor substrate. The CNT gate has the same effect as a recess gate, and can prevent the short channel effect, improve the speed, and the lower power characteristic of semiconductor devices.
US07998848B2 Method of producing field effect transistor
The laser beam with a wavelength having a higher energy than the band gap energy of the material forming the carrier moving layer is irradiated to activate the impurities contained in the constituent layer of the field effect transistor in the method of producing the field effect transistor. The method of the invention does not apply the heating of the substrate or the sample stage to raise the temperature of the semiconductor layer using the thermal conductivity so as to activate the impurities. Thus, the implanted impurities can be activated without deteriorating the performance of the device and reliability.
US07998846B2 3-D integrated circuit system and method
A semiconductor fabrication system and method are presented. A three dimensional multilayer integrated circuit fabrication method can include forming a first device layer and forming a second device layer on top of the first device layer with minimal detrimental heat transfer to the first layer by utilizing a controlled laser layer formation annealing process. A controlled laser crystallization process can be utilized and the controlled laser can include creating an amorphous layer; defining a crystallization area in the amorphous layer, where in the crystallization area is defined to promote single crystal growth (i.e. prevent multi-crystalline growth); and applying laser to the crystallization area, wherein the laser is applied in a manner that prevents undesired heat transfer to another layer.
US07998843B2 Method of forming amorphous silicon layer and method of fabricating LCD using the same
Methods and systems for forming an amorphous silicon layer are disclosed for one or more embodiments. For example, a substrate may be provided, and an amorphous silicon layer, in which a ratio of Si—H to Si—H2 has a value equal to or less than 4 to 1, may be formed on the substrate using chemical vapor deposition equipment.
US07998839B2 Semiconductor device and method for manufacturing the same
A semiconductor device wherein destruction of a sealing ring caused by cracking of an interlayer dielectric film is difficult to occur, as well as a method for manufacturing the semiconductor device, are provided. A first laminate comprises first interlayer dielectric films having a first mechanical strength. A second laminate comprises second interlayer dielectric films having a mechanical strength higher than the first mechanical strength. A first region includes first metallic layers and vias provided within the first laminate. A second region includes second metallic layers and vias provided within the second laminate. When seen in plan, the second region overlaps at least a part of the first region, is not coupled with the first region by vias, and sandwiches the second interlayer dielectric film between it and the first region.
US07998837B2 Method for fabricating semiconductor device using spacer patterning technique
A method for fabricating a semiconductor device using optical proximity correction to form high integrated cell patterns that are less prone to bridge defects. The method includes: obtaining a target layout of cell patterns, which form rows in a cell region, and peripheral patterns of a peripheral region; forming oblique patterns, which are alternately overlapped in the rows of the cell patterns, and a reverse pattern of the peripheral patterns; attaching spacers to sidewalls of the oblique patterns and the reverse pattern; forming first burying patterns between the oblique patterns and a second burying pattern around the reverse pattern by filling gaps between the spacers; and forming the cell patterns by cutting and dividing the middle portions of the oblique patterns and the first burying patterns, and setting the peripheral pattern with the second burying pattern by removing the reverse pattern.
US07998830B2 Semiconductor device with both I/O and core components and method of fabricating same
A semiconductor device having a core device with a high-k gate dielectric and an I/O device with a silicon dioxide or other non-high-k gate dielectric, and a method of fabricating such a device. A core well and an I/O well are created in a semiconductor substrate and separated by an isolation structure. An I/O device is formed over the I/O well and has a silicon dioxide or a low-k gate dielectric. A resistor may be formed on an isolation structure adjacent to the core well. A core-well device such as a transistor is formed over the core well, and has a high-k gate dielectric. In some embodiments, a p-type I/O well and an n-type I/O well are created. In a preferred embodiment, the I/O device or devices are formed prior to forming the core device and protected with a sacrificial layer until the core device is fabricated.
US07998829B2 Semiconductor structure and method of manufacture
In various embodiments, semiconductor structures and methods to manufacture these structures are disclosed. In one embodiment, a method includes removing a portion of a semiconductor material using an electrochemical etch to form a first cavity, a second cavity, wherein the first cavity is isolated from the second cavity, a first protrusion is between the first cavity and the second cavity, and the semiconductor material comprises silicon. The method further includes performing a thermal oxidation to convert a portion of the silicon of the semiconductor material to silicon dioxide and forming a first dielectric material over the first cavity, over the second cavity, over at least a portion of the semiconductor material, and over at least a portion of the first protrusion. Other embodiments are described and claimed.
US07998827B2 Method of forming a multi-level interconnect structure by overlay alignment procedures
A method of manufacturing a semiconductor device, includes forming a structure wherein a first alignment mark is provided in a first alignment-mark arrangement area of a first layer, a second alignment mark is provided in a second alignment-mark arrangement area of a second layer, a dummy pattern is provided above the first alignment-mark arrangement area, and substantially no dummy pattern is provided above the second alignment-mark arrangement area, and aligning a third layer provided above the structure by using the second alignment mark.
US07998826B2 Method of forming mark in IC-fabricating process
A method of forming a mark in an IC fabricating process is described. Two parts of the mark each including a plurality of linear patterns are respectively defined by two exposure steps that either belong to two lithography processes respectively or constitute a double-exposure process including X-dipole and Y-dipole exposure steps.
US07998820B2 High-k gate dielectric and method of manufacture
A device and method of formation are provided for a high-k gate dielectric and gate electrode. The high-k dielectric material is formed, and a silicon-rich film is formed over the high-k dielectric material. The silicon-rich film is then treated through either oxidation or nitridation to reduce the Fermi-level pinning that results from both the bonding of the high-k material to the subsequent gate conductor and also from a lack of oxygen along the interface of the high-k dielectric material and the gate conductor. A conductive material is then formed over the film through a controlled process to create the gate conductor.
US07998817B2 Method of fabricating a high-voltage transistor with an extended drain structure
A method for fabricating a high-voltage transistor with an extended drain region includes forming in a semiconductor substrate of a first conductivity type, first and second trenches that define a mesa having respective first and second sidewalls; then partially filling each of the trenches with a dielectric material that covers the first and second sidewalls. The remaining portions of the trenches are then filled with a conductive material to form first and second field plates. Source and body regions are formed in an upper portion of the mesa, with the body region separating the source from a lower portion of the mesa. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. 37 CFR 1.72(b).
US07998814B2 Semiconductor memory device and method of fabricating the same
A semiconductor memory devices and a method of fabricating the same includes sequentially stacking a tunnel insulating layer, a first nano-grain film, a conductive layer for a floating gate, and a second nano-grain film over a semiconductor substrate, forming a trench by etching the second nano-grain film, the conductive layer for the floating gate, the first nano-grain film, the tunnel insulating layer, and the semiconductor substrate, gap-filling the trench with an insulating layer, thus forming an isolation layer, and forming a third nano-grain film on sidewalls of the conductive layer for the floating gate.
US07998806B2 Semiconductor device and method of manufacturing the same
A method of manufacturing a semiconductor device includes forming an oxidation film over a first and a second device region, forming an first etching preventing film extending over a first and a second area, removing the first etching preventing film over the first area; removing the oxidation film over the first device region, forming a first gate insulating film over the first device region, removing the oxidation film over the second device region, forming a second gate insulating film over the second device region, forming a first gate electrode over the first gate insulating film, forming a second gate electrode over the second gate insulating film, forming first source and drain regions in the first device region at both sides of the first gate electrode, and forming second source and drain regions in the second device region at both sides of the second gate electrode.
US07998805B2 Component with sensitive component structures and method for the production thereof
An electrical component has electrically conducting structures placed on an electrically isolating or semiconductive substrate and component structures sensitive to a voltage or an electrical arcing and galvanically separated from one another. To prevent an arcing between the galvanically separated component structures, the component structures are short-circuited with a shunt line having a smaller cross-section than the remaining electrical conductor tracks. The shunt lines can be burnt through by application of an electrical current at any given time, whereby a galvanic separation of the component structures is effected, if necessary, for the function of the component.
US07998802B2 Method of manufacturing semiconductor device with offset sidewall structure
A method of manufacturing a semiconductor device with NMOS and PMOS transistors is provided. The semiconductor device can lessen a short channel effect, can reduce gate-drain current leakage, and can reduce parasitic capacitance due to gate overlaps, thereby inhibiting a reduction in the operating speed of circuits. An N-type impurity such as arsenic is ion implanted to a relatively low concentration in the surface of a silicon substrate (1) in a low-voltage NMOS region (LNR) thereby to form extension layers (61). Then, a silicon oxide film (OX2) is formed to cover the whole surface of the silicon substrate (1). The silicon oxide film (OX2) on the side surfaces of gate electrodes (51-54) is used as an offset sidewall. Then, boron is ion implanted to a relatively low concentration in the surface of the silicon substrate (1) in a low-voltage PMOS region (LPR) thereby to form P-type impurity layers (621) later to be extension layers (62).
US07998800B2 Method for manufacturing semiconductor device
A method for manufacturing a semiconductor device is provided, which comprises at least a steps of forming a gate insulating film over a substrate, a step of forming a microcrystalline semiconductor film over the gate insulating film, and a step of forming an amorphous semiconductor film over the microcrystalline semiconductor film. The microcrystalline semiconductor film is formed by introducing a silicon hydride gas or a silicon halide gas when a surface of the gate insulating film is subjected to hydrogen plasma to generate a crystalline nucleus over the surface of the gate insulating film, and by increasing a flow rate of the silicon hydride gas or the silicon halide gas.
US07998796B2 Semiconductor device and manufacturing method thereof
The present invention provides a technique capable of suppressing variations in the height of each solder ball where an NSMD is used as a structure for each land. Vias that extend through a wiring board are provided. Lands are formed at the back surface of the wiring board so as to be coupled directly to the vias respectively. The lands are respectively formed so as to be internally included in openings defined in a solder resist. Half balls are mounted over the lands respectively. Namely, the present invention has a feature in that the configuration of coupling between each of the lands and its corresponding via both formed at the back surface of the wiring board is taken as a land on via structure and a configuration form of each land is taken as an NSMD.
US07998795B2 Method of manufacturing a semiconductor device including plural semiconductor chips
A method for manufacturing a semiconductor device includes mounting a first chip over a first area of a chip mounting section of a lead frame and mounting a second chip over a second area of the chip mounting section, wherein the second area is adjacent to the first area via the slit. The chip mounting section is disposed on a flat heating jig. First pads of the first chip are connected with second pads of the second chip via first wires, respectively, and the first pads are connected with leads of the lead frame via second wires, respectively. the first chip, the second chip, the first wires and the second wires are sealed with a resin such that a part of each of the leads is exposed from the resin, and each of the leads is then separated from the lead frame.
US07998794B2 Resin molded semiconductor device and manufacturing method thereof
This invention is directed to provide a method of manufacturing a resin molded semiconductor device with high reliability by preventing a resin leakage portion from occurring due to burrs on a lead frame formed by punching. The method of manufacturing the resin molded semiconductor device according to the invention includes bonding a semiconductor die on an island in a lead frame, electrically connecting the semiconductor die with the lead frame, resin-molding the lead frame on which the semiconductor die is bonded, and applying prior to the resin-molding a compressive pressure that is higher than a clamping pressure applied in the resin-molding to a region of the lead frame being clamped by molds in the resin-molding of the lead frame.
US07998793B2 Light illumination during wafer dicing to prevent aluminum corrosion
Illumination devices (7a) and (7b) which irradiate light having a wavelength of 1.1 μm or less are arranged on a front surface and a rear surface of a cover (8) of a dicing device (1). After a wafer is placed on a dicing stage (3), when the wafer is diced by a blade (4a) attached to a spindle (5), light is irradiated on an entire surface of an upper surface (element forming surface) of the wafer by the illumination devices (7a) and (7b). At this time, an illuminance of light on the wafer is set at 70 lux or more and 2000 lux or less. By this means, during a dicing operation, an area to be a light-shielded area by the spindle (5) or the like is not present on the wafer.
US07998785B2 Film deposition of amorphous films with a graded bandgap by electron cyclotron resonance
A method is described of forming a film of an amorphous material on a substrate (14) by deposition from a plasma. The substrate (14) is placed in an enclosure, a film precursor gas is introduced into the enclosure through pipes (20), and unreacted and dissociated gas is extracted from the enclosure through pipes (22) so as to provide a low pressure therein. Microwave energy—is introduced into the gas within the enclosure as a sequence of pulses at a given frequency and power level to produce a plasma therein by distributed electron cyclotron resonance (DECR) and cause material to be deposited from the plasma on the substrate. The frequency and/or power level of the pulses is altered during the course of deposition of material, so as to cause the bandgap to vary over the thickness of the deposited material.
US07998776B1 Methods for manufacturing MEMS sensor and thin film thereof with improved etching process
A method for manufacturing a MEMS sensor and a thin film thereof includes steps of etching a top surface of a single-crystal silicon wafer in combination of a deposition process, an isotropic DRIE process, a wet etching process and a back etching process in order to form a pressure-sensitive single-crystal silicon film, a cantilever beam, a mass block, a front chamber, a back chamber and trenches connecting the front and the back chambers. The single-crystal silicon film is prevented from etching so that the thickness thereof can be well controlled. The method of the present invention can be used to replace the traditional method which forms the back chamber and the pressure-sensitive single-crystal silicon film from the bottom surface of the silicon wafer.
US07998773B2 Method of growing semiconductor heterostructures based on gallium nitride
The method of growing non-polar epitaxial heterostructures for light-emitting diodes producing white emission and lasers, on the basis of compounds and alloys in AlGaInN system, comprising the step of vapor-phase deposition of one or multiple heterostructures layers described by the formula AlxGa1-xN(0
US07998768B1 Method for forming a light emitting diode
A method for forming a light emitting diode includes: (a) growing epitaxially an epitaxial film over an epitaxial substrate; (b) roughening an upper surface of the epitaxial film; (c) forming a top electrode on the roughened upper surface of the epitaxial film; (d) detachably attaching a temporary substrate over the roughened upper surface of the epitaxial film; (e) roughening the lower surface of the epitaxial film; (f) disposing the roughened lower surface of the epitaxial film on a reflective top surface of an electrically conductive permanent substrate; (g) filling an optical adhesive in a gap between the roughened lower surface of the epitaxial film and the reflective top surface of the permanent substrate; and (h) after the step (g), removing the temporary substrate from the epitaxial film.
US07998759B2 Maunfacturing method for exposure mask, generating method for mask substrate information, mask substrate, exposure mask, manufacturing method for semiconductor device and server
There is disclosed a manufacturing method for exposure mask, which comprises acquiring a first information showing surface shape of surface of each of a plurality of mask substrates, and a second information showing the flatness of the surface of each of mask substrates before and after chucked on a mask stage of an exposure apparatus, forming a corresponding relation of each mask substrate, the first information and the second information, selecting the second information showing a desired flatness among the second information of the corresponding relation, and preparing another mask substrate having the same surface shape as the surface shape indicated by the first information in the corresponding relation with the selected second information, and forming a desired pattern on the above-mentioned another mask substrate.
US07998757B2 Container and method for supporting home testing and diagnosis of infectious diseases
A container is configured to provide sequential access to contents therein, and includes an upper portion and a lower portion connected to the upper portion by a hinged portion, the lower portion defining an interior space bearing a moveable tray and an opening through which the moveable tray may move, the moveable tray defining an interior volume configured to receive at least one device therewithin. The container also includes at least one device borne by the moveable tray, at least one cavity for receiving the at least one device disposed in the upper portion and at least one insert located between the upper and lower portions, the at least one insert comprising at least written indicia thereon.
US07998755B2 System and assay for detection of cardiac markers for assessing acute myocardial infarction
Assay systems and methods are provided for detecting a target antigen in a physiological fluid (e.g., blood, serum, or urine). The method includes linking via a first antibody a magnetic microparticle to the target antigen in the physiological fluid; linking via a second antibody a glucose molecule to the target antigen; utilizing a magnetic field to separate the magnetic microparticle-linked antigen from the physiological fluid to form a test sample; and detecting the glucose in the test sample to determine the concentration of target antigen in the physiological fluid. The target antigen can be a protein or marker resulting from cardiac tissue injury, which can be used to assess acute myocardial infarction. An exemplar target antigen is myoglobin. The glucose detection preferably is one that can be done rapidly, e.g., with a conventional glucometer, and may include measuring the electrical resistance, color, or pH of the test sample.
US07998753B2 Measurement kit and an immunochromatography method
It is an object of the present invention to provide a measurement kit for developing a first developing solution and a second developing solution from different directions to suppress background noise, and an immunochromatography kit. The present invention provides a measurement kit, which comprises a first developing member for supplying a first developing solution and a second developing member for supplying a second developing solution, wherein the developing direction of the first developing solution is allowed to intersect with the developing direction of the second developing solution, so that development is carried out by developing the first and second developing solutions in different developing directions, and a water absorbent portion is established on the downstream of the developing directions.
US07998742B2 Fluorescent assay
A method and apparatus to estimate the concentration of a non-fluorescent substance (e.g. haemoglobin) in a fluorescent assay by separately estimating the non time dependent alteration attributed to inherent filter effects from the time dependent alteration caused by the assay chemistry. Such a method obviates the requirement for a separate photometric or other measurement thereby simplifying the methodology and associated instrumentation.
US07998737B2 Cell culture of keratinocytes under non-differentiating conditions
The present invention relates to a cell culture medium comprising (a) an inhibitor of bone morphogenetic protein-4 (BMP-4) and (b) an inhibitor of pigment epithelium-derived factor (PEDF, also known as SerpinF1). In one embodiment, the inhibitors are antibodies against BMP-4 and PEDF, respectively. The medium allows to culture keratinocytes under non-differentiating conditions. The invention also relates to corresponding methods and kits. As the media and methods disclosed allow for an improved manufacture of keratinocytes, the invention also relates to the treatment of skin wounds and to the manufacture of corresponding medicaments. This will be of advantage for treatment e.g. of burns, ulcers, etc., in which transplantation of keratinocytes or skin is required.
US07998734B2 Methods and compositions for use in gene therapy for treatment of hemophilia
The invention includes a composition comprising a recombinant adeno-associated viral vector comprising at least two adeno-associated virus inverted terminal repeats, a promoter/regulatory sequence, isolated DNA encoding Factor IX and accompanying 5′ and 3′ untranslated regions and a transcription termination.
US07998733B2 Chimeric vectors
The present invention relates to chimeric vectors. More specifically, the invention relates to recombinant poxvirus vectors and viruses that are capable of expressing an alphaviral RNA replicon expressing a heterologous sequence of interest.
US07998726B2 Method for fixing a protein on a pyrrole-based polymer and use thereof for making a sensor
The aim of the present invention is to provide a method for attaching a protein to a conductive polymer, that can be used in particular for producing a sensor or a multisensor, for example a biochip.This aim, and also others, are achieved, in accordance with the invention, by means of a method for attaching a protein to a pyrrole polymer, comprising the following steps: coupling of the protein to be attached with pyrrole so as to obtain a first solution of a protein-pyrrole coupling compound, preparation of a second solution of pyrrole not containing the protein, mixing of said first solution with said second solution so as to obtain an electropolymerization solution, electropolymerization of the pyrrole and of the protein coupled to the pyrrole on a conductive support using said electropolymerization solution.
US07998725B2 Method and apparatus for holding a plurality of tubes connectible to an organ or tissue container
An organ perfusion apparatus and method monitor, sustain and/or restore viability of organs and preserve organs for storage and/or transport. Other apparatus include an organ transporter, an organ cassette and an organ diagnostic device. The apparatus and methods further include a tube frame removably connected to the cassette and configured to hold a plurality of tubes in a position to be connected to tubes in the portable housing.
US07998722B2 Zymomonas with improved xylose utilization
Strains of Zymomonas were engineered by introducing a chimeric xylose isomerase gene that contains a mutant promoter of the Z. mobilis glyceraldehyde-3-phosphate dehydrogenase gene. The promoter directs increased expression of xylose isomerase, and when the strain is in addition engineered for expression of xylulokinase, transaldolase and transketolase, improved utilization of xylose is obtained.
US07998718B2 Identification and functional characterization of a novel ribosomal S6 protein kinase
A novel S6 kinase, p70βS6k is described, along with methods of making and using p70βS6k protein and related nucleic acids. The invention also discloses methods of identifying agents which modulate the activity of p70βS6k protein and/or its ligands.
US07998716B2 Method to bind enzyme to carrier using cationic copolymers and product produced thereby
Disclosed are a method of adhering active enzymes to an inert support, the product produced thereby, and a method of using the enzyme-coated support in enzyme-catalyzed reactions such as the glucose isomerase-catalyzed conversion of glucose to fructose. The method includes the steps of coating an inert support with a cationic copolymer, preferably a polyamine, and most preferably a di-C1-C6-alkylamino-epichlorohydrin copolymer, and then adhering enzyme to the coated support in the absence of any intervening cross-linking agent.
US07998707B2 Quantitative measurement of gene expression from fixed paraffin embedded tissue
Methods are disclosed for rapid, reliable and simple isolation of RNA, DNA and proteins from formalin-fixed paraffin-embedded tissue samples. RNA purified in this manner can be used to monitor gene expression levels. The tissue sample can be a tumor or other pathological tissue.
US07998697B2 Endotoxin analysis
The present invention relates to a method for detecting the presence or non-presence of an endotoxin, characterized in that an OmpT protein is brought into contact with a sample suspected of containing an endotoxin and the protease activity of the OmpT protein is assayed. It also relates to a method for detecting early onset of septicaemia using the inventive method and a kit for performing the method.
US07998688B2 Inhibition of EMT induction in tumor cells by anti-cancer agents
The present invention provides methods of identifying an agents that inhibit tumor cells from undergoing an epithelial to mesenchymal transition, impair tumor cell mobility, and thus inhibit tumorigenicity. The present invention also provides compositions comprising said agents, and methods for their preparation and use. The present invention also provides methods for inhibiting tumor cells in a patient from undergoing an epithelial to mesenchymal transition by administration of inhibitors of PAK2 kinase, that optionally also inhibit PAK1 kinase. Such methods may be employed in combination with other anti-cancer agents such as EGFR or IGF-1R kinase inhibitors.
US07998687B2 Biomarkers for chronic transplant dysfunction
The invention relates to the analysis and identification of genes that are modulated in transplant rejection. This alteration of gene expression provides a molecular signature to accurately detect transplant rejection.
US07998686B2 Method for determining sepsis using pro-BNP
Uses of recombinant procalcitonin 3-116 in the diagnosis and therapy of septic diseases and the measurement of prohormones other than procalcitonin, and of dipeptidyl peptidase IV, as biomarkers in the diagnosis of sepsis.
US07998685B2 Methods of identifying candidate compounds of the human G protein-coupled receptor, GPR50, as modulators of body mass or adiposity
The present invention relates to methods of using a G protein-coupled receptor (GPCR) to screen one or more candidate compounds as a modulator of body mass or of adiposity or of percentage body fat in a subject or as a pharmaceutical agent for obesity and conditions related thereto. Inverse agonists and antagonists of the invention are useful as therapeutic agents for the prevention or treatment of obesity and conditions related thereto, including hypertension, insulin resistance, metabolic syndrome, Type 2 diabetes, dyslipidemia, atherosclerosis, coronary heart disease, and stroke. Agonists and partial agonists of the invention are useful as therapeutic agents for the prevention or treatment of disorders ameliorated by increasing body mass including, but not limited to, cachexia.
US07998683B2 Predicting mortality and detecting severe disease
Measurement of circulating ST2 and/or IL-33 concentrations is useful for the prognostic evaluation of subjects, in particular for the prediction of adverse clinical outcomes, e.g., mortality, and the detection of severe disease.
US07998681B2 Diagnosis and therapy of antibody-mediated inflammatory auto-immune disorders
The present invention describes diagnosis and treatment of antibody-mediated inflammatory auto-immune diseases. The biochemical mechanisms underlying such disorders are described as characteristic molecular markers and antibody-mediated ligand-receptor interactions. Specifically, the activation of T-cells by disease specific IgG binding to the IGF-1 receptor is shown to underlie thyroid associated ophthalmopathy associated with Graves' disease and rheumatoid arthritis. Diagnostics for detection of disease are provided, as are therapeutics based on the determination of the mechanisms underlying a particular pathology.
US07998677B2 MicroRNA detection
Provided herein are methods for detection of miRNA in a sample. In certain embodiments, the sample comprises RNA and is derived from a cell or tissue. The methods of detection employ a competitor molecule to allow for detection of an miRNA in the presence of an anti-miRNA oligonucleotide.
US07998676B2 Methods and apparatuses for sorting objects in forensic DNA analysis and medical diagnostics
The present invention relates to an apparatus and method of sorting objects and identifying the objects in a forensics sample, including using holographic optical trapping to sort objects from contaminants, and performing (single cell) PCR-based STR analysis on the objects to determine their identification. In addition, the chip used as a support for sorting the objects can also be used for performing single cell PCR-based STR analysis. In another embodiment, a microfluidics chip is used to stream the sample and sort the objects, before single cell PCR-based STR analysis is performed. The chip used for sorting utilizing HOT in the absence or presence of microfluidic streaming and sorting can also be the same as that used for the single cell PCR-based STR analysis.
US07998665B2 Color intermediate motion picture film
Silver halide photographic elements that are color intermediate motion picture films have at least one green sensitive silver halide emulsion that includes a green sensitizing dye that is a cyanine dye having two oxazole groups in the molecule, and another green sensitizing dye having either two imidazole groups in the molecule or one oxazole group and one thiazole group in the molecule. The molar ratio of the first green sensitizing dye to the second green sensitizing dye is from about 4:1 to about 0.3:1. The magenta dye image forming unit has at least one green sensitive silver halide emulsion layer that comprises predominantly cubic silver iodobromide grains having at least 90 mol % bromide and at least 1 mol % iodide, which grains have an average equivalent spherical diameter (ESD) of less than 0.25 μm.
US07998664B2 Processing liquid for resist substrate and method of processing resist substrate using the same
The present invention provides a resist substrate treating solution and a method for pattern formation using that treating solution, and thereby problems such as foreign substances on the substrate surface, pattern collapse and pattern roughness can be easily solved at the same time. The treating solution comprises water and an alkylene oxide adduct of a primary amine having a hydrocarbon group of 11 to 30 carbon atoms or of ammonia. The method for pattern formation according to the invention comprises a step of treating the developed pattern with that treating solution.
US07998662B2 Structure for pattern formation, method for pattern formation, and application thereof
A structure for pattern formation adapted for optically forming a pattern, characterized by comprising: a photocatalyst-containing layer provided on a substrate, the photocatalyst-containing layer containing a material of which the wettability is variable through photocatalytic action upon pattern-wise exposure.
US07998657B2 Ester compounds and their preparation, polymers, resist compositions and patterning process
Novel ester compounds having formulae (1) to (4) wherein A1 is a polymerizable functional group having a carbon-carbon double bond, A2 is oxygen, methylene or ethylene, R1 is a monovalent hydrocarbon group, R2 is H or a monovalent hydrocarbon group, any pair of R1 and/or R2 may form an aliphatic hydrocarbon ring, R3 is a monovalent hydrocarbon group, and n is 0 to 6 are polymerizable into polymers. Resist compositions comprising the polymers as a base resin are thermally stable and sensitive to high-energy radiation, have excellent sensitivity and resolution, and lend themselves to micropatterning with electron beam or deep-UV.
US07998656B2 Chemically amplified positive resist composition
The present invention provides a chemically amplified positive composition comprising: a resin comprising a structural unit represented by the formula (I): wherein R1 represents a hydrogen atom, a halogen atom, a C1-C4 alkyl group or a C1-C4 perfluoroalkyl group, Z represents a single bond or —(CH2)k—CO—X4—, k represents an integer of 1 to 4, X1, X2, X3 and X4 each independently represents an oxygen atom or a sulfur atom, m represents an integer of 1 to 3 and n represents an integer of 0 to 3, and an acid generator.
US07998654B2 Positive resist composition and pattern-forming method
A positive resist composition comprises: (A) a resin that has a repeating unit represented by general formula (a1) and increases its solubility in an alkali developer by action of an acid; (B) a compound which generates an acid upon irradiation with an actinic ray or a radiation; and (C) a resin that has at least one of a fluorine atom and a silicon atom and has a group selected from the group consisting of (x), (y) and (z); and (D) a solvent: (x) an alkali-soluble group; (y) a group capable that decomposes by action of an alkali developer to undergo an increase in a solubility of the resin (C) in an alkali developer; and (z) a group that decomposes by action of an acid, wherein R represents a hydrogen atom or a methyl group, Rxa represents an alkyl group or a cycloalkyl group, and n represents an integer of 1 to 8.
US07998651B2 Imprint lithography
A method of making an imprint template includes providing a transfer layer on a substrate and providing a layer of imprintable medium on the transfer layer, using a master imprint template to imprint a pattern into the imprintable medium, polymerizing the imprintable medium by exposing it to actinic radiation, then etching the resulting polymer layer, the transfer layer and the substrate such that the imprinted pattern is transferred to the substrate, the substrate thereby becoming an imprint template bearing a pattern which is the inverse of a pattern provided on the master imprint template.
US07998650B2 Method for preparing oxytitanium phthalocyanine charge generating material and the new-type oxytitanium phthalocyanine charge generating material therefrom
Disclosed herein is a method for preparing oxytitanium phthalocyanine as a charge generating material. The method comprises the steps of mixing 30˜100 wt % sulfuric acid and an oxytitanium phthalocyanine crude in a mixing ratio between 100:1 and 1:1, homogeneously grinding the mixture in a wet grinder filled with zirconia or glass beads as grinding media at −20° C.˜60° C. for 0.1˜24 hours, and removing the grinding media from the ground mixture using a solvent. According to the method, oxytitanium phthalocyanine usable as a high-quality charge generating material can be prepared without the use of expensive and difficult-to-handle reactants, such as trifluoroacetic acid and pentafluoropropionic acid. Further disclosed is an oxytitanium phthalocyanine charge generating material prepared by the method.
US07998649B2 Grafting functionalized pearlescent or metallic pigment onto polyester polymers for special effect images
Pigment particles with at least one metal oxide surface additive, wherein the at least one metal oxide surface additive is a metal oxide particle covalently bonded with at least one polycondensation polymer, wherein the pigment particle is a pearlescent or metallic pigment.
US07998644B2 Mask blank providing system, mask blank providing method, mask blank transparent substrate manufacturing method, mask blank manufacturing method, and mask manufacturing method
A mask blank manufacturing department manufactures a mask blank by forming a thin film to be a mask pattern on a mask blank transparent substrate. When providing the mask blank to a mask manufacturing department, the mask blank manufacturing department provides optical characteristic information (transmittance variation) of the mask blank transparent substrate and optical characteristic information (transmittance variation and/or phase difference variation) of the mask blank to the mask manufacturing department. The optical characteristic information of the mask blank transparent substrate is provided to the mask blank manufacturing department from a materials processing department that manufactures mask blank transparent substrates.
US07998642B2 Mask pattern data creation method and mask
A mask pattern data creation method includes: determining whether or not a spacing of adjacent assist pattern feature data is not more than a prescribed spacing, based on: initial position data indicating an initially set position of the assist pattern feature data determined based on an illumination condition; and initial size data indicating an initially set size of the assist pattern feature data satisfying a size condition to not optically form an image on the transfer destination; and moving at least one of the adjacent assist pattern feature data or reducing a size of the at least one to increase the spacing of the assist pattern feature data to exceed a prescribed spacing in the case where it is determined that the spacing of the assist pattern feature data is not more than the prescribed spacing.
US07998641B2 Photomask and pattern formation method using the same
A photomask includes a transparent substrate having a transparent property against exposing light, a semi-light-shielding portion formed on the transparent substrate, a first opening formed in the semi-light-shielding portion and having a first dimension and a second opening formed in the semi-light-shielding portion and having a second dimension lager than the first dimension. A phase-shifting portion which transmits the exposing light in an opposite phase with respect to the first opening is formed on the transparent substrate around the first opening. A light-shielding portion is formed on the transparent substrate around the second opening.
US07998640B2 Mask reuse in semiconductor processing
A mask is reused to form the same pattern in multiple layers in semiconductor processing. Reference marks that allow alignment accuracy to be checked are also formed with the mask. The manner of using the mask advantageously mitigates interference between reference marks in different layers.
US07998633B2 Fuel cell system
A fuel cell system includes a branch anode gas supply pipe in which hydrogen before supplied to a fuel cell flows; and a branch cathode gas supply pipe in which air before supplied to the fuel cell flows. One end on the upstream side of the branch anode gas supply pipe is connected to the upstream side of a regulator in an anode gas supply pipe, and the other end thereof is connected to the branch cathode gas supply pipe via a hydrogen injector. The branch anode gas supply pipe is provided with a hydrogen regulator, which detects a pressure in the branch cathode gas supply pipe as a signal pressure.
US07998627B2 Carbon fuel particles used in direct carbon conversion fuel cells
A system for preparing particulate carbon fuel and using the particulate carbon fuel in a fuel cell. Carbon particles are finely divided. The finely dividing carbon particles are introduced into the fuel cell. A gas containing oxygen is introduced into the fuel cell. The finely divided carbon particles are exposed to carbonate salts, or to molten NaOH or KOH or LiOH or mixtures of NaOH or KOH or LiOH, or to mixed hydroxides, or to alkali and alkaline earth nitrates.
US07998626B2 Active metal fuel cells
Active metal fuel cells are provided. An active metal fuel cell has a renewable active metal (e.g., lithium) anode and a cathode structure that includes an electronically conductive component (e.g., a porous metal or alloy), an ionically conductive component (e.g., an electrolyte), and a fluid oxidant (e.g., air, water or a peroxide or other aqueous solution). The pairing of an active metal anode with a cathode oxidant in a fuel cell is enabled by an ionically conductive protective membrane on the surface of the anode facing the cathode.
US07998625B2 Biological fuel cell and methods
A fuel cell has an anode and a cathode with anode enzyme disposed on the anode and cathode enzyme is disposed on the cathode. The anode is configured and arranged to electrooxidize an anode reductant in the presence of the anode enzyme. Likewise, the cathode is configured and arranged to electroreduce a cathode oxidant in the presence of the cathode enzyme. In addition, anode redox hydrogel may be disposed on the anode to transduce a current between the anode and the anode enzyme and cathode redox hydrogel may be disposed on the cathode to transduce a current between the cathode and the cathode enzyme.
US07998623B2 Electrolyte for lithium ion secondary battery and lithium ion secondary battery including the same
An electrolyte includes a lithium salt, a non-aqueous organic solvent, gamma-butyrolactone and halogenated toluene represented by the following formula 1: wherein X represents at least one element selected from the group consisting of F, Cl, Br and I, and n represents an integer of 1 to 5. The lithium ion secondary battery including the electrolyte provides improved safety under overcharge and high-temperature storage conditions.
US07998622B2 All solid lithium ion secondary battery and a solid electrolyte therefor
An all solid type lithium ion secondary battery which has high heat resistance and can be used over a broad temperature range, has a high battery capacity and an excellent charging-discharging characteristic, and can be used stably for a long period of time includes an inorganic substance including a lithium ion conductive crystalline and is substantially free of an organic substance and an electrolytic solution. The inorganic substance comprising a lithium ion conductive crystalline preferably is lithium ion conductive glass-ceramics.
US07998619B2 Positive-electrode material for lithium secondary battery, secondary battery employing the same, and process for producing positive-electrode material for lithium secondary battery
A subject for the invention is to provide a positive-electrode material, which has high capacity and high output and is inhibited from suffering a decrease in output with repetitions of charge and use. The invention provides a positive-electrode material for lithium secondary battery, which comprises a secondary particle of a lithium/transition metal composite oxide containing boron and/or bismuth, and wherein the atomic ratio of the sum of boron and bismuth to the sum of the metallic elements other than lithium, boron, and bismuth in a surface part of the secondary particle is from 5 times to 70 times the atomic ratio in the whole secondary particle.
US07998618B2 Lithium metal phosphates, method for producing the same and use thereof as electrode material
The invention describes a process for producing a compound of the formula LiMPO.sub.4, in which M represents at least one metal from the first transition series, comprising the following steps: a) production of a precursor mixture, containing at least one Li.sup.+ source, at least one M.sup.2+ source and at least one PO.sub.4.sup.3− source, in order to form a precipitate and thereby to produce a precursor suspension; b) dispersing or milling treatment of the precursor mixture and/or the precursor suspension until the D90 value of the particles in the precursor suspension is less than 50 .mu.m; and c) the obtaining of LiMPO.sub.4 from the precursor suspension obtained in accordance with b), preferably by reaction under hydrothermal conditions. The material obtainable by this process has particularly advantageous particle size distributions and electrochemical properties when used in electrodes.
US07998617B2 Cathode materials for secondary (rechargeable) lithium batteries
The invention relates to materials for use as electrodes in an alkali-ion secondary (rechargeable) battery, particularly a lithium-ion battery. The invention provides transition-metal compounds having the ordered-olivineor the rhombohedral NASICON structure and the polyanion (PO4)3− as at least one constituent for use as electrode material for alkali-ion rechargeable batteries.
US07998615B2 Nonaqueous electrolyte for electrochemical devices
The present invention relates to a nonaqueous electrolyte for electrochemical devices, and to electric double-layer capacitor and secondary battery using the said nonaqueous electrolyte. The nonaqueous electrolyte according to the present invention comprises a room temperature molten salt and a fluorohydrocarbon. The nonaqueous electrolyte is flame resistant and can suppress the rise in its viscosity. Therefore, high quality electrochemical devices can be obtained by using the nonaqueous electrolyte. The electric double-layer capacitor according to the present invention comprises a pair of polarizable electrode plates, a separator interposed between the pair of electrode plates, and the inventive nonaqueous electrolyte. The secondary battery according to the present invention comprises a positive electrode, a negative electrode, a separator interposed between the positive electrode and the negative electrode, and a nonaqueous electrolyte, wherein the nonaqueous electrolyte comprises the inventive nonaqueous electrolyte, and further comprises a lithium salt and a cyclic carbonate.
US07998614B2 Lithium ion rechargeable cell
A lithium ion rechargeable cell that is constructed by the steps of forming a cell unit (1) by packing an electrode group (10), in which plate-like positive and negative electrodes (12 and 14) and a plate-like separator (16) are superposed in layers, in a cell case (20) and sealing the electrode group together with an electrolyte; and forming a module by aligning and packing a plurality of cell units in a module case. The cell case is made of a laminated film (22). A porous spacer (30) made of insulating material is interposed in between the entire circumferential surface or partial surface of the electrode group and the laminated film.
US07998612B2 Secondary battery
An electrode group is constructed by disposing a positive electrode current collector exposed portion on one end side and a negative electrode current collector exposed portion on the other end side. A positive electrode current collector terminal plate and a negative electrode current collector terminal plate are connected to the positive and negative electrode current collector exposed portions, respectively. A conductive coned disc spring is disposed compressed between the positive electrode current collector terminal plate and a sealing plate. The coned disc spring has a dead zone in which the elastic force does not change according to the amount of deformation, and urges the negative electrode current collector terminal plate toward a bottom portion of a battery case with a defined elastic force.
US07998607B2 Partially-oxidized cap layer for hard disk drive magnetic media
A perpendicular recording magnetic media with a partially-oxidized cap layer combines a second oxide layer with a first cap layer to form the singular, partially-oxidized cap. The oxidized portion and the non-oxidized portion of the partially-oxidized layer are sputtered from a same target and have a same composition of metallic elements. The Ms of the oxidized portion is about twice as high as the non-oxidized portion. The oxidized portion has a thickness in the range of about 5 to 25 angstroms. The layer composition may comprise CoPtCrBTa, with a Cr at % of about 18-24%, Pt at about 13-20%, B at about 4-10%, and Ta at about 0-2%.
US07998606B2 Perpendicular media with Cr-doped Fe-alloy-containing soft underlayer (SUL)
A perpendicular magnetic recording medium having a substrate, a Cr-doped Fe-alloy-containing underlayer containing about 8 to 18 at % Cr and a perpendicular recording magnetic layer, and a process for improving corrosion resistance of the recording medium and for manufacturing the recording medium are disclosed.
US07998603B2 Transparent conductive film, sintered body target for transparent conductive film fabrication, and transparent conductive base material and display device using the same
A transparent conductive film which is amorphous, has a high transmittance of light in the visible region of short wavelengths, and is hard to beak with respect to bending is provided. The transparent conductive film is an amorphous oxide film composed of Ga, In, and O, in which a Ga content ranges from 35 at. % to 45 at. % with respect to all metallic atoms, a resistivity ranges 1.2×10−3Ω·cm to 8.0×10−3Ω·cm, a film thickness is 500 nm or less, and a transmittance of light at a wavelength of 380 nm is 45% or more.
US07998602B2 Appliance with coated transparency
An appliance transparency includes a first substrate defining a No. 1 and a No. 2 surface and a second substrate spaced from the first substrate and defining a No. 3 and a No. 4 surface. A first coating is deposited over at least a portion of the No. 2 surface and is free of metallic layers. A second coating is deposited over at least a portion of the No. 4 surface and has at least one metallic layer. A protective coating is deposited over at least a portion of the second coating.
US07998597B2 Organic light emitting device
To provide an organic light emitting device having high efficiency and high luminance by making a contrivance to suppress the leakage of an electron or of an exciton, an organic light emitting device of the present invention includes two hole transporting layers having tertiary amine compounds different from each other in structure.
US07998594B2 Methods of bonding pure rhenium to a substrate
Methods are provided for bonding pure rhenium to a substrate comprising a material. Non-lubricated components configured to have friction contact with another component are also provided. In an embodiment, by way of example only, a method includes disposing a eutectic alloy over the substrate to form an inter layer, the eutectic alloy comprised essentially of a base alloy and one or more melting point depressants and having a melting temperature that is lower than a melting temperature of the substrate material and a melting temperature of rhenium, placing pure rhenium over the inter layer, and heating the inter layer to a temperature that is substantially equal to or greater than the melting temperature of the eutectic alloy, but that is below the melting temperature of the substrate material and the melting temperature of the pure rhenium to bond the pure rhenium to the substrate.
US07998591B2 Monoaxially-oriented and annealed films with high cross machine toughness and related process
A tape yarn, woven fabric or carpet backing produced from a polymeric film material formed from an impact copolymer and having increased toughness relative to films that are monoaxially-oriented at conventional temperatures.
US07998588B2 Process for producing room temperature vulcanizable organopolysiloxane composition and base material coated with composition obtained by the production process
A process for producing a room temperature vulcanizable organopolysiloxane composition comprising: (A) 100 parts by weight of a diorganopolysiloxane having in one molecule at least two hydroxyl groups and/or hydrolyzable groups connecting to silicon atoms; (B) 0.2 to 10 parts by weight of an alkylene oxide compound having silicon atoms in a molecule; (C) 0.5 to 100 parts by weight of a silica; and (D) 0.5 to 30 parts by weight of a silane and/or condensate of partial hydrolyzate thereof, wherein said process comprises steps of hot-mixing component (A), component (B), and component (C) together, and subsequently mixing component (D) thereto.
US07998585B2 Compositions for aqueous delivery of fluorinated oligomeric silanes
The invention relates to a dilutable, non-aqueous concentrate and an aqueous dilution used for aqueous delivery of fluorinated oligomeric silanes to a substrate, a method of treating a substrate with the aqueous dilution composition to render it oil and water repellent, and articles having coatings made from the aqueous dilution.
US07998584B2 High-purity carbon fiber-reinforced carbon composite and method for producing the same
A high-purity carbon fiber-reinforced carbon composite includes a matrix, a carbon fiber, and sulfur. The matrix includes a crystalline carbon-based powder and glassy carbon. A content of sulfur in the high-purity carbon fiber-reinforced carbon composite is 5 ppm by mass or less.
US07998581B2 Solid particle erosion resistant surface treated coating and rotating machine applied therewith
A solid particle erosion resistant surface treated coating has a solid particle erosion resistance that is largely enhanced and a rotating member having the coating gains oxidation resistance without deteriorating a fatigue strength. Also, a rotating machine can have this coating applied thereto. The solid particle erosion resistant surface treated coating has a nitrided hard layer formed on a surface of a base material and a PVD (physical vapor deposition) hard layer of at least one layer formed on the nitrided hard layer by a PVD method. Deformation of the base material by collisions by solid particles is prevented and cracking of the coating is prevented. Thereby, the solid particle erosion resistance is secured, life of the solid particle erosion resistant surface treated coating can be increased and oxidation resistance and fatigue strength are enhanced.
US07998578B2 Polyphenylene sulfide spunbond fiber
The present invention is directed to a spunbond fiber comprising polyphenylene sulfide polymer having a zero shear viscosity at 300° C. of about 21,500 to about 28,000 Pa·s.
US07998577B2 Multicomponent fiber with polyarylene sulfide component
This invention relates to a multicomponent fiber having an exposed outer surface, comprising at least a first component of polyarylene sulfide polymer, and at least a second component of a thermoplastic polymer free of polyarylene sulfide polymer, wherein said thermoplastic polymer forms the entire exposed surface of the multicomponent fiber.
US07998576B2 Radiopaque monofilament for contrast X-ray radiography
Disclosed is a monofilament allowing contrast X-ray radiography. At least part of the monofilament is formed of a thermoplastic resin containing a radiopaque agent. The monofilament contains the radiopaque agent in the thermoplastic resin in a content of 30 to 80% by mass, and has a Young's modulus of 0.1 to 5.0 cN/dtex and a fineness of 500 to 20000 dtex.
US07998574B2 Biomedical sensing methods employing hydro-insensitive alternating current responsive composites
A method is disclosed of detecting a bioelectrical signal from a subject. The method includes the steps of applying a composite material to a subject wherein the composite material includes a polymeric material and a polar material that is substantially dispersed within the polymeric material; coupling monitoring equipment to the second side of the composite material; permitting the polar material within the polymeric material to respond to the bioelectrical signal within the subject; and detecting a responsive electrical signal from the composite material that is representative of the bioelectrical signal. The polar material exhibits molecular compatibility with the polymeric material such that the polar material neither blooms to a surface of the polymeric material nor crystallizes within the polymeric material, and the composite material has a first side for contacting the subject and a second side.
US07998571B2 Composite cement article incorporating a powder coating and methods of making same
A cementitious composite article incorporating a powder coating on at least one surface is provided. The composite article includes a surface treatment adapted to facilitate application of the powder coating onto the article. The surface treatment can include modifications to surface porosity, surface, and/or application of a sealer to the surface so as to make the cementitious surface more conducive to powder coating. One method of manufacturing the cementitious composite article includes first applying a sealer coating to a surface of a fiber cement substrate, partially curing the substrate to a gel-like state, applying a powder coating to the article, processing the article to a curing device in which the powder coating and sealer coating are co-cured to form a hardened film.
US07998570B2 Method for manufacturing a fire retardant composite and composite thus obtained
The present invention relates to a method of manufacturing a fire retardant composite, a composite of a foamed polymer having a coating with fire retardant properties, and also the use of such composites. The method according to the present invention comprises the following steps: i) providing beads of foamed polymer, ii) applying a coating on the beads of step i) and iii) shaping the thus coated beads into said composite.
US07998569B2 Composite article and fabrication method
A refractory metal composite article includes a refractory metal ceramic section and a refractory metal ceramic coating disposed directly adjacent to the refractory metal ceramic section. The refractory metal ceramic section and the refractory metal ceramic coating form a composite porous matrix. Each of the refractory metal ceramic section and the refractory metal ceramic coating includes at least one of a refractory metal carbide, a refractory metal silicide, or a refractory metal boride. A solid filler is disposed within pores of the composite porous matrix, and the solid filler is selected from a polymer material, a ceramic material, a metallic material, a glass material, and a glass ceramic material.
US07998561B2 Ceramic laminate and method of manufacturing ceramic sintered body
There are provided a ceramic laminate and a method of manufacturing a ceramic sintered body. A ceramic laminate according to an aspect of the invention may include: at least one ceramic sheet having first ceramic particles and glass particles; and at least one constraining sheet having second ceramic particles and alternating with the ceramic sheet while the constraining sheet and the ceramic sheet are in contact with each other, wherein the glass particles and the first ceramic particles each have a larger particle size than the second ceramic particles, and the first ceramic particles have a particle size of 1 μm or more, the glass particles have a particle size within the range of 1 μm to 10 μm, and the second ceramic particles have a particle size of 1 μm or less. An aspect of the present invention provides a ceramic laminate having constraining layers that can evenly exert a constraining force onto a ceramic laminate during sintering.
US07998559B2 Super-phobic surface structures
Superlyophobic Surface Structure, including a substrate having a surface; a plurality of nanoscale raised features on the substrate surface, each nanoscale raised feature having a length measured in a direction approximately perpendicular to the substrate surface, each nanoscale raised feature having a raised feature diameter along the length and measured in a direction approximately parallel to the substrate surface; a nanoscale top feature on each of a plurality of the nanoscale raised features, each nanoscale top feature having a top feature diameter measured in a direction approximately parallel to the substrate surface; in which an average top feature diameter is greater than an average raised feature diameter. Method of fabricating a Superlyophobic Surface Structure.
US07998558B2 Glass sheet with protected edge, edge protector and method for making glass sheet using same
A glass sheet assembly includes a glass sheet having an edge surface and a shaped fiber. The shaped fiber has a first surface bonded to the edge surface of the glass sheet and a convex second surface not bonded to the edge surface for receiving a load.
US07998549B2 Structure and method of assembly thereof
A method of forming an assembly including a first workpiece having a surface provided with a mortise and a second workpiece provided with a tenon inserted into the mortise joining the workpieces together in which the second workpiece is disposed in a certain orientation relative to the first workpiece to provide a certain disposition of the workpieces when joined together, generally consisting of providing identifying marks on the surface of the first workpiece and the tenon of the second workpiece at locations assuming a certain disposition when the second workpiece is disposed in a certain orientation and the tenon is registered with the mortise; positioning the second workpiece relative to the first workpiece wherein the second workpiece is disposed in the certain orientation relative to the first workpiece, the tenon is registered with the mortise and the marks are disposed in the certain mark disposition; and displacing the second workpiece toward the first workpiece and inserting the tenon into the mortise.
US07998543B2 Dioxetane compound, cationically polymerizable composition, optical film, and liquid crystal display device
A novel dioxetane compound is provided having a cationically polymerizable oxetane group, which compound is excellent in compatibility with a liquid crystalline compound and a non-liquid crystalline compound. An optical film is also provided with excellent liquid crystal orientation retention properties and mechanical strength, produced by aligning a composition of the dioxetane compound and a cationically polymerizable compound in a liquid crystal orientation and fixing the liquid crystal orientation by polymerization. Further, a liquid crystal display device is provided with the optical film.
US07998539B2 Method of forming thin-film structure by oblique-angle deposition
A method of forming thin-film structure by oblique-angle deposition is provided. The method includes the steps of: evaporating target source in a chamber by an electron beam evaporation system, and introducing process gas into the chamber and adjusting tilt angle of the evaporation substrate and controlling temperature in the chamber during evaporation to form thin-film on a evaporation substrate by oblique-angle deposition, and then annealing the evaporation substrate to form a thin-film having porous nanorod microstructure.
US07998537B2 Method for selectively removing hydrogen from molecules
Methods for removing hydrogen from molecules are disclosed. In one embodiment, hydrogen-containing molecules are deposited on a solid substrate and are bombarded with hydrogen projectile particles. The particles may have energies of 5-100 eV, or more preferably 10-50 eV. The hydrogen projectile particles remove hydrogen atoms from the deposited molecules while they are on the substrate, without removing other atoms from the molecules. Dangling bonds are created by the loss of hydrogen and can be used to cross-link the molecules. The resulting product can be a nanometer-thick dense film.
US07998535B2 Method and apparatus for the production of metal coated steel products
The present invention is related to a method for the production of metal coated steel products including steps of: providing a steel product with a metal coating; adding an additional metallic element to the metal coating; subjecting the metal coated steel product to a thermal treatment. The method is characterized in that: prior to the addition of the additional element, the steel product is subjected to a plasma treatment via a dielectric barrier discharge, for cleaning and activating the surface of the metal coating; the additional element is added through a physical vapor deposition technique; the thermal treatment is applied by directing high energy infra red radiation towards the outer surface of the metal coating. The present invention is further related to an apparatus including: a component for performing a plasma treatment on a metal coated product; a component for adding an additional element to the metal coating by using a physical vapor deposition technique; a component for directing high energy infra red radiation towards the outer surface of the metal coating.
US07998534B2 System and method for controlling curl in multi-layer webs
A system and method for controlling curl in multi-layer webs. The method can include providing a coated web, bending the web to induce a strain or pre-curl in the web, and curing the coating to form a multi-layer web. Some coatings at least partially shrink when cured such that curing the coating induces a curl in the multi-layer web. Bending the web occurs prior to curing the coating, and the pre-curl can be configured to at least partially counteract the curl induced by curing to form a multi-layer web having a desired curvature. The system can include a curing section configured to cure a coating, and can further include a web bending section configured to bend the web to induce a strain in the web. The web bending section can be positioned upstream of the curing section such that the web is bent prior to the coating being cured.
US07998526B2 Method and system for dynamic in-situ phosphor mixing and jetting
A system and method for depositing a phosphor composition onto a light emitting device improves manufacturing yield, simplifies conventional processes, and decreases costs. For example, a method of dispensing a phosphor composition onto a light emitting device includes dispensing a portion of the phosphor composition onto the light emitting device utilizing a plurality of colored phosphor dispensers each for dispensing a respective type of phosphor. Power is applied to the light emitting device to emit light, and a characteristic the light emitted by the light emitting device is detected. Phosphor mixing and phosphor dispensing are dynamically controlled. Therefore the color characteristics of phosphor dispensed on LEDs are consistent. The system and method may also reduce the difference between detected characteristic of the light and a desired characteristic of the light.
US07998525B2 Method of manufacturing electrode substrate for photoelectric conversion element of dye-sensitized cell
The present invention relates to a method of manufacturing an electrode substrate that has a base substrate made of glass having a strain point of 520° C. or higher, a transparent conductive layer formed on the base substrate, a metal wiring layer, and an insulating layer made of low-melting glass that covers the metal wiring layer, the metal wiring layer and the insulating layer being provided on the transparent conductive layer, the method including at least the steps of providing paste that forms a base material of the low-melting glass so as to cover the metal wiring layer; and forming the insulating layer by sintering the paste by means of a heat treatment.
US07998521B2 Non-hydrogenated hardstock fat
Non-hydrogenated hardstock fat wherein the combined amount of saturated fatty acids having a length of C12 and C14 is 12 to 24 wt %, the combined amount of saturated fatty acids having a length of C16 and C18 is 28 to 50 wt %, the amount of saturated fatty acids with a length of C18 is 6 to 12 wt %, and the total amount of fully saturated fatty acids is less than 72 wt %, process for obtaining such a hardstock fat, a margarine fat comprising the hardstock fat and a spread comprising the hardstock fat.
US07998512B1 Dough-enrobed foodstuff
A dough-enrobed foodstuff is provided that contains a filling and is coated with a coating composition that includes a dextrin where less than 50% of the dextrin is soluble in about 25° C. (77° F.) water and modified food starch having an amylose content of less than 50% based on the weight of the modified food starch. The coated foodstuff is thermally processed such that the coating becomes substantially clear. The coated thermally processed foodstuff is then frozen. On reheating, the coated foodstuff is crispier than an uncoated, frozen foodstuff after reheating and substantially prevents the filling from breaking the dough-enrobed foodstuff upon reconstitution by subsequent thermal processing.
US07998509B2 Use of stinging cells/capsules for the delivery of active agents to keratinous substances
A composition of matter comprising an agent beneficial to a non-skin keratinous substance and at least one stinging capsule and methods of use are disclosed.
US07998508B2 Method of screening placental proteins responsible for pathophysiology of preeclampsia, and marker for early diagnosis and prediction of preeclampsia
The present invention relates to a method of screening placental proteins responsible for pathophysiology of preeclampsia, and a marker for early diagnosis and prediction of preeclampsia. In accordance with one aspect of the present invention, there is provided a method of screening placental proteins responsible for pathophysiology of preeclampsia by 2D E-proteomics analysis, comprising: isolating placental proteins from a placental tissue; separating the isolated proteins two-dimensionally through 2D electrophoresis; and comparing and analyzing the separated proteins based on scanned gel images and differences in the images between normal placental proteins and preeclamptic placental proteins, wherein the comparison and analysis of the placental proteins based on the scanned gel images and differences in the images are accomplished by selecting proteins with differences of 140% or more between two placentas.
US07998503B2 Form of administration for controlling primary headaches
The invention relates to a nasally applied, film-shaped, bioadhesive pharmaceutical form of administration containing at least one agent-containing layer that is based on crosslinked hydrophilic polymers comprising up to 60 percent by weight of Lidocaine, the percentage being in relation to the total quantity of crosslinked hydrophilic polymers. Also disclosed is the use thereof for controlling primary headaches, preferably migraine.
US07998502B2 Encapsulated vaccines for the oral vaccination and boostering of fish and other animals
The invention relates to a composition comprising a pharmaceutically active agent and a bioadhesive delivery system that provides for the oral delivery of a vaccine to animals, particularly aquatic animals.
US07998498B2 Antimicrobial material and method for making the same
This invention provides a modified catheter biomaterial that provides both immediate, and long-term microbiocidal effects on otherwise antibiotic-resistant strains of microorganisms. The material, which exhibits good mechanical performance characteristics for medical devices, is composed of a hydrophobic polyurethane (PU), a hydrophilic polyethylene vinyl acetate (PEVA), a soluble silver salt and a sparsely-soluble silver salt. The hydrophobic polyurethane provides the good physical properties, the PEVA the hydrophilicity necessary to allow some water ingress into the catheter, the soluble silver salt for an immediate burst effect, and the sparsely-soluble silver salt for sustained-release over many months postimplantation.
US07998496B2 Use of molt-accelerating compounds, ecdysteroids, analogs thereof, and chitin synthesis inhibitors for controlling termites
The subject invention relates in part to the oral administration of ecdysteroids for controlling subterranean termites. Preferred ecdysteroids for use according to the subject invention are ecdysone, certain ecdysone analogs, and 20-hydroxyecdysone, for example. In some preferred embodiments, one or more of these compounds is used in a termite bait in combination with one or more chitin synthesis inhibitors (CSI), such as hexaflumuron and/or noviflumuron. The subject invention also relates to mixtures comprising these two active ingredients. The ecdysteroid analog or molt-accelerating compound (MAC) typically induces a preliminary molting event in termite workers, which then allows the CSI to further disrupt the molt and cause mortality. The combination of these active ingredients, causing accelerated molting together with inhibition of chitin synthesis, is surprisingly shown herein to enhance activity against termites, as compared to either group of compounds alone.
US07998490B2 Genes of an otitis media isolate of nontypeable Haemophilus influenzae
The invention relates to the polynucleotide sequence of a nontypeable stain of Haemophilus influenzae (NTHi) and polypeptides encoded by the polynucleotides and uses thereof. The invention also relates to NTHi genes which are upregulated during or in response to NTHi infection of the middle ear and/or the nasopharynx.
US07998487B2 Antitoxin and vaccine platform based on nodavirus VLPs
Antitoxin and vaccine compositions based on nodavirus VLPs are provided. Anthrax antitoxin and vaccine compositions are provided. Methods of treating toxins with VLP-based antitoxins are provided. Methods of raising an immune response with immunogen decorated VLPs are provided.
US07998485B2 Sialoadhesin-related compositions and methods
Methods of delivering a cargo moiety to a cell is provided according to embodiments of the present invention which includes contacting a cell expressing sialoadhesin with a conjugate including a sialoadhesin binding moiety and a cargo moiety. The sialoadhesin binding moiety binds to the sialoadhesin expressed by the cell and is internalized along with the cargo, delivering the cargo moiety to the cell. Particular methods provided by the present invention include induction or enhancement of sialoadhesin expression in a cell which naturally produces little or no sialoadhesin. Induction or enhancement of sialoadhesin expression includes transfection of a sialoadhesin expression construct and/or administration of an agent effective to induce or enhance sialoadhesin expression. Methods and compositions for stimulating an immune response in a subject are detailed. Particular methods and compositions for stimulating an immune response to a virus are provided by the present invention.
US07998470B2 Compositions and methods improving renal function
Compositions and methods for improving renal function with Streptococcus probiotic bacteria selected for converting nitrogenous waste into non-toxic compounds in vivo are provided. By reducing the levels of nitrogenous wastes, the instant compositions reduce kidney burden.
US07998467B2 Cosmetic/dermatological compositions comprising naphthoic acid compounds and polyurethane polymers
Cosmetic/dermatological compositions for topical application and useful for the treatment, e.g., of acne, contain, formulated into a physiologically acceptable medium, at least one naphthoic acid compound and at least one polyurethane polymer or derivative thereof, the at least one naphthoic acid compound being dispersed therein.
US07998461B2 Salmonella cancer therapeutics and related therapeutic methods
Therapeutic methods for treatment of solid tumor cancer cell masses, as can be effected using therapeutic compositions comprising Salmonella species/strains and related compositions.
US07998459B1 Pharmaceutical composition of nanoparticles
The invention discloses a pharmaceutical composition of bioactive nanoparticles composed of chitosan, poly-glutamic acid, and a bioactive agent for oral delivery. The chitosan-based nanoparticles are characterized with a positive surface charge and enhanced permeability for oral drug delivery.
US07998456B2 Process for the production of hydrogen
The present invention provides a process for the production of hydrogen from the catalytic partial oxidation of a hydrocarbonaceous feedstock (3) with molecular oxygen (4) over a partial oxidation catalyst (6), which process comprises: during a reaction time interval contacting a first mixture of the hydrocarbonaceous feedstock and molecular oxygen with an overall oxygen-to-carbon ratio in the range of from 0.3 to 0.8 with the partial oxidation catalyst to convert the feedstock to a hydrogen-comprising gas and during a regeneration time interval contacting a second mixture of the hydrocarbonaceous feedstock and molecular oxygen with an oxygen-to-carbon ratio in the range of from 1.0 to 10 with the partial oxidation catalyst, in which process the regeneration time interval is in the range of from 2 to 10 seconds and the ratio of the reaction time interval to the regeneration time interval is at most 40.
US07998449B2 Carbon nanotubes production process
Methods of making nanoparticles are disclosed. The nanoparticles include carbon nanotubes and fullerenes, but the methods can be extended to produce other nanotubes, nanocrystals, proteins, nanospheres, etc. The disclosed methods generate cavitation in fluids to create the necessary conditions for nanoparticle formation. Disclosed methods for generating cavitation include explosions and oscillation of fluids.
US07998446B2 Flue gas desulfurization process utilizing hydrogen peroxide
A flue gas desulfurization process in which a SO2-containing flue gas stream is contacted with a recirculating stream of an aqueous medium containing concentrated sulfuric acid and hydrogen peroxide, to yield a desulfurized flue gas stream and to produce additional sulfuric acid in the aqueous medium. A portion of the recirculating aqueous sulfuric acid stream is diverted for recovery of the additional sulfuric acid as gypsum in a neutralization step, and the process parameters are adjusted so that the heat of reaction generated during the neutralization step is sufficient to evaporate the free water that is present and yield a gypsum product that is substantially dry.
US07998445B2 Method and apparatus for the treatment of nitrogen oxides using an ozone and catalyst hybrid system
The present invention is a method of treating nitrogen oxides using an ozone and catalyst hybrid system, as well as an apparatus, specifically relating to a method of treating nitrogen oxide using an ozone and catalyst hybrid system comprising: 1)removing moisture from the nitrogen oxide polluted air to be treated; 2) contacting the moisture-removed nitrogen oxide polluted air with ozone to oxidize NO in said polluted air to NO2; 3) reacting the residual ozone with a catalyst to generate oxygen radicals and then, reacting the oxygen radicals with the nitrogen oxide polluted air from step 2) to oxidize NO2 in the polluted air to NO3−. The nitrogen oxide treatment method and apparatus according to the present invention can effectively treat harmful nitrogen oxides, such as NO, NO2, using an ozone and catalyst hybrid system at room temperature without requiring a high temperature reaction or an ammonia gas as a reducing agent. In addition, the method and apparatus according to the present invention can be useful in the removal of nitrogen oxides in various areas because they can prevent secondary air pollution resulting from the use of ozone.
US07998444B2 Method of reducing nitrogen oxides in a gas stream with vaporized ammonia
The present invention is a method for selective reduction of nitrogen oxides in a gas stream with ammonia wherein the ammonia is vaporized prior to contacting it with the carrying fluid. The present invention also comprises a reducing agent dispersion system for use in substantially uniformly mixing a reducing agent with nitrogen oxides in a flue gas stream comprising a header and a plurality of lances. Further, the present invention comprises a novel reactor design for use in selective reduction of nitrogen oxides in a gas stream.
US07998442B2 Sound insulating board
The invention relates to a sound-insulating board, in particular a footfall insulating board for laminate floors or similar applications. The sound-insulating board is distinguished by a continuous transition of its density from the one large-area side to the other large-area side of the board and comprises a mixture of unglued wood fibers, a binder and/or supporting fibers and a mixed plastic, such as arises from the dual system during the recovery of plastic parts.
US07998434B2 Device and method for diluting a sample
The present invention provides a pump device 50 which is usable to dilute a sample 52 before analysis. A first pump 54 pumps the sample to a mixing region 58 where it mixes with a diluent 66. A second pump 64 pumps the diluted sample to the analysis instrument. The flow of the diluent to the mixer is equal to the difference of the flow of the sample to the mixer and the flow of the diluted sample to the instrument. Pumps 54 and 64 are independently controllable by a controller unit which is arranged so that data from the instrument can be used to determine the dilution factor of the sample. Thus, the controller can control this dilution factor in real time, upon receipt of such data from the instrument, by change either one of (or both) the pump's flow rate.
US07998432B2 Multidisciplinary automatic analyzer for in vitro diagnosis
The device comprises reaction unit cuvettes (22) for different types of tests, a vertical axis rotor (7) which is associated with a rotation drive means and provided with a horizontal gear-teeth crown (8) delimiting radially outwardly open cavities for receiving the reaction unit cuvettes (22), a device (20) for supplying the gear-teeth crown with the reaction unit cuvettes (22), a device (6) for supplying cuvettes with analyzable biological liquid samples, stations (13, 19) arranged around the crown for carrying out measurements and/or analysis and an automation for managing the sequences of a desired process for each cuvette.
US07998430B2 Production of synthetic rutile by continuous leaching
A reactor 20 has a plurality of tubular downcomers 32, 34, 36, 38, 40 and risers 42, 44, 46, 48, 50, joined by sections 86, 88 in a continuous serpentine path the tubes dimensioned to provide substantially plug flow conditions for solid and liquid reagents fed into a first downcomer 24 with the products extracted from final riser 52. The reactor 20 is designed for a desired residence time by the number, height and diameter of the tubes. The downcomers 24, 32, 34, 36, 38, 40 may include a bend to improve residence time and to thereby reduce the number of tubes required for a desired overall residence time. The reactor 20 can be used in a leaching operation for producing synthetic rutile, where a pre-treated feedstock including ilmenite, leucoxene or titania slag is leached with hot HCl.
US07998426B2 Plasma-based gas treatment system integrated in a vacuum pump
A pumping system comprising at least one pump unit (2) with a vacuum pump casing in which there are multiple pumping stages that includes at least one pumped gas treatment system is provided. The pumped gas treatment system compromises at least one plasma source located inside the vacuum pump casing of the pump unit, to generate a plasma that at least partially decomposes certain gases passing through the pump unit. This reduces the size of the pumped gas treatment system and improves its efficiency so that a gas pumping and treatment system can be created that is sufficiently small as to allow it to be placed in close proximity to the process chambers.
US07998423B2 SCR on low thermal mass filter substrates
Provided are selective catalytic reduction (SCR) filters that effectively provide simultaneous treatment of particulate matter and NOx. Provided also are methods for reducing NOx concentration and particulate matter in a diesel engine exhaust by using the SCR filters. The SCR filter can include a fiber matrix wall flow filter comprising a plurality of non-woven inorganic fibers and a chabazite molecular sieve SCR catalyst on the fiber matrix wall flow filter. By combining a fiber matrix wall flow filter with a chabazite molecular sieve SCR catalyst, high catalyst loading can be achieved without causing excessive back pressure across the filter when implemented in emission treatment systems.
US07998421B2 Particle treatment in an expanded toroidal bed reactor
A method of processing a fluid and/or a particulate material, the method comprising the steps of: (a) introducing the particulate material into a chamber; (b) providing a flow of fluid into said chamber for entraining the particulate material; and (c) removing processed fluid and/or particulate material from the chamber; wherein the chamber comprises a processing zone having a substantially circular transverse cross-section, the fluid flow being introduced into the processing zone at an angle of between 10° and 75° with respect to a tangent of the substantially circular transverse cross-section of the processing zone to establish a fluid flow following a substantially helical path in the processing chamber.
US07998417B2 Particulate matter sensor with a heater
An apparatus to detect particulate matter. The apparatus includes a sensor electrode, a shroud, and a heater. The electrode measures a chemical composition within an exhaust stream. The shroud surrounds at least a portion of the sensor electrode, exclusive of a distal end of the sensor electrode exposed to the exhaust stream. The shroud defines an air gap between the sensor electrode and the shroud and an opening toward the distal end of the sensor electrode. The heater is mounted relative to the sensor electrode. The heater burns off particulate matter in the air gap between the sensor electrode and the shroud.
US07998415B2 Functionalized particles for composite sensors
A chemical sensor having a transducer element and a layer of composite material including a polymer matrix and a solid particulate filler disposed in the polymer matrix provides chemical sensors exhibiting improved properties. In particular, the device allows polymer matrix materials to be selected based primarily on diffusion properties, strength, stability and other physical characteristics substantially independent of limitations and compromises that arise when attempting to synthesize polymers having specific types of sensory groups chemically bound to the polymer. The invention also allows greater ability to modify sensor response characteristics by appropriate modification of the particulate filler, whereby a diverse sensor array may be fabricated more easily and at a lower cost.
US07998413B2 Biosensor and method of manufacturing biosensor
Aspects of the invention can be an inexpensive biosensor capable of measuring a number of samples in a short time, and suitable for only one-time use (expendable). The biosensor according to the invention can include a light transmissive substrate, a probe fixing region provided on one face of the light transmissive substrate, a light emitting element provided on the other face of the substrate that irradiates the probe fixing region from the back side thereof, and a light receiving element provided on the other face of the substrate that detects the light intensity of the reflected light from the back side of the probe fixing region. Thereby, a biosensor formed in one substrate can be obtained.
US07998408B2 Apparatus for execution of treatment operations on microscope slides with tissue specimens
An apparatus for automatic execution of different treatment operations in connection with staining of tissue specimens on microscope slides, wherein the apparatus (1) comprises an assembly of vessels (4) for receiving different liquids for staining of the tissue specimens, a loading station (2) for microscope slides (28), a conveyor (5) for transfer of carriers with microscope slides from vessel to vessel in accordance with a treatment program, an unloading station (8) for treated microscope slides, and a control unit (18) for controlling the treatment operations in accordance with a data program. The apparatus comprises different levels (I, II) having units for execution of the relevant treatment operations. Thus, a first level (I) comprises the loading station (2) and the assembly of said vessels (4) with the appurtenant conveyor (5), and a second level (II) comprises a station (6) for application of cover glasses on the stained microscope slides (28), a succeeding station (7) for drying of the cover-slipped microscope slides, and the unloading station (8), a means (9) being provided for gripping and transfer of carriers (10) with stained microscope slides from the first level (I) to the cover-slipping station (6) on the second level (II).
US07998404B2 Reduced temperature sterilization of stents
Methods and systems for reduced temperature radiation sterilization of stents are disclosed.
US07998402B2 High strength weldable Al-Mg alloy
An aluminium alloy product having high strength, excellent corrosion resistance and weldability, having the following composition in wt. %: Mg 3.5 to 6.0, Mn 0.4 to 1.2, Fe<0.5, Si<0.5, Cu<0.15, Zr<0.5, Cr<0.3, Ti 0.03 to 0.2, Sc<0.5, Zn<1.7, Li<0.5, Ag<0.4, optionally one or more of the following dispersoid forming elements selected from the group consisting of erbium, yttrium, hafnium, vanadium, each <0.5 wt. %, and impurities or incidental elements each <0.05, total <0.15, and the balance being aluminium.
US07998399B2 Apparatus for the determination of a parameter of a molten metal or a slag layer lying on the molten metal
An apparatus is provided for the determination of at least one parameter of a molten metal or a slag layer lying on top of the molten metal. The apparatus has a carrier tube, a measuring head arranged on one end of the carrier tube with a body fixed in the carrier tube. An A/D converter is arranged within the measuring head or the carrier tube, and the A/D converter is connected to at least one sensor arranged in or on the measuring head. The measuring head has a contact piece, which is electrically connected via its contact terminals to the signal output of the A/D converter, and the contact piece is connected to a lance inserted into the carrier tube. No more than two signal lines are arranged within the lance, the signal lines each being connected at one end via a contact terminal of the contact piece to the A/D converter and at an opposite end to a computer or an analysis device.
US07998397B2 Dual constituent container and fabrication process
A dual constituent container includes a compartment for liquids and an elongate inwardly directed recess open to the container exterior and isolated from the compartment. The container is formed by blow molding, using a mold that incorporates a shaping feature projected longitudinally into the mold cavity. The shaping feature incorporates an arrangement of longitudinal channels having a channel width such that when a thermoplastic preform is expanded into contact with the mold cavity walls and the shaping feature, portions of the expanded preform span the channels and cooperate with the channels to provide passages that accommodate pressurized air to facilitate separation of the expanded preform from the shaping feature. The same portions of the expanded preform can partially protrude into the channels, thus to form longitudinal ribs along the recess which aid in frictionally holding a secondary constituent.
US07998393B2 Methods for making components having improved erosion resistance
Methods for making components having improved erosion resistance including providing a component having a surface, applying at least one layer of an erosion system to at least a portion of the surface of the component, each layer of the erosion system containing a support, and a toughened resin applied to the support, and co-molding the component having the applied erosion system to produce a coated component having from about a 50% increase to about a 400% increase in erosion resistance per layer of the erosion system.
US07998390B2 Multi-material injection molding apparatus and method
A multi-material injection molding machine includes stationary and moving platens holding cores and first and second injection units for delivering first and second molding materials. The moving platen is slidable towards and away from the stationary platen. Further provided is a rotational distribution unit movable between the stationary platen and the moving platen and defining first cavities on one side and second cavities on an opposite side. The first cavities are for mating with cores to define first mold cavities and the second cavities are for mating with cores to define second mold cavities. Also provided are a rotational actuator for rotating the rotational distribution unit and a molding material delivery apparatus for delivering one or more of the first molding material to the first cavities and the second molding material to the second cavities.
US07998387B2 Process for producing a plurality of high-strength, high modulus aromatic polyamide filaments
A process for producing a plurality of high strength, high modulus aromatic polyamide filaments that includes extruding an acid solution containing at least 15% by weight of an aromatic polyamide through linearly arranged orifices in a spinneret to provide a warp of filaments, passing the warp of filaments through a layer of non-coagulating fluid into a coagulation bath and subsequently passing the warp through a spin tube, the spin tube having an elongated cross section with at least two opposite sides being parallel to the filament warp with the length of these sides being at least as long as the width of the filament warp, jetting additional coagulating liquid at a constant flow rate about the filaments in a downward direction at an angle between 15° and 75° with respect to the filaments, the jetted coagulating liquid moving downward with the warp of filaments through the spin tube at a velocity of about 50% to 100% of the velocity of the filaments, the coagulating liquid being jetted through a jet channel from either one side of the spin tube which is parallel to the filament warp, and the jet channel having at least the same width as the filament warp.
US07998381B2 Process for manufacturing a masterbatch for injection moulding or for extrusion
The invention relates to a method for preparing a feedstock for injection moulding or for extrusion, consisting of inorganic powders combined with an organic, particularly polymeric binder. This method is characterized in that the constituent particles of the inorganic powders are subjected to a granulation step, prior to their mixing with the polymeric binder.
US07998378B2 Method of making composite support structure for use in sanding and sanders formed therefrom
A method of making a composite support structure and sander includes the steps of providing a rigid plate adjacent a mold such that a polyfoam layer can be formed to a front surface thereof which includes a wall defining a contained surface, the plate having a back surface for connection to a handle and introducing into the mold fluid components which react to form a polyfoam which is reaction bonded to the front surface of the rigid plate such that a back surface of the polyfoam layer forms within the contained surface and about the wall in a complementary manner thereto and a front surface of the polyfoam layer extends outward from the front surface of the rigid plate and is formed to have a relatively planar surface thereby rendering the composite support structure for removably connecting sandpaper to the relatively planar surface of the polyfoam layer.
US07998377B2 Apparatuses and methods for producing objects
An apparatus comprises a transfer device rotatable at a speed to transfer a dose of plastics from a supply device to a forming device of said dose, a control device that is suitable for varying said speed in a substantially continuous manner between a minimum value and a maximum value being associated with said transfer device.
US07998375B2 Method of manufacturing high strength dental restorations
Fiber-reinforced composite posts are produced having high strength and high radiopacity. In a method of manufacturing the fiber-reinforced composite posts, fibers are pulled through filled resin and heat is applied at various steps in the process to provide high strength and optimal radiopacity.
US07998374B2 Fabrication method of self-written optical waveguide
A taper angle of a self-written optical waveguide to be formed is increased or decreased at a desired position. A range of light (aperture number) condensed by a focusing lens 31 is adjusted by an iris diaphragm 22′ in which the hole diameter can be changed from 1 mm to 12 mm. An image of the self-written optical waveguide 51 being fabricated is taken with a CCD camera 70, and image processing of the image is executed in real time by an image processing device 71. The taper angle of the self-written optical waveguide 51 is measured, and the taper angle of the self-written optical waveguide 51 can be desirably increased or decreased by changing the diameter of iris diaphragm 22′.
US07998373B2 Flame retardant composition, flame-retardant resin composition and molded product and fiber made of flame-retardant resin composition
To provide a halogen-free flame retardant composition containing no antimony, phosphorus and phosphorus compounds at all, a non-halogen flame-retardant resin composition of environmental type ideal for disaster prevention made of the flame retardant composition, which causes little carbon monoxide (CO) during combustion while having high flame retardance, and molded products, electric wires, cables, fiber or fiber post-processed products made of the resin composition. The flame retardant composition comprises a mixture of (A) a resin having an average particle diameter of not more than 1000 μm selected from wholly aromatic polyamide, polyimide, polyamideimide, a copolymer of the wholly aromatic polyamide, the polyimide or the polyamideimide or a mixture of the above mentioned polymers and (B) a metal hydrate. The flame-retardant resin composition contains 50 to 200 parts by mass of the flame retardant composition to 100 parts by mass of a thermoplastic resin or a thermosetting resin.
US07998367B2 Metal-carbon nanotube composites for enhanced thermal conductivity for demanding or critical applications
According to various embodiments of the present teachings, there is a metal-carbon nanotubes composite and methods of making it. A method of forming a metal-carbon nanotube composite can include providing a plurality of carbon nanotubes and providing a molten metal. The method can also include mixing the plurality of carbon nanotubes with the molten metal to form a mixture of the carbon nanotubes and the molten metal and solidifying the mixture of the carbon nanotubes and the molten metal to form a metal-carbon nanotube composite.
US07998366B2 Method of raising the flash point of volatile organic compounds
The flash points of volatile organic compounds are raised by using from about 0.05 to 5.0 wt. % of a combination of two or more terpene alcohols to allow the blended compound to have a higher flash point. In contrast to heavier loadings, the lower loading of two or more terpene alcohols to a VOC has been found to increase the range of uses for such compounds and as well as increasing the shelf life of the VOC.
US07998363B2 Organic electroluminescence device
Provided are a light emitting layer including molten salt and an organic electroluminescent device comprising the light emitting layer. When the organic electroluminescent device is operated, a field induction charge separation layer is formed in the light emitting layer including the molten salt and thus, carrier injection is improved, thereby providing a light emitting layer having improved light emitting efficiency. An organic electroluminescent device including the light emitting layer has low operating voltage and long lifespan.
US07998361B2 Soft magnetic material and powder magnetic core
A soft magnetic material includes: a plurality of composite magnetic particles formed from a metal magnetic particle and an insulative coating surrounding a surface of the metal magnetic particle and containing metallic salt phosphate and/or oxide; and a lubricant formed as fine particles added at a proportion of at least 0.001 percent by mass and no more than 0.1 percent by mass relative to the plurality of composite magnetic particles. With this structure, superior lubrication is provided during compacting and desired magnetic characteristics can be obtained after compacting.
US07998356B2 Optical integrated device manufacturing process
The invention relates to a process for manufacturing an integrated optical device. The method involves forming a silicon dioxide multilayer structure on a silicon substrate containing, in a first region a core layer of a waveguide of the optical device. The core includes an electromagnetic radiation inlet/outlet A trench in a second region of the multilayer structure adjacent said first region is formed by a an anisotropic etching, the trench including side walls and a bottom wall spaced from the Substrate. The method further involves forming a coating layer of the side walls and the bottom wall of the trench; defining an opening in the bottom wall by at least partially removing the coating layer in order to expose the lower silicon dioxide of the multilayer structure; performing an isotropic etch through said opening in order to remove, starting from the exposed silicon dioxide, the multilayer structure silicon dioxide until forming a recess in the multilayer structure having a first wall at least one essentially planar portion inclined relative to the substrate. Such inclined portion extends at least partially in the first region, and includes the inlet/outlet port.
US07998355B2 CPL mask and a method and program product for generating the same
A method of generating a mask for printing a pattern including a plurality of features. The method includes the steps of depositing a layer of transmissive material having a predefined percentage transmission on a substrate; depositing a layer of opaque material on the transmissive material; etching a portion of the substrate, the substrate being etched to a depth based on an etching selectivity between the transmissive layer and the substrate; exposing a portion of the transmissive layer by etching the opaque material; etching the exposed portion of the transmissive layer so as to expose an upper surface of the substrate; where the exposed portions of the substrate and the etched portions of the substrate exhibit a predefined phase shift relative to one another with respect to an illumination signal.
US07998354B2 Filter press with improved plate assembly and method of filtering
A filter configured to separate the solid components and the liquid components from a slurry. The filter includes a plurality of filter plate assemblies that cooperate to define a plurality of filter chambers, each defining a perimeter having an open section when the filter plates are in a closed position relative to one another. Each filter plate assembly includes a closure configured to close the open section. Preferably, the closures are movable to an open position to permit a particulate cake to be removed from the filter chambers without separating the filter plates.
US07998351B2 Tannin based polymeric coagulants composition and method of use
Composition and method of use for tannin based environmentally benign polymeric coagulants are disclosed. Tannin based coagulants are copolymers of naturally occurring tannins and a cationic monomer. One embodiment of the present invention provides for a composition, of a tannin based polymeric coagulant which is comprised of N,N-(dimethylaminoethyl) methacrylate (MADAME) polymerized using t-butylhydroperoxide and sodium metabisulfite. The resulting polyMADAME is converted to hydrochloride and then blended/reacted in an aqueous medium with tannin to obtain a homogenous poly(MADAME)-tannin composition. The method of use comprises adding an amount of the composition effective for the purpose of clarifying oily wastewater from food and beverage, steel, automotive, transportation, refinery, pharmaceutical, metals, paper and pulp, chemical processing and hydrocarbon processing industries.
US07998350B2 Magnetic treatment device for liquids including a figurine and associated methods
A magnetic treatment device for liquid within at least one liquid container may include a figurine having a body portion and at least one limb extending outwardly therefrom. The at least one limb may be positioned adjacent the at least one liquid container. At least one permanent magnet may be carried by the at least one limb to expose the liquid within the at least one liquid container to a magnetic field.
US07998335B2 Controlled electrochemical polishing method
The invention relates to a method of polishing a substrate comprising at least one metal layer by applying an electrochemical potential between the substrate and at least one electrode in contact with a polishing composition comprising a reducing agent or an oxidizing agent.
US07998332B2 Electroplating method
An electroplating method includes steps of: providing a substrate having a first portion and a second portion connected to the first portion; forming a metallic layer on a surface of the second portion; immersing the first portion of the substrate in an electrolyte solution, applying a current to the metallic layer to electroplate the first portion of the substrate with a metal layer; and moving the substrate in a direction away from the electrolyte solution during electroplating the first portion of the substrate. The method can improve a uniformity of the obtained plating layer.
US07998331B2 Method for electrochemical fabrication
An electroplating method that includes: a) contacting a first substrate with a first article, which includes a substrate and a conformable mask disposed in a pattern on the substrate; b) electroplating a first metal from a source of metal ions onto the first substrate in a first pattern, the first pattern corresponding to the complement of the conformable mask pattern; and c) removing the first article from the first substrate, is disclosed. Electroplating articles and electroplating apparatus are also disclosed.
US07998324B2 Sputtering target and process for producing si oxide film therewith
A Si sputtering target that in the measurement of crystal face orientation of sputtering surface according to X-ray diffractometry, exhibits a ratio of peak intensity of (111) face (I(111)) to peak intensity of (220) face (I(220)) of Si, (I(111)/I(220)), falling within the range of 1.8±0.3. The Si sputtering target comprises, for example, an Si sintered material of 70 to 95% relative density. With respect to sputtering films such as Si oxide film, the film thickness characteristics, film formation cost, etc. can be improved by the use of this Si sputtering target.
US07998323B1 Apparatus for focused electric-field imprinting for micron and sub-micron patterns on wavy or planar surfaces
A Focused Electric Field Imprinting (FEFI) process and apparatus provides a focused electric field to guide an unplating operation and/or a plating operation to form very fine-pitched metal patterns on a substrate. The process is a variation of the electrochemical unplating process, wherein the process is modified for imprinting range of patterns of around 2000 microns to 20 microns or less in width, and from about 0.1 microns or less to 10 microns or more in depth. Some embodiments curve a proton-exchange membrane whose shape is varied using suction on a backing fluid through a support mask. Other embodiments use a curved electrode. Mask-membrane interaction parameters and process settings vary the feature size, which can generate sub-100-nm features. The feature-generation process is parallelized, and a stepped sequence of such FEFI operations, can generate sub-100 nm lines with sub-100 nm spacing. The described FEFI process is implemented on copper substrate, and also works well on other conductors.
US07998319B2 Process for the formation of miniaturized getter deposits and getter deposits so obtained
A process is provided for the formation of miniaturized getter deposits, comprising the steps of forming a layer of a photosensitive polymeric material on a support; selectively exposing the polymeric layer in order to cause a chemical modification in a portion of the polymeric layer; removing with a first solvent only one of the previously exposed or the not previously exposed portions of the polymeric layer, thus forming cavities in the polymeric layer; forming a thin layer of a getter material by cathodic deposition at the bottom of the cavity and on the residual polymer; and removing with a second solvent the polymer portion not removed by the first solvent, leaving at least a getter material deposit on the support surface.
US07998318B2 Crosslinkable fill compositions for uniformly protecting via and contact holes
A via and contact hole fill composition and method for using the composition in the dual damascene production of circuits is provided. Broadly, the fill compositions include a quantity of solid components including a polymer binder and a solvent system for the solid components. The boiling point of the solvent system is less than the cross-linking temperature of the composition. Preferred solvents for use in the solvent system include those selected from the group consisting of alcohols, ethers, glycol ethers, amides, ketones, and mixtures thereof. Preferred polymer binders are those having an aliphatic backbone and a molecular weight of less than about 80,000, with polyesters being particularly preferred. In use, the fill composition is applied to the substrate surfaces forming the contact or via holes as well as to the substrate surfaces surrounding the holes, followed by heating to the composition reflow temperature so as to cause the composition to uniformly flow into and cover the hole-forming surfaces and substrate surfaces. The composition is then cured, and the remainder of the dual damascene process is carried out.
US07998313B2 Inflated fibers of regenerated cellulose formed from ionic liquid/cellulose dope and related products
Inflated fibers of regenerated cellulose and other regenerated structures are formed from ionic liquid/cellulose dope. Fibers so produced may be incorporated into absorbent sheet with other papermaking fibers to provide softness, bulk and absorbency.
US07998310B2 Method for impregnating chips
A method for the impregnation of untreated chips during the manufacture of chemical pulp. The untreated chips are fed without preceding steam treatment into an impregnation vessel that has an upper part with a first area (A1) and a lower part with a second area (A2) that is larger than the first area (A1) by a factor of at least √{square root over (2)}. The chips establish in the upper part a level of chips that is located at a level (h) above a level of fluid consisting of liquor. The chips after passage of the upper part pass through a transitional part, where an increase in area from the first area (A1) to the second area (A2) takes place, and it is here that the angle of repose of the chips is reduced.
US07998307B2 Electron beam enhanced surface wave plasma source
A plasma processing system is described for generating plasma with a ballistic electron beam using a surface wave plasma (SWP) source, such as a radial line slot antenna (RLSA) during semiconductor device fabrication. The antenna comprises a resonator plate having a partially open, electrically conductive layer coupled to a surface of the resonator plate. For example, the electrically conductive layer is formed at an interface between the resonator plate and the plasma, and a direct current (DC) voltage is applied to the electrically conductive layer.
US07998306B2 Substrate processing apparatus
The present invention provides a substrate processing apparatus for processing substrates by immersing the substrates in a processing liquid. This substrate processing apparatus includes a processing tank having a pair of side walls arranged to be opposed to each other; and a pair of processing-liquid supply mechanisms provided respectively corresponding to the pair of side walls. The pair of processing-liquid supply mechanisms are respectively configured for supplying the processing liquid toward a central portion of the processing tank in the width direction connecting the pair of side walls, thereby to create a rising flow of the processing liquid in a central area in the width direction of the processing tank. Each inner wall face of the pair of side walls includes a main body, a projecting portion located above the main body, and a discharge guide portion located uppermost and providing a discharge port configured for allowing the processing liquid to overflow. The discharge guide portion is inclined upward, opposite to the central portion in the width direction. The projecting portion includes an inner end portion located nearer to the central portion in the width direction, as compared with the main body and discharge guide portion.
US07998303B2 Method for assembling jointed wind turbine blade
A method of assembling a wind turbine blade comprises providing a first blade segment comprising at least two first spar cap segments; providing a second blade segment comprising at least two second spar cap segments; inserting the second blade segment into the first blade segment wherein a spar cap cavity is formed between each set of corresponding first and second spar cap segments; injecting an adhesive into the spar cap cavities to bond the blade segments together, wherein a scarf joint is formed between each set of corresponding first and second spar cap segments.
US07998300B2 Apparatus and method for producing waterproof structural corrugated paperboard
Two paper webs, saturated with a B-phase phenolic resin and dried, are conveyed through separate low melting point metal alloy baths, one web after being corrugated, to convert the resin to a fully cured phase, whereafter the webs are joined to form a structurally rigid waterproof single face corrugated web.
US07998295B2 Heatshield having strain compliant matrix and method of forming same
A method of forming a heat shield that involves thermally stabilizing a plurality of phenolic microspheres; mixing the thermally stabilized phenolic microspheres with a phenolic resin to form a phenolic ablative material; compressing the phenolic ablative material into a honeycomb core; and allowing the phenolic ablative material to cure.
US07998291B2 Thermite torch formulation including molybdenum trioxide
A thermite torch formulation that includes a metal fuel in the form of a magnalium alloy and an oxidizer in the form of MoO3. When the thermite formulation is reacted, a torch may direct at least one reaction product onto a certain region of an object to deliver a large amount of energy to that region of the object.
US07998290B2 Enhanced blast explosive
A thermobaric munition including a composite explosive material, the composite explosive material having a high-explosive composition, and a detonable energetic material dispersed within the high-explosive composition, the detonable energetic material in the form of a thin film, the thin film having at least one layer composed at least in part by a reducing metal and at least one layer composed at least in part by a metal oxide. A related method includes tailoring the blast characteristics of high explosive composition to match a predetermined time-pressure impulse, the method including disbursing a detonable energetic material having a preselected reaction rate within the high-explosive composition, the detonable energetic material in the form of a thin film, the thin film having at least one layer composed at least in part by a reducing metal and at least one layer composed at least in part by a metal oxide.
US07998289B2 Press-hardened part and method for the production thereof
To produce a metallic shaped part (1), in particular a vehicle body part, from a semifinished product (2) made of an unhardened hot-workable steel sheet, first of all the semifinished product (2) is formed by a cold-forming method, in particular a drawing method, into a part blank (10) (process step II). The part blank (10) is then trimmed at the margins to a marginal contour (12′) approximately corresponding to the part (1) to be produced (process step III). Finally, the trimmed part blank (17) is heated and press-hardened in a hot-forming tool (23) (process step IV). The part (1) produced in the process already has the desired marginal contour (24) after the hot forming, so that the final trimming of the part margin is dispensed with. In this way, the cycle times during the production of hardened parts of steel sheet can be considerably reduced.
US07998288B2 High strength aluminum alloy fin material and method of production of same
A heat exchanger use high strength aluminum alloy fin material having a high strength and excellent in thermal conductivity, erosion resistance, sag resistance, sacrificial anodization effect, and self corrosion resistance, characterized by containing Si: 0.8 to 1.4 wt %, Fe: 0.15 to 0.7 wt %, Mn: 1.5 to 3.0 wt %, and Zn: 0.5 to 2.5 wt %, limiting the Mg as an impurity to 0.05 wt % or less, and having a balance of ordinary impurities and Al in chemical composition, having a metal structure before brazing of a fibrous crystal grain structure, a tensile strength before brazing of not more than 240 MPa, a tensile strength after brazing of not less than 150 MPa, and a recrystallized grain size after brazing of 500 μm or more.
US07998287B2 Tantalum sputtering target and method of fabrication
A process is described for processing metal which includes clock rolling a metal plate until the desired thickness is achieved to form a rolled plate. Sputtering targets and other metal articles are further described.
US07998286B2 High corrosion resistant Zr-Ti based metallic glasses
Bulk solidifying amorphous alloys (BMGs) having improved corrosion resistance properties; and more particularly a sub-set of Zr—Ti-based BMGs having improved corrosion resistance properties are provided. The BMG compositions are formed by carefully controlling the concentration of, or removing altogether, highly electronegative elements, such as Ni and Cu from Zr—Ti-based bulk solidifying amorphous alloys thereby producing BMG materials with corrosion resistance properties that far exceed those of current commercially available BMGs and most conventional alloys. The elimination of these electronegative materials also opens the possibility of new uses for BMGs, including in biological applications.
US07998284B2 Grain-oriented electrical steel sheet having high tensile strength insulating film and method of treatment of such insulating film
Grain-oriented electrical steel sheet having a chrome-free high tensile strength insulating film characterized by comprising steel sheet on the surface of which is formed an insulating film containing a phosphate and colloidal silica as main ingredients and containing crystalline magnesium phosphate uniformly dispersed over the entire surface.
US07998283B2 Rare earth anisotropic hard magnetic material and processes for producing magnetic powder and magnet using the same
The disclosure provides a rare earth anisotropic hard magnetic material, which has, on atomic percent basis, a composition of (Sm1-αRα)xFe100-x-y-zMyIz, wherein, R is Pr alone or a combination of Pr with at least one rare earth element selected from the group consisting of La, Ce, Nd, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu and Y; M is at least one element selected from the group consisting of Si, Ti, V, Cr, Mn, Co, Ni, Cu, Zn, Nb, Mo, Al, and Zr; I is N alone or a combination of N and C; 0.01≦α≦0.30; 7≦x≦12, 0.01≦y≦8.0, 6≦z≦14.4, and which anisotropic rare earth hard magnetic material is crystallized in a Th2Zn17-type structure, of which crystalline grains are in a flake shape with a gain size ranging from 1 to 5 μm, and c-axis of the crystalline grains, an easy magnetization direction, being oriented along the minor axis of the flake crystalline grains. The disclosure also provides a process for producing the powdery rare earth anisotropic hard magnetic material and a process for producing anisotropic calender flexible magnet.
US07998281B2 Apparatus and method of cleaning a transfer line heat exchanger tube
An apparatus for on-line cleaning and maintaining the cleanliness of a transfer line exchanger tube is provided. In one embodiment, the apparatus includes a housing having a first end, a second end and a longitudinal axis, the housing further including a first inlet for introducing a flushing fluid to the transfer line exchanger tube, the first inlet disposed proximate the first end of the housing, a second inlet for providing a product effluent comprising hydrocarbons and an outlet for placing in fluid communication with an inlet of the transfer line exchanger tube and a critical flow nozzle or flow control orifice, the critical flow nozzle or flow control orifice in fluid communication with the first inlet of the housing. Systems and processes for cleaning and maintaining the cleanliness of a transfer line exchanger are also disclosed.
US07998277B2 Removing hair from a surface
A method of removing hair from a surface laden with hair comprising moving a hair collection element along the surface in a first direction to collect hair, depositing the collected hair at a first location, gliding the hair collection element along the surface in a second direction away from the first location and removing the collection hair from the first location.
US07998274B2 Smoking article
A smoking article comprising discrete segments of smokable material having an encapsulated flavourant contained within a component of the smokable material to provide a stable release of flavourant at different points during smoking, and in particular in the final puffs. The encapsulated flavourant is incorporated within a reconstituted tobacco material for ease of manufacture and for improved flavour stabilisation. A method of making a segmented smoking article having encapsulated flavourant therein is also provided.
US07998272B2 Method of fabricating multi-freestanding GaN wafer
A method of fabricating a plurality of freestanding GaN wafers includes mounting a GaN substrate in a reactor, forming a GaN crystal growth layer on the GaN substrate through crystal growth, performing surface processing of the GaN crystal growth layer to form a GaN porous layer having a predetermined thickness on the GaN crystal growth layer, repeating the forming of the GaN crystal growth layer and the forming of the GaN porous layer a plurality of times to form a stack of alternating GaN crystal growth layers and GaN porous layers on the GaN substrate, and cooling the stack such that the GaN layers self-separate to form the freestanding GaN wafers. The entire process of forming a GaN porous layer and a thick GaN layer is performed in-situ in a single reactor. The method is very simplified compared to the prior art. In this way, the entire process is performed in one chamber, and in particular, GaN surface processing and growth proceed using an HVPE process gas such that costs are greatly reduced. In particular, since GaN is self-separated from the substrate through cooling, a GaN wafer of good quality without the occurrence of cracks can be produced on a large scale with a high yield.
US07998271B2 Solvents and new method for the synthesis of CdSe semiconductor nanocrystals
New methods for the synthesis of nanocrystals/quantum dots are disclosed. The methods comprise use of reasonably-priced and commercially available heat transfer fluids (such as Dowtherm® A) as solvents to synthesize CdSe nanocrystals. Separation of nucleation and growth is achieved by quenching the reaction solution with relatively cold (room temperature) solvent to lower the solution temperature. Quenching may be followed by raising the solution temperature, to allow controlled growth to take place.
US07998268B2 Method to produce durable non-vitrified fly ash bricks and blocks
A method of making durable, non-vitrified masonry units comprising fly ash, the method comprising mixing fly ash comprising a minimum of 15% CaO by weight and no more than about 10% loss on ignition, water, and an air entrainment agent to form a fly ash mixture; compacting the fly ash mixture in a shaping device by applying pressure of at least 1000 psi to the fly ash mixture; and curing the compacted fly ash mixture to cause the mixture to harden and gain strength.
US07998265B2 Asphalt compositions and the preparation thereof
Asphalt compositions and methods of forming such are described herein. The asphalt compositions and methods of forming such are generally adapted to enable open air processing while producing asphalt compositions that exhibit properties capable of meeting SUPERPAVE™ specifications.
US07998263B2 Sintered silicon oxide for film vapor deposition, its production method, and method for producing silicon oxide vapor deposition film
A sintered silicon oxide for film vapor deposition having a density of 1.0 to 2.0 g/cm3, three-point flexural strength of at least 50 g/mm2, and a BET specific surface area of 0.1 to 20 m2/g is provided. When this sintered silicon oxide is used for evaporation source of a film, pin holes and other defects of the film caused by the problematic splash phenomenon can be reliably prevented and stable production of a reliable package material having excellent gas barrier property is been enabled. This invention also provides a method for producing such sintered silicon oxide, and this method can be used in a large scale production without requiring any special technology, and therefore, this method is capable of supplying the market with the sintered silicon oxide at reduced cost.
US07998262B2 Merchandise sales processing apparatus
A dust collector is provided in the main body of a POS terminal so that the dust in the main body can be caught into the dust collector.
US07998261B2 Exhaust gas purifying apparatus
An exhaust gas purifying apparatus for an internal combustion engine includes a collector, a differential pressure sensing device, a differential pressure based estimating device, a partition wall exposure sensing device, and a second regenerating device. The collector captures exhaust particles in exhaust gas. The differential pressure sensing device senses a differential pressure across the collector. The differential pressure based estimating device estimates an accumulation amount of the exhaust particles based on the differential pressure. The partition wall exposure sensing device senses a partition wall exposure state. The second regenerating device forcibly completely combusts the exhaust particles captured in the collector when the partition wall exposure sensing device senses the partition wall exposure state.
US07998260B2 Cyclone silencer of cleaner and dust removing device having the same
A cyclone silencer of cleaner includes an outlet pipe(2) partially inserted into a cyclone cylinder (1). Ribs (3) are arranged on the lower edge of said outlet pipe (2) and extend downwardly to reduce noise.
US07998256B2 Oxygen generator
An oxygen generator comprising a body shell, a top cover set, a bottom cover set, an air pump, multiple switching solenoid valves and a watering control set; the body shell is provided with multiple big tanks filled with molecular sieve substances, its surrounding is provided with a gas intake passage, multiple sets of airflow channels, multiple sets of gas outtake channels, a gas storage tank and an air filtration tank; the body shell is further provided with multiple switching solenoid valves, which control both the circulation between two adjoined airflow channels and the circulation between an airflow channel and an adjoined gas outtake channel; the top cover set and the bottom cover set are installed on the top side and bottom side of the body shell respectively, and the air pump is set up under the bottom cover, while the watering control set is set up on the bottom cover.
US07998252B2 Method and apparatus for in-situ testing of filtration systems
Embodiments of the invention generally provide an apparatus and method for certifying a filter in a containment system without decontaminating the containment system prior to certification. The apparatus generally comprises a valve assembly selectable between at least three operational states. A first state prevents flow from prevents flow through a port of a housing. A second state fluidly couples the port to test equipment necessary to test a filter disposed within the housing. A third state seals the port but fluidly couples the test equipment to a decontamination system.
US07998251B2 Vortex waste separator apparatus
A vortex separator for drawing a substantially moisture-free airstream from a waste stream having an annular channel defining a first vortex flow path for separating liquid and solid waste from this waste stream and preferably a filter unit with an inverted conical cavity between nested inverted cones defining a second vortex flow path that is isolated from the first vortex flow path for separating additional liquid and solid waste from the waste stream before it exits the vortex separator. In preferred embodiments, the separator includes a removable filter unit cartridge positioned within the outer cone and a helix isolator to respectively make use of the separator more convenient to use and more efficient.
US07998249B2 Inlet air chilling and filtration systems and methods for a gas turbine
An inlet air chilling and filtration system for a turbine. The inlet air chilling and filtration system may include a first stage spray array with a first number of spray nozzle pairs, a second stage spray array with a second number of spray nozzles pairs, and a drift eliminator.
US07998248B2 Process for the dehydration of gases
Process for the dehydration of gases, comprising: absorbing water vapor by means of a hygroscopic liquid consisting essentially of one or more C2-C8 glycols and an additive capable of forming a minimum type azeotrope with water; distilling the glycol/water/additive mixture to obtain a top product consisting mainly of the water/additive azeotropic mixture and a bottom product consisting mainly of glycol and additive (hygroscopic liquid); recycling the regenerated hygroscopic liquid to the absorption stage.
US07998247B2 Gas separation membrane comprising a substrate with a layer of coated inorganic oxide particles and an overlayer of a gas-selective material, and its manufacture and use
A gas separation membrane and a method of manufacturing such gas separation membrane that comprises a porous substrate treated with a layer of metal-coated inorganic oxide particles and with the layer of such metal-coated inorganic oxide particles being coated with an overlayer of a gas-selective material.
US07998242B2 Method and apparatus for carrying out a metallurgical process
A metallurgical process involves providing an ingredient enclosure and placing a plurality of granules of a first material in the ingredient enclosure. The first material contains a first ingredient in a metallurgical process. A metallurgical process furnace having a chamber in which ingredients for the metallurgical process are added is provided and the ingredient enclosure and the first material are added to the chamber. The chamber is heated after the addition of the ingredient enclosure and the first material to the chamber, although it may also be heated prior to such addition. In one form, the granules comprise mill scale and the metallurgical process furnace is a blast furnace.
US07998240B2 Process of preventing as elution from copper slag
A process of water-granulating copper smelting slag can readily suppress elution of As from the copper smelting slag. A process of water-granulating a copper smelting slag with granulation water in a circulating system, includes granulating the copper smelting slag with the granulation water; settling suspended solids from the granulation water circulating in the system in a settling tank followed by discharging part of the granulation water through the settling tank from the system; and feeding supplementary water having a concentration of As of 0.01 mg/L or less into the system in such an amount that the total amount of the granulation water circulating in the system is kept constant; wherein the amount of granulation water discharged through the settling tank is such that As eluted from the copper smelting slag after water granulation is 0.01 mg/L or less.
US07998237B2 Method and installation for the production of steel products having an optimum surface quality
Disclosed are a method and an installation for producing steel products (1) having an optimum surface quality, especially extremely low carbon contents (UCL steel or IF steel), nitrogen contents, total oxygen contents, high-strength or stainless steel qualities. According to the invention, the liquid steel is cast into a thin slab (5a) from a process route (10, 11, 12, or 13) that is selected according to the desired final structure (9) based on an electric-arc furnace (2b), is descaled, cut into billets (15) having a partial length, optionally descaled once again, subjected to final descaling downstream from a holding furnace (16), milled in a finishing mill train (6a), rolled up in a rolling station (20) located downstream from the last finishing mill stand (19) or downstream from a cooling section (21), and the final structure (9) is adjusted in the cooling section (21) according to the desired steel quality by cooling on a run-out roller table (22), whereupon the rolling stock (1a) is completely rolled up in a second rolling station (23).
US07998235B2 Method for the production of urea fertilizer with elemental sulphur and the product thereof
The present invention relates to a method for the production of a urea fertilizer with elemental sulphur from sulphur in liquid stage and a liquid urea melt. The surface tension between the two phases of sulphur and urea are influenced in the liquid stage at temperatures above the melting points, by supply of an additive being temperature stable and amphoteric to the liquid sulphur/urea melt to obtain a homogeneous mixed phase, that subsequently is distributed and solidified. The invention also relates to a urea-sulphur fertilizer where the fertilizer comprises urea and elemental sulphur and an additive being temperature stable and amphoteric.
US07998233B2 Air cleaner unit for vehicle and fan shroud having the same
An air cleaner unit for a vehicle includes an air cleaner element and an air cleaner housing to be arranged generally above ring portions of a fan shroud. The air cleaner element is disposed in the air cleaner housing such that a predetermined space is provided between a lower surface of the air cleaner element and an inner surface of a bottom wall of the air cleaner housing. The bottom wall of the air cleaner housing includes at least two arcuate portions to correspond to the ring portions of the fan shroud and a projecting portion between the arcuate portions. The projecting portion projects downwardly and is configured to increase the volume of the predetermined space.
US07998229B2 Polishing composition and polishing method
The polishing composition contains polyoxyethylene sorbitan mono-fatty acid ester, silicon dioxide, water soluble cellulose, an alkaline compound, and water. The content of polyoxyethylene sorbitan mono-fatty acid ester in the polishing composition is less than 0.0025% by mass. The polishing composition is appropriate for final polishing of silicon wafers.
US07998228B2 Tantalum CMP compositions and methods
A composition suitable for tantalum chemical-mechanical polishing (CMP) comprises about 0.1 to about 10 percent by weight of a zirconia or fumed alumina abrasive, about 0.1 to about 10 percent by weight of an alkali metal iodate salt and an aqueous carrier. The composition has a pH of at least about 10. The composition is utilized to polish a surface of a tantalum-containing substrate.
US07998226B2 Appliance for converting household waste into energy
An appliance is provided having a waste receptor module and an energy generation module for converting household waste into energy. The receptor module has a rotary drum with an opening for receiving the household waste and a steam reforming means for converting the waste into synthesis gas. A swing arm is attached adjacent to the opening in the rotary drum and a sealing door is mounted on the swing arm for sealing the opening when the waste receptor module is in operation. An outer door is used to cover the sealing door. The steam reforming means includes a tube mounted within the rotary drum for receiving the volatilized organic waste and an internal heater for heating the organic waste to temperatures to convert the waste into the synthesis gas. The energy generation module has an inlet in fluid communication with the waste receptor module for receiving the synthesis gas and a fuel cell for converting the synthesis gas into electrical energy.
US07998220B2 Methods for treating obesity
A system and methods useful for treating morbid obesity include the installation of a stenosis into an artery of a morbidly obese patient, the artery selected to be one that supplies blood to the small intestine of the patient.
US07998217B1 Modular offset stem implants
A modular device for coupling a stem to an end component of an implant. The device includes a plurality of rotatably interconnectable intermediate components for coupling the stem to the end component, such that each intermediate component can be rotated for selectively changing a total offset between the stem and the end component by a relative offset.
US07998215B2 Devices and techniques for a posterior lateral disc space approach
This invention relates to devices and instruments for implant insertion through a posterior lateral opening to the disc space. The instruments include an implant inserter, and the devices include a spinal fusion implant engageable by the implant inserter. The implant provides bilateral support of the adjacent vertebrae when inserted into the disc space from a postero-lateral approach.
US07998213B2 Intervertebral disc herniation repair
Systems for minimally invasive disc augmentation include an anulus augmentation component and a nucleus augmentation component. Both are suited for minimally invasive deployment. The nucleus augmentation component restores disc height and/or replaces missing nucleus pulposus. The anulus augmentation component shields weakened regions of the anulus fibrosis and/or resists escape of natural nucleus pulposus and/or the nucleus augmentation component. Methods and deployment devices are also disclosed. Methods of repairing a herniated disc by displacing at least a portion of the herniated segment to within the pre-herniated borders of the disc and anchoring at least a portion of the displaced herniated segment to a site within the disc are also provided.
US07998208B2 Percutaneous spinal implants and methods
An apparatus includes an elongate member including a cutting edge disposed at a distal end portion of the elongate member. The cutting edge is configured to cut a portion of an implant when the elongate member moves relative to the implant.
US07998207B2 Synthetic reinforced interbody fusion implants
Interbody fusion implants that include a load bearing body composed of a calcium phosphate material hardened around one or more structural reinforcing members are provided. The reinforcing members aid the load bearing body in resisting bending forces and, in certain forms, aid in preventing expulsion of the implant after implantation. Methods for promoting fusion bone growth in the space between adjacent vertebrae and methods for making the inventive implants are also provided.
US07998206B2 Osteochondral core centrifugation system and method of osteochondral repair
An osteochondral centrifugation system for providing an osteochondral centrifuged core from a graft such as femoral condyle, for example. The centrifugation system may be used either in the operating room or, alternatively, at a tissue banking facility at the time of processing. The osteochondral centrifuged core is formed by (i) removing an osteochondral core from a graft; (ii) placing the osteochondral core in a centrifugation system to remove blood, lipids, or other potentially antigenic or contaminating materials, and to obtain a centrifuged core; and (iii) optionally, subjecting the centrifuged core to a material that reduces the chance of disease transmission and aids in the healing of the recipient site to which the centrifuged core is to be transferred.
US07998203B2 Total knee prosthesis and method for total knee arthroplasty
A prosthetic knee implant for implantation into a mammal, which accommodates an anterior cruciate ligament substitute to provide stability to the knee implant. The prosthetic knee implant includes a femoral component having a pair of condylar surfaces and a tibial component having a surface portion adapted to slidably engage the femoral component upon rotation of the same. The femoral component further includes a recess between the condyles defining an aperture through the femoral component. The tibial component further includes a center portion defining an aperture through the tibial component substantially at its center. The femoral aperture and the tibial aperture are adapted to receive an anterior cruciate ligament substitute for biasing the mammalian femur and tibia together. Also disclosed is a method used to replace the total knee joint in a mammal with the improved prosthetic knee implant of the present invention.
US07998201B2 Methods of forming a tissue implant having a tissue contacting layer held under compression
Disclosed are implantable tissue augmentation devices, methods, and associated tools. The devices include an inflatable body, having an inner layer and an outer layer. A valve is provided for permitting the introduction of and retaining inflation media. At least one pull tab is provided on an end of the implant, to assist in positioning the implant. Kits and systems are also disclosed.
US07998196B2 Replacement heart valve, valve holder and methods of making and using same
A replacement heart valve device usable in a medical procedure in relation in heart valve replacement of a patient. In one embodiment, the replacement heart valve device includes a heart valve holder or conduit holder formed in the form of a cylindrical tube having a longitudinal cut substantially extending from one end to the other end of the cylindrical tube, and a conduit formed with a tubular segment of an elastic material. The conduit is mountable onto the heart valve holder or conduit holder or self-expanding stent. In one embodiment, at least a portion of the conduit is formed with a single layer of the elastic material. In another embodiment, at least a portion of the conduit is formed with two layers of the elastic material.
US07998189B2 Curvable stent-graft and apparatus and fitting method
A stent-graft (100) is provided with a tightenable loop element (122) having a first end terminated in a self-tightening knot (142) and a second end (138) which is received in and can slide in the knot (142). The loop (122) is fitted to the stent-graft (100) in a manner as to cause curvature of the stent-graft (100) upon tightening of the loop. An introducer assembly is also disclosed which includes a cannula (132, 150) within which a pull cord (136) and release wire (134) are located.
US07998187B2 Stent graft connection arrangement
A connection socket (12) for an end of a stent graft or a side arm (10) of a stent graft. The connection socket has a first resilient ring (14) around the arm at its end, a second resilient ring (16) spaced apart along the arm from the first ring and optionally a zig zag resilient stent (20) between the first and second rings. Each of the rings is of slightly lesser diameter than the side arm. The zig-zag resilient stent can be a compression stent.
US07998184B2 Crimpable balloon stent protector
A protective sleeve for a catheter assembly comprising a tubular member composed of a first material. The first material having a first predetermined modulus of elasticity. The tubular member having at least one stripe of a second material engaged thereto. The second material having a greater modulus of elasticity than the first material. The tubular member having a loading state and being crimpable to a reduced state. In the loading state the tubular member being sized to disposingly engage the balloon of a catheter assembly wherein the balloon has a first diameter. When the tubular member is in the reduced state the tubular member is disposingly and retainingly engaged to the balloon. When the tubular member is in the reduced state the balloon has a second diameter which is less than the first diameter.
US07998177B2 Linked bilateral spinal facet implants and methods of use
Superior and/or inferior facets of one or more facet joints may be replaced by superior and/or inferior facet joint prostheses. In one embodiment, a kit of superior or inferior prostheses is provided, in which the prostheses have at least two dimensions that vary among members of the kit independently of each other. Each prosthesis may have a bone engaging surface having a surface that is polyaxially rotatable against a corresponding resection of a vertebra. Each prosthesis may also have an articulating surface shaped such that, after attachment to the spine, the replaced or partially replaced facet joints provide a larger medial-lateral range of motion when the spine is flexed than when the spine is extended. Crosslinks may be used to connect left and right prosthesis together in such a manner that they are stabilized in a position in which they are seated directly against the vertebra.
US07998173B2 Adjustable spinous process spacer device and method of treating spinal stenosis
Provided is an adjustable spacing device configured to be placed between the spinous processes of at least two adjacent vertebrae, the device including a transverse member and at least one adjustable transverse member, which connect two parallel longitudinal legs one to the other and a method of using the device to treat spinal stenosis by restricting extension and allowing normal flexion of adjacent vertebrae relative one to the other.
US07998170B2 Sharpoint needle
A surgical needle includes an elongated needle body defining a longitudinal axis, and having a main shaft, a needle end adjacent the main shaft, and a needle tip extending from the needle end and having an extreme needle point. The needle end includes a plurality of concave surfaces extending from the needle tip to the main shaft. The needle tip includes a plurality of concave surfaces extending from the needle point to intersect the concave surfaces of the needle end. The concave surfaces of the needle end are obliquely arranged relative to the longitudinal axis at a first angle. The concave surfaces of the needle tip are obliquely arranged relative to the longitudinal axis at a second angle greater than the first angle. The elongated needle body includes cutting edges disposed along lines of intersection of the concave surfaces of the needle end with the concave surfaces of the needle tip. The needle end includes four intersecting concave surfaces and defines a generally diamond-shape in cross-section along an axis transverse to the longitudinal axis. The needle end defines a maximum cross-sectional dimension greater than a corresponding maximum cross-sectional dimension of the main shaft. The needle tip may include four intersecting concave surfaces and define a general diamond shape cross-section.
US07998166B2 Distal protection device with local drug delivery to maintain patency
The present invention provides for a drug delivery mechanism for use with a protection device. The protection device has an expandable filter. The drug delivery mechanism automatically delivers a drug to the filter without requiring the intervention of the operator of the protection device. The drug delivered to the filter facilitates continued filter patency during the medical procedure.
US07998154B2 Method and apparatus for radical prostatectomy anastomosis
Apparatus (100) for performing a surgical anastomosis include a tubular body (102) having an expandable anchor (114) operatively coupled near a distal end thereof. The apparatus further includes a sleeve (104) slidably received about the tubular body. The sleeve has an expandable anchor operatively coupled near a distal end thereof. The expandable anchor of the tubular body has an annular (116) ring concentric with a longitudinal axis defined by the tubular body.
US07998145B2 Selectively locking device
A locking element comprising a frame defining a central lumen through which a portion of the elongate member fits; one or more levers extending in the second direction from the frame able to be moved between a locking position and a releasing position; and one or more tabs extending in the first direction from the frame able to be moved by the one or more levers between a locked position and a released position; wherein when the locking element is disposed on an enabling elongate member in a second direction from a second cross section, the one or more tabs prevent the locking element from moving in a first direction beyond a first cross section when disposed in the locked position and do not prevent the locking element from moving in the first direction when disposed in the released position.
US07998137B2 Subdermal cryogenic remodeling of muscles, nerves, connective tissue, and/or adipose tissue (fat)
Devices, systems, and methods treat cosmetic defects, and often apply cooling with at least one tissue-penetrating probe inserted through of the skin of a patient. The cooling may remodel one or more target tissue so as to effect a desired change in a composition of the target tissue and/or a change in its behavior. Exemplary embodiments of the cooling treatments will interfere with the nerve/muscle contractile function chain so as to mitigate wrinkles of the skin. Related treatments may be used therapeutically for treatment of back and other muscle spasms, chronic pain, and the like. Some embodiments may remodel subcutaneous adipose tissue so as to alter a shape or appearance of the skin surface.
US07998135B2 Device and method for ophthalmologically treating the eye using a fixation light beam
A device for ophthalmologically treating the eye has a treatment laser beam (UV) for ablating parts of the cornea (12) and a fixation light beam (24). A fixation light spot in the vicinity of the fovea (30) and the fovea are imaged by means of a camera (40). This makes it possible to check whether the patient has reliably fixated the fixation light source (22). In addition, the pupil can be recorded and both recordings can be superimposed.
US07998133B2 Disposable front loadable syringe and injector
A syringe for an injector that has at its nozzle end a connection to the fluid delivery tubing that prevents, reduces, or eliminates fluid leaks, by virtue of a ridge on the external surfaces of the connector which engage to tubing. Furthermore, the syringe and injector are configured to permit the syringe to be oriented in more than one manner on the injector.
US07998131B2 Reservoir connector
A method and apparatus for a connection interface between a reservoir or syringe, infusion set tubing, and an infusion pump is provided. The elements of the apparatus are organized so that it is capable of being inserted and secured in an infusion pump housing.
US07998130B2 System for connecting a compatibility liner with a source of perishable therapeutic
A system for connecting a compatibility liner with a source of perishable therapeutic is provided. In one exemplary system for connecting a reservoir of perishable therapeutic with a lumen, a hollow hub having a first end and a second end is provided. The first end of the hollow hub, which contains a bond port, is in fluid communication with the second end. The second end of the hollow hub may contain a docking groove that is sized to couple a reservoir to it. The system also includes an inner hypo-tube having a proximal tip and an inner lumen. This inner lumen is lined with a therapeutic compatible lining and is in fluid communication with the second end of the hub through the proximal tip of the inner hypo-tube. The inner lining and the proximal tip in this system are configured to shield therapeutic ejected from the reservoir from contacting materials that can diminish the integrity of the therapeutic.
US07998129B2 Device for facilitating cardioplegia delivery in patients with aortic insufficiency
A method and device that addresses the problem of an incompetent aortic valve by using a simple cardioplegia catheter that can deliver cardioplegia solution to the coronary arteries through the usual aortic cannulation site even in the presence of aortic valve incompetence. The device includes a cardioplegia cannula (32) with an additional lumen containing a nitinol wire (34) inside it that allows advancement of a folded nitinol umbrella (36) with a non-porous membrane or a compressed nitinol ring that covers the aortic valve when opened. During installation, after puncture of the aorta by the coaxial needle and removal of the coaxial needle but before installation of the cardioplegia solution through the central lumen of the catheter, the nitinol umbrella (in folded position) or nitinol ring (in compressed position) is advanced through the second lumen into the aorta just above the aortic valve. The nitinol umbrella is unfolded using the nitinol wire to expose the inverted umbrella configuration with attached membrane and is then advanced as a unit with the cardioplegia catheter until the nitinol umbrella covers the aortic valve at its deployed position.
US07998117B2 Drug delivery device
A drug delivery device having a housing containing a gas generator controlled by an electronic controller. The gas generator generates gas into a reciprocable chamber, whereby reciprocation of the chamber causes a lever to reciprocate a pawl, and this action causes a ratchet to undergo incremental advancement. The device may also be provided with manual control for delivering a bolus dose of drug when necessary.
US07998114B2 Method and apparatus for regulating pressure during medical procedures
A method and apparatus are provided for regulating pressure applied during a medical procedure, including an inelastic housing enclosing an inner volume, a housing having a first and second end; an aperture in the housing, the aperture for coupling to an element for applying a pressure during a medical procedure, the element having an inner volume communicated with the inner volume of the housing; and a pressure-operated valve coupled to the housing for providing access to the inner volume of the housing when pressure in the housing is above a threshold, whereby the valve releases pressure from within the inner volume of the housing. The method and apparatus are particularly useful in preparation of conduits such as veins and arteries during grafting procedures.
US07998106B2 Safety dispensing system for hazardous substances
A combination of a valve assembly and multi-chamber, sequential delivery syringe is disclosed. The combination effectively provides a safety system for transferring hazardous materials from a vial to a site of use. All potentially hazardous materials are flushed from exposed connecting sites prior to disconnections.
US07998104B2 Method and apparatus for treating a carotid artery
One disclosed embodiment comprises a method for treating lesions in the carotid artery of a mammalian body. The method comprises transcervical access and blocking of blood flow through the common carotid artery (with or without blocking of blood flow through the external carotid artery), shunting blood from the internal carotid artery and treating the lesion in the carotid artery.
US07998099B2 Method and apparatus for body work
The present invention provides a method and apparatus for obtaining and maintaining correct alignment of body structure. In one embodiment of the invention, a head band is utilized that places light pressure on the erector spinae and sub occipital muscles below the occiput to relax the muscles that caused the counter clockwise rotation and light pressure is maintained to correct the misalignment of the atlas and occipital condyles. In another embodiment of the invention, the atlas is centered by applying manual gentle pressure to relax the muscles that caused the counter-clockwise rotation. Once relaxed, light pressure is manually applied to correct the misalignment of the atlas and occipital condyles. Gentle pressure can also be applied to the muscles that tightened as a result of the misplaced atlas until the muscles relax. The relaxation of muscles in this manner tends to also allow other misplaced body structure to return to its original position once the buildup of fasciitis is manually released. Moreover, an individual can be taught how to use the method and apparatus of the present invention to thereby maintain correct body structure and relaxed muscles.
US07998097B2 Massage device including at least two rotary annular lips and method using same
A massage device may include: at least one support; and one or more massage members rotatably mounted on the support. The or each massage member may include at least one pair of flexible lips. Each lip may extend about an axis of rotation of the massage member, may be configured to come into engagement with a region to be treated, and may be configured to flex when the device is applied to the region to be treated.
US07998096B1 Paraplegic controlled, concealed mechanized walking device
The PARAPLEGIC CONTROLLED, CONCEALED MECHANIZED WALKING DEVICE is a thoracic/abdominal harness worn under the clothes, concealed from view, with two Motorized Gait Mechanisms with leg appendages on them, a right and a left, that with the aid of crutches with controls on the handles, that control the motorized gait mechanisms, will enable paraplegics and other types of patients with leg paralysis to stand up and walk. It will improve the quality of their lives, enable them to look and appear normal among their peers, help them maintain a high level of self-esteem and assist them in leading a more active and interesting life.
US07998095B2 Occlusion device
Methods, apparatus, and systems for repairing defective occlusions are provided. One embodiment includes an elongate body having a first lumen extending from a proximal end toward a distal end of the elongate body. An elongate structure, having a lumen extending from a proximal end toward a distal end of the elongate structure, is extendably and rotatably positioned at least partially within the first lumen of the elongate body. An energy emitting device is coupled to a portion of the elongate body proximal the distal end of the elongate body to emit focused ultrasound.
US07998092B2 Force sensor system for use in monitoring weight bearing
A force sensor system for use in monitoring weight bearing on a location. The force sensor system comprises at least one a foot force sensor, a palm force sensor, and a knee force sensor. The foot force sensor comprises a flexible insole containing a plurality of inflatable pockets that are inflated with air or liquid. The palm force sensor and knee force sensor each comprise a wrap to be worn around the palm and knee, respectively. Each wrap comprises a pocket. Each pocket is connected to a tube that, in turn, connects with a pressure sensor and a connector coupling that is remote from the pocket. Each coupling contains a valve. The valve opens to allow inflation and deflation of each inflatable pocket. The pressure sensors measure the air or liquid pressure within each of the inflatable pockets, and convert the corresponding pressure signal into a suitable output signal medium, usually electrical signals. The output signal from the sensors provides accurate real time input data to a weight bearing biofeedback system or to control a stimulator for activation of an electronic orthosis to normalize dynamic gait patterns.
US07998089B2 Method of making a guide wire based assembly and reusing an energy source
A transmitter unit with a detachable energy source is provided for a sensor guidewire. The transmitter unit is adapted to be connected to a proximal end of a sensor guidewire provided, at its distal end, with a sensor to measure a physiological parameter inside a patient. In some embodiments, the transmitter unit is adapted to wirelessly communicate by a communication signal with a communication unit, arranged in connection with an external device, in order to transfer measured physiological data to the external device. The detachable energy source can be a battery pack provided with connecting electrical connecting surfaces. Preferably, the connection is protected from penetrating fluids by a protective seal.
US07998088B2 Guidewire tip construction
Guidewire with an improved distal end. A guidewire with an improved distal end may include a core wire, a solder tip disposed at a distal end of the core wire, a radiopaque inner coil coupled to the distal end of the core wire, an outer coil disposed along the length of the core wire, and a distal hub coupled to the core wire and disposed proximate a distal end of the inner coil.
US07998087B2 Blood test apparatus and blood test method
A blood test apparatus negatively pressurizes a vicinity of a site to be punctured for collecting blood at an appropriate time without resorting to a special operation. More specifically, a blood test apparatus includes a housing having an opening; a puncturer; a first sensor that detects contact of a front face of the opening with a site to be punctured; a negative pressure generator that negatively pressurizes an inside of the opening; and a blood sensor that collects blood. The negative pressure generator starts when the first sensor detects the contact of the front face of the opening with the site to be punctured.
US07998084B2 Method and system for diagnosing post-surgical pulmonary vascular occlusions
A method of determining the presence of pulmonary embolism is a postoperative patient using the carbox ratio of the patient prior to the surgical procedure and the carbox ratio of the patient after to the surgical procedure. The characteristics of the breath of the patient are obtained prior to a surgical procedure for a baseline and then afterwards if the patient has difficult breathing. The mean carbox ratios from the baseline and post-surgical data collections are then compared to non-invasively predict the likelihood that the patient has developed pulmonary embolism. A decrease in the carbox ratio of more than twenty-five percent (25%) represents an abnormal test and is consistent with possible pulmonary embolism. No change or an increase in the carbox ratio suggests the absence of pulmonary embolism.
US07998083B2 Method and device for automatically determining heart valve damage
A method and device for automatically detecting heart valve damage for four heart valves are proposed. The automatic determination method makes use of three or more heart tone microphones to simultaneously record heart tones of a patient's heart, and then separates the heart tones into four heart tone signals of the aortic valve, the pulmonary valve, the tricuspid valve and the mitral valve of the heart based on the timing characteristics and related techniques. Next, these four heart tone signals are digitally processed into sampling signals. Subsequently, the convolution method is used to process the sampling signals for producing system transfer functions. Finally, the system transfer functions and the reference database are compared to verify and determine damage for the four heart valves. The automatic determination method can judge heart valve damage to enhance the quality and convenience of medical treatment.
US07998080B2 Method for monitoring blood flow to brain
A method of estimating blood flow in the brain, comprising: a) causing currents to flow inside the head by producing electric fields inside the head; b) measuring at least changes in the electric fields and the currents; and c) estimating changes in the blood volume of the head, using the measurements of the electric fields and the currents.
US07998078B2 Ultrasonic probe having a device for coupling a wire-rope to a transducer
Embodiments of the present invention may provide an ultrasonic probe having a device for coupling a wire-rope to a transducer. A worker can easily couple the wire-rope to the transducer and can conveniently adjust the tension of the wire-rope. The ultrasonic probe has a pair of wire-ropes transmitting a drive force from a driving portion to the transducer by alternately pulling it at both sides thereof for rotating the transducer. The device comprises a wire-rope tightening bolt having a through-hole to which a wire-rope is inserted, wherein the wire-rope tightening bolt is rotatably coupled to the transducer.
US07998076B2 Advanced characterization of contrast agents with ultrasound
Contrast agents are characterized with ultrasound. Flowing or unbound contrast agents are distinguished automatically from bound or relatively stationary contrast agents. The bound or relatively stationary contrast agents are highlighted on a display. A processor distinguishes different types of contrast agents or contrast agents in different binding states with relative signal strength or velocity. Attached contrast agents are differentiated from phagocytosed contrast agents. Monitoring absolute signal strength as a function of time may indicate binding. Any one or more of these features may be used.
US07998071B2 Systems and methods for replacing signal artifacts in a glucose sensor data stream
Systems and methods for minimizing or eliminating transient non-glucose related signal noise due to non-glucose rate limiting phenomenon such as ischemia, pH changes, temperatures changes, and the like. The system monitors a data stream from a glucose sensor and detects signal artifacts that have higher amplitude than electronic or diffusion-related system noise. The system replaces some or the entire data stream continually or intermittently including signal estimation methods that particularly address transient signal artifacts. The system is also capable of detecting the severity of the signal artifacts and selectively applying one or more signal estimation algorithm factors responsive to the severity of the signal artifacts, which includes selectively applying distinct sets of parameters to a signal estimation algorithm or selectively applying distinct signal estimation algorithms.
US07998069B2 Mask algorithms for health management systems
A device and method of providing information to a healthcare provider regarding the dosing of insulin is provided where the data is analyzed to produce a qualified set of points. The applied analysis is performed via parameters that are independent of patient input.
US07998066B2 Evacuation chamber
During cryotherapy, using an endoscope that extends within a patient to a cold treatment site, the device of the invention vacuums gas from the site through radial intake ports to a cylindrical space in an evacuation chamber held elastically circumferentially about the distal end of the endoscope tube that carries the cryoprobe to the site.
US07998064B2 Endoscope insertion portion
An endoscope insertion portion of the invention comprises: a distal end portion; first image pickup means for obtaining a first observation image, the first image pickup means being disposed to the distal end portion; second image pickup means for obtaining a second observation image, the second image pickup means being disposed to the distal end portion; a first object optical system for condensing photographing light incident on the first image pickup means, the first object optical system being located in the distal end portion; a second object optical system for condensing photographing light incident on the second image pickup means, the second object optical system being located in the distal end portion; and a plurality of illumination optical systems for irradiating light to a subject, the plurality of illumination optical systems being located in the distal end portion in a manner sandwiching each of the first object optical system and the second object optical system. The endoscope insertion portion thus can show enough of good observation performance by distributing a plurality of image pickup means with necessary amount of illumination light.
US07998063B2 Intro-subject introduction apparatus, extracorporeal receiving apparatus, and intra-subject information gathering system
An intro-subject introduction apparatus which is introduced into the inside of a subject and is movable inside the subject includes an information acquisition section for acquiring intra-subject information. A wireless transmission section transmits a transmission signal including the acquired intra-subject information to an extracorporeal receiving apparatus provided outside the subject. A reflected signal deriving section derives a reflected signal generated between the wireless transmission section and the inside of the subject. A transmission signal control section controls the wireless transmission section on the basis of the derived reflected signal.
US07998062B2 Endoscope structures and techniques for navigating to a target in branched structure
Systems and methods employing a small gauge steerable catheter (30) including a locatable guide (32) with a sheath (40), particularly as an enhancement to a bronchoscope (14). A typical procedure is as follows. The location of a target in a reference coordinate system is detected or imported. The catheter (30) is navigated to the target which tracking the distal tip (34) of the guide (32) in the reference coordinate system. Insertion of the catheter is typically via a working channel of a convention bronchoscope. Once the tip of the catheter is positioned at the target, the guide (32) is withdrawn, leaving the sheath (40) secured in place. The sheath (40) is then used as a guide channel to direct a medical tool to target.
US07998043B2 Prostrate grabbling exercise apparatus
A prone crawling exerciser comprises upper limp support, left and right leg slides, track means and pulley and cable means, wherein, left and right leg slides each is mounted with wheels; track means comprises two parallel left and right tracks and track brackets, left and right tracks mounted on track brackets with a space from ground, upper limp support located on left and right track or track brackets; left and right leg slides are mounted on left and right tracks and are able to slide on left and right tracks through said wheels; pulley and cable means comprises pulley and cable, said pulleys mounted on track means, said cable reeving about said pulleys connecting at least left and right leg slides. This invention enables users to simulate an animal prone crawling motion. With its adjustable loading, it is suitable for various kinds of users with different physique and age, even for the upper limb disabled person. The user can specifically exercise his lower back and abdomen, pelvis and lower limbs with different load.
US07998040B2 Force assistance device for walking rehabilitation therapy
A physical therapy apparatus for use in conjunction with a treadmill provides an assistive force to a forward movement of the legs. A force assistance device is adapted to attach to the feet or legs of a patient positioned on a motorized treadmill to assist in walking therapy by providing an assistive force to a forward movement of the patient's feet or legs. An adjustment device may vary an interface of attachment, for example, the height or direction, between the force assistance device and the patient's feet or legs. A force arresting device may arrest the assistive force provided by the force assistance device during the forward movement of the patient's feet or legs. The force assistance device provides a substantially constant assistance force during the forward movement of the patient's feet or legs. The physical therapy device may also include a force adjustment device connected with the force assistance device to vary the magnitude of the assistive force.
US07998030B2 Speed and resistance apparatus
A rolling resistance producing apparatus is tethered to trail behind a person includes a longitudinally aligned fabric panel stretched on a frame which also engages the rear end of an elastomeric band that is stretched through a sheath formed in the panel to engage a harness tied to selected limbs of the person to provide resistance thereto. The trailing end of the frame is supported on a wheel to follow the person as she or he are moving. A measurement system sensing the movement of the wheel then records the rate of the movement to provide an indication of fatigue.
US07998029B2 Article, assembly and method for rehabilitating cranial (facial and neck) muscles
A patient supporting rehabilitating article for providing muscle restoration or restructuring and which includes a body and at least one weighted object arranged upon the body and being positioned at patient specific location during bi-direction repetitive movement initiated by the patient. The body in one variant includes a patient gripping handle terminating in a head support portion exhibiting an ergonomic configured underside. In another variant, the body exhibits a likewise ergonomically configured support portion with opposite attachment ends for receiving opposing looped ends associated with a patient wearable strap.
US07998028B2 Apparatus and method for providing a user with a personal exercise program
A convenient device, such as a mobile phone, provides a user interface for a system that generated personalized exercise programs and guides users through the exercises in a generated program. The generation of the program may be performed by the device providing the user interface.
US07998027B2 System and method to reduce stall during deceleration fuel shut off
A method of controlling fuel injection in an engine of a vehicle comprising of deactivating a fuel injector of the engine during a deceleration condition of the vehicle; and reactivating the fuel injector when a rate of change of a powertrain shaft deceleration is greater than a threshold.
US07998023B2 Hybrid powertrain with an engine input clutch and method of control
A powertrain is provided with a first input clutch and a second input clutch, each of which is selectively engageable to connect an engine with a transmission input member. Selective engagement of the first input clutch permits a motor to power the engine to start the engine. Selective engagement of the second input clutch permits the engine to power the transmission for propulsion.
US07998020B2 Apparatus for selectively rotating and/or advancing an elongate device
An apparatus for selectively advancing and/or rotating an elongate device, includes a carrier mounted for rotation about a primary axis and a pair of opposed rollers on the carrier adapted to drive the device in a direction along the primary axis. A mechanism drives at least one of the rollers has a first gear and a second gear. A first input selectively rotates the second gear in first or second directions to advance or retract the elongate device. A second input selectively rotates the carrier in first and second directions to rotate the elongate device. The operation of the second input alone simultaneously rotates and advances/retracts the elongate device, and the coordinated operation of the first and second inputs rotates the elongate device without advancement/retraction.
US07998016B2 Universal gear train
A gear train includes a first planetary gear set including a first sun gear, a first ring gear, and a first carrier and a second planetary gear set including a second sun gear, a second ring gear, and second carrier. The gear train also includes a first clutch configured to selectively connect the first carrier with the second carrier and a second clutch configured to selectively connect the first sun gear with the second sun gear. The gear train also includes a first brake configured to selectively fix the first sun gear, a second brake configured to selectively fix the second sun gear, and a third brake configured to selectively fix the first carrier.
US07998015B2 Multi-speed transaxle for a front wheel drive vehicle
A front wheel drive transmission is provided having an input member, an output member, four planetary gear sets, a plurality of coupling members and a plurality of torque transmitting devices. Each of the planetary gear sets includes a sun gear member, a planet carrier member, and a ring gear member. The torque transmitting devices include clutches and brakes arranged within a transmission housing.
US07998014B2 8-speed transmission
The transmission has a plurality of members that can be utilized in powertrains to provide eight forward speed ratios and one reverse speed ratio. The transmission includes three planetary gear sets having six torque-transmitting devices, two fixed interconnections and a grounded member. The powertrain includes an engine and torque converter that is continuously connected to at least one of the planetary gear members, and an output member that is continuously connected with another one of the planetary gear members. The six torque-transmitting devices provide interconnections between various gear members, and the transmission housing, and are operated in combinations to establish at least eight forward speed ratios and at least one reverse speed ratio.
US07998011B2 Speed change apparatus
A speed change apparatus includes a first clutch having a first clutch drum, in which an annular clutch plate is spline-fitted to an inner peripheral surface of the first clutch drum, and a clutch piston formed with a spline on an inner peripheral surface of the clutch piston is provided to slide in an axial direction and press the clutch plate; and a second clutch having a second clutch drum, which is disposed in the clutch piston of the first clutch concentrically with the first clutch, and in which a spline is formed on inner and outer peripheral surfaces of the second clutch drum such that outer peripheral surface spline teeth form inner peripheral surface spline grooves. Wherein the outer peripheral surface of the second clutch drum is spline-fitted to the inner peripheral surface of the clutch piston and an annular clutch plate is spline-fitted to the inner peripheral surface of the second clutch drum.
US07998006B2 Speed ratio control device and method for belt type continuously variable transmission
In a speed ratio control device for a belt continuously variable transmission according to this invention, when a speed ratio is subjected to feedback control on the basis of a difference between an actual speed ratio and a target speed ratio, pressure supplied to a primary pulley is corrected through feedforward (S17, S18) in order to suppress variation in the groove width of the primary pulley caused by a rapid variation in an input torque into the primary pulley (S17) while traveling in a fixed speed ratio mode (S14) in which the target speed ratio is held at a fixed value.
US07997998B2 Metal wood club
A golf club head is provided with a body that has an address position with a zero degree bounce portion on the sole and a center sole position with a multi-relief surface of the sole having a negative bounce portion. The negative bounce portion may comprise a negative 0.5 to a negative 4.0 degree surface. In one embodiment, the multi-relief surface may comprise the negative bounce portion and a cutaway portion extending to the back of the sole, which may have a depth of about 0.05 to 0.5 inch from a regular sole surface. In another embodiment, the golf club head includes a weight system to adjust the center of gravity. In one embodiment, the weight system is a tube having a weight at one end that may be inserted into the golf club head to move the center of gravity at least forward and backward within 6 mm and up and down within 6 mm. Preferably, the tube is angled downward toward the face by at least 3 degrees.
US07997993B1 Golf resistance/stretching aid system
A central junction in a generally Y-shaped configuration has a primary leg and first and second secondary legs with a recess formed in each leg. First and second elastic cords have interior and exterior ends. An essentially rigid shaft has an elastomeric grip on its interior end. First and second clips each have a base with a recess and a resilient finger with a free end. Two connectors are located between the interior ends of the cords and the recesses of the secondary legs. Two connectors are located between the exterior ends of the cords and the recesses of the clips. One connector is located between the exterior end of the shaft and recess of the primary leg.
US07997990B2 Direct torque flow constant velocity joint having collet connection
A direct torque flow constant velocity joint collet connector includes an outer joint part having outer ball tracks, an inner joint part having inner ball tracks, a cage and a plurality of balls. The inner joint part is articulately secured in a rotationally fast way to the outer joint part with the plurality of balls held in the cage. The inner joint part includes a front portion, an inner bore, a collet extension and at least one slot. The collet extension extends from the inner ball tracks to the front portion of the inner joint part. The slot extends into the collet extension from the front portion between an outer surface and the inner bore of the inner joint part, wherein the collet extension of the inner joint part is compressible for selective attachment to a journal shaft of a supplied drive unit.
US07997988B2 Direct torque flow constant velocity joint face spline connector
A direct torque flow constant velocity joint connector includes an outer joint part, and inner joint part, a cage and a plurality of balls. The outer joint part includes outer ball tracks. The inner joint part includes inner ball tracks and a rotational axis, wherein the inner joint part has a face spline oriented about the rotational axis. The plurality of balls are provided in the cage and engage the inner and outer ball tracks of the respective inner and outer joint parts, thereby allowing torque transmission by way of the face splines. Also provided is a direct torque flow constant velocity joint connection.
US07997987B2 Computer-based gaming teams
Groups are formed, in a computer-based (e.g., on-line) gaming environment, based on social relationships between players. Teams are formed from members of the group. Team formation is based on a game related interest. Each team is assigned an identity that is maintained within a single game and across multiple sessions of a game. The team can interact within the gaming environment much like an individual user can interact. Teams can play games with other in-group and out-of-group teams. Team members can play games with each other. Team statistics and achievements can be accumulated and aggregated. Team profiles provide information about the team, such as a list of the members of the team. Via team profiles, a member of a team can see information about other members of the team, such as other teams to which they belong. Team members can send and receive intra-team messages.
US07997984B2 Method and system of real video gaming
Methods and systems for gaming over a network or communications medium such as via the Internet. In specific embodiments, a real video gaming experience is generated by for a player at a client system from a sequence of real world derived chance events and associated video clips. The server system optionally provides a sequence in an encoded format and the sequence is delivered to the client where the client uses the sequence to create a game of chance for a player.
US07997983B2 Slot machine game having a plurality of ways to issue a percentage of a progressive award based upon any wager level (“percentage progressive”)
A slot machine issues a percentage of one or more progressive awards based upon any wager level (“Percentage Progressive”). In a preferred embodiment of the present invention, the Percentage Progressive concept operates as follows: The game displays the total progressive award amount; The player sets the wager; The game displays a Percentage Progressive value based upon the wager level; The player presses the spin button; The reels to spin and stop; The player collects credits for any winning combinations appearing on the reels, including pay table and progressive awards. In the event of a progressive award, the player receives the Percentage Progressive value * total progressive award amount. The preferred embodiment of the present invention, therefore, allows players to participate in progressive awards at any wager level.
US07997975B2 Slot machine
A slot machine includes a symbol displaying device, a start switch, a display, and a controller. The controller is configured to implement a process including: (a) controlling the symbol displaying device; (b) determining whether or not symbols displayed in the symbol displaying device is entitled to a bonus game; (c) controlling a transition from a basic game to the bonus game if the controller determines that the symbols are entitled to the bonus game; (d) controlling the display to operate as a touch panel; and (e) executing a lottery to select one of first and second bonus game items with a selectable element selected by the player via the touch panel. The controller controls the payout given to the player according to the bonus game item selected in the lottery.
US07997974B2 Method and apparatus for automatically operating a game machine
A gaming device such as a slot machine may be operated in an automated play mode in which the need for player input is reduced or eliminated. Game play cycles may be continuously performed in the automated play mode without player input. One or more parameters for controlling the automated play mode may be determined based on player input and/or data or a program stored in the gaming device and/or data or a program stored in a controller in communication with the gaming device. Termination of the automated play mode may result from player input and/or may be determined on the basis of a parameter that may be determined on the basis of player input or may be determined automatically by the gaming device or by a controller in communication with the gaming device.
US07997973B2 Amusement device for secondary games
Various embodiments of amusement devices and methods for various games are described. In some embodiments, a secondary player may engage in a game started by a first player. Various additional methods and apparatus are described.
US07997968B2 Gaming device and method
A gaming device includes several changeable prize displays with each changeable prize display sequentially displaying several different prizes. A moveable indicator can move relative to the changeable prize displays and selectively indicate at least one of the prize displays by moving proximate the position of the indicated prize display. The gaming device has a player input device and a controller that are in communication with each other and the changeable prize displays. The controller can cause each of the changeable prize displays to sequentially display the different prizes and to move the moveable indicator relative to the changeable prize displays. The controller can randomly select a prize and detect activation of the player input device. The moveable indicator can stop, after the player input device is activated. A changeable prize display closest to the moveable indicator can display the randomly selected prize.
US07997966B2 Cooling structure for electricity storage device
A cooling structure for an electricity storage device includes: a secondary battery generating heat; a DC-DC converter generating heat in a quantity different from that of secondary battery; an exhaust path for passing air after cooling secondary battery generating heat in a relatively small quantity, and led to the outside of a vehicle; an exhaust path for passing air after cooling DC-DC converter generating heat in a relatively large quantity, and joining exhaust path; and a circulation path connected to exhaust path upstream of an air flow beyond a position at which exhaust path joins, and led to the inside of a vehicle compartment. With this configuration, there is provided a cooling structure for an electricity storage device in which the suppression of both increase in temperature and decrease in inner pressure inside the vehicle compartment can be effectively achieved at the same time.
US07997964B2 Air vent providing diffusion
An adjustable air vent assembly has a frame and a plurality of louvers pivotally mounted to the frame. The plurality of louvers is movable between a closed position and a diffuse position. In the closed position, each louver has an edge contacting an edge of at least one other louver to substantially prevent air from flowing through the plurality of louvers in the closed position. In the diffuse position a first louver is oriented along a non-parallel plane with respect to a second louver. A single actuator is provided for moving the plurality of louvers between the closed and diffuse positions.
US07997963B2 Powder coating systems
A powder spray booth (2) with a powder recovery system having an overspray intake (18) communicating with the interior of the booth. A vertically extending duct (20) leads from the overspray intake to a powder recovery system such as, for example, a cyclone. The vertically extending duct may have doors (32) opening to the interior of the booth to provide access to the vertical duct for cleaning. The doors may have holes (120) to aspirate air from the booth interior to optimize air flow patterns within the booth. A diverter plate (26) within the booth (2) defines with the booth floor (10) a floor duct leading to the overspray intake (18). The diverter plate has apertures for allowing oversprayed powder to be collected through the plate. The diverter plate may be provided as two or more diverter plates held at different elevation levels within the booth to define a floor duct which is larger in parts of the floor duct which are closer to the overspray intake than parts of the floor duct which are farther from the overspray intake. The coating system may include an air assist which provides jets of air across a portion of the interior surface of the booth. The surface may be one or more sloped portions (22) of the floor and/or one or more portions of the diverter plate. The air supply may be pulsed to produce periodic bursts of air across the interior surface.
US07997958B2 Apparatuses and methods for conditioning polishing pads used in polishing micro-device workpieces
Apparatuses and methods for conditioning polishing pads used in polishing micro-device workpieces are disclosed herein. In one embodiment, an end effector for conditioning a polishing pad includes a member having a first surface and a plurality of contact elements projecting from the first surface. The member also includes a plurality of apertures configured to flow conditioning solution to the polishing pad. The apertures can extend from the first surface to a second surface opposite the first surface. The member can further include a manifold that is in fluid communication with the apertures. In another embodiment, a conditioner for conditioning the polishing pad includes an arm having at least one spray nozzle configured to spray conditioning solution onto the polishing pad and an end effector coupled to the arm. The end effector includes a first surface and a plurality of contact elements projecting from the first surface.
US07997956B2 Quartz glass tool for heat treatment of silicon wafer and process for producing the same
To provide a quartz glass tool, for silicon wafer heat treatment, having a transparent grooving face, which is free from the deposition of particles of a transition metal element foreign material derived from glass dust or diamond blade produced by breakdown of acute irregularities based on opening of very small concaves and convexes and microcracks, causes no significant change in dimension also in cleaning with hydrofluoric acid, and can maintain a high degree of cleanness even after use of a long period of time, and to provide a process for producing the same. A quartz glass tool for silicon wafer heat treatment, comprising a wafer mounting member having a grooving face formed by machining, characterized in that the whole grooving face of the wafer mounting member is transparent, the surface roughness is 0.03 to 0.3 μm in terms of center line average roughness (Ra) and 0.2 to 3.0 μm in terms of maximum roughness (Rmax), and a change in center line average roughness and maximum roughness after etching with a 5% aqueous hydrogen fluoride solution for 24 hr is not more than 50%. A process for producing a quartz glass tool for silicon wafer heat treatment, comprising roughly machining a wafer mounting member with a diamond blade having a rough particle size, conducting remachining with a diamond blade having a finer particle size than the above diamond blade, and then subjecting the inside of the groove to firing finishing.
US07997955B2 Method and apparatus for circumferential interior treatment of pipe elbows
A method for the circumferential interior treatment of pipe elbows, including a step of positioning a working member within a pipe elbow and moving the working member along a portion of a length of the pipe elbow between selected working positions, while concurrently manipulating the pitch and rotational positioning of the pipe elbow to maintain a concentric rotation of the pipe elbow about the working member.
US07997952B2 Light up bouncing and entertainment apparatuses
The present invention relates to a pogo stick structure, more particularly, a pogo stick comprising a housing unit, foot support plate, piston, spring mechanism, and handlebars. The external portion of the housing unit includes a plurality of LED lights that light in accordance with the piston impinging the ground. LED lights displayed on the housing may be of different colors and may be arranged in a multitude of ways. Furthermore, the displayed LED lights may light in different patterns and at different times as a result of an electronic processing unit. A foam layer may cover the housing and protect the lights from accidental damage. The foam layer and lights may also be used with other entertainment devices such as stick horses.
US07997950B2 Field emission electron source having carbon nanotubes and method for manufacturing the same
A field emission electron source having carbon nanotubes includes a CNT string and a conductive base. The CNT string has an end portion and a broken end portion, the end portion is contacted with and electrically connected to the surface of the conductive base. The CNTs at the broken end portion form a tooth-shape structure, wherein some CNTs protruding and higher than the adjacent CNTs. Each protruding CNT functions as an electron emitter. Further, a method for manufacturing a field emission electron source is provided. The field emission efficiency of the field emission electron source is high.
US07997943B2 Transverse wedge connector
An electrical connector assembly includes a first conductive member and a second conductive member. The first conductive member includes a first channel portion extending from a first wedge portion, with the first channel portion configured to receive a first conductor therein. The first conductive member includes a jaw movably coupled to the first channel portion and being positioned between the first channel portion and the first wedge portion. The second conductive member includes a second channel portion extending from a second wedge portion where the second channel portion configured to receive a second conductor. The first wedge portion and the second wedge portion are assembled such that the second wedge portion engages the jaw and moves the jaw to the closed position. The jaw engages the first conductor in the closed position. Optionally, the first channel portion may have a contoured shape.
US07997942B2 Cable connector device for a battery
This invention relates to a cable connector device for use on a battery terminal post, the cable connector including a conductive mounting cap having an internal mounting wall with a surface area corresponding with the surface area of a said battery mounting post to enable, in use, the cap to be mountable over the said battery mounting post, the mounting cap including a cable mounting post integral with or attachable to the mounting cap, the cable mounting post being adapted with a cable fastening means, in use, to receive and fasten an electrical cable thereto.
US07997936B2 Power connector
A power connector includes an insulative housing, a number of contacts retained in the insulative housing and a spacer fixed to the insulative housing. The insulative housing includes a mating surface, an end surface opposite to the mating surface and a plurality of first passageways extending through the mating and the end surfaces. A depression and a cavity are recessed into the insulative housing from the mating surface and the end surface, respectively. Each first contact includes a main portion received in the corresponding first passageway and a tail portion located at the cavity in condition that at least one side wall of the tail portion is exposed to the outside for excellent heat dissipation.
US07997932B2 Shieled connector having leveling arrangement ensuring reliable interconnection
A shield connector (100) includes a metallic shell (3) with a mating port (331) in communication with a mating receptacle with a tongue having a plurality of contact terminals (2) thereon. The contact terminals (2) include a plurality of solder tails (213, 223) extending out of the metallic shell (3). The metallic shell (3) defines a level arrangement (35) adjacent to the mating port (331) and defining a supporting plane coplanar to a mounting interface (5) of the shield connector (100).
US07997926B2 Methods and systems for positioning connectors to minimize alien crosstalk
The present invention relates to methods and systems for minimizing alien crosstalk between connectors. Specifically, the methods and systems relate to isolation and compensation techniques for minimizing alien crosstalk between connectors for use with high-speed data cabling. A frame can be configured to receive a number of connectors. Shield structures may be positioned to isolate at least a subset of the connectors from one another. The connectors can be positioned to move at least a subset of the connectors away from alignment with a common plane. A signal compensator may be configured to adjust a data signal to compensate for alien crosstalk. The connectors are configured to efficiently and accurately propagate high-speed data signals by, among other functions, minimizing alien crosstalk.
US07997921B1 Connecting elements having a stub surrounded by a hollow stalk with a flange
A plurality of connecting elements projects from a surface of an article. Each connecting element includes a first stalk and second hollow stalk projecting from the surface. The second stalk is generally coaxial with the first stalk and spaced apart from the first stalk defining a radial gap therebetween. The first stalk includes a cap section. The second stalk includes a stem projecting generally orthogonally, at a proximal end thereof, from the surface. A flange section having a first wall thickness extends from a distal end of the stem and has an outer diameter greater than an outer diameter of the stem at the distal end. An engagement section extends from the flange section. A free end of the engagement section having a second wall thickness defines a distal end of the second stalk and has an outer diameter less than the outer diameter of the flange section.
US07997918B2 Actuation device having combined mechanisms to match a desired connector plugging curve and method for actuating a power supply therewith
An actuation device includes a frame, a linkage mechanism and a handle mechanism. The handle mechanism includes a handle and a connection link, each having a first end and an opposite second end. The first end of the handle is pivotally connected to the frame. The first end of the connection link is pivotally connected to the handle and the second end of the connection link is pivotally connected to the linkage mechanism. Movement of the second end of the handle rotates the handle about an axis determined by a connection point of the first end of the handle to the frame and results in movement of the connection link in a first direction causing translation of the linkage mechanism in a second direction substantially perpendicular to the first direction to mechanically and electrically connect a power supply to an outside circuit through an opening of the frame.
US07997915B2 Pin or socket contact with resilient clip
An electrical pin or socket terminal includes a vertical tubular body portion, and a spring clip portion connected with the upper end of the body portion. The spring clip portion includes two opposed electrical contacts at least one of which is resiliently biased toward the other. A separating arrangement is operable between a separated condition retaining the contacts in separated spaced relation for longitudinally receiving therebetween a conductor bare end, and a released condition in which the contacts are released for resilient electrical engagement with diametrically opposed surfaces of the conductor bare end. In one embodiment, the spring clip portion includes a pair of parallel spaced struts the upper ends of which are reversely bent toward each other. In another embodiment, a leaf spring electrical contact biases the bare conductor end against a stationary electrical contact.
US07997907B2 High frequency connector assembly
A connector assembly includes a signal array of a plurality of conductors, each conductor including at least one inner conductive element and an outer conductive element. A connector body has a front surface and the inner and outer conductive elements are electrically presented proximate the front surface in a generally co-planar arrangement. The inner and outer conductive elements terminate in a face surface that is raised above the front surface of the connector body. A compressible interface element has a plurality of conductive elements embedded in a compressible, electrically insulative medium. The interface element is positionable against the face to be compressed between the signal array and a signal bearing component to pass signals between the signal array and the signal-bearing component.
US07997904B2 Obstetrics simulation and training method and system
In the modern practice of obstetrics, the military is in the position of having experts in both simulation training for obstetric emergencies and teamwork training. The military has the potential to train better and provide the safest environment possible with these resources. Accordingly, an embodiment of the invention provides an obstetrics simulation system, comprising an articulating maternal birthing simulator. The maternal birthing simulator is a full size and full-body female having an intubeable airway with a chest rise component, a forearm having a medication receiving component, and/or a fetal heart sound component. Additionally, the maternal birthing simulator includes a head descent and cervical dilation monitor, a placenta positionable in at least two locations, two or more removable dilating cervices, and/or postpartum vulval suturing inserts.
US07997893B2 Mold for ventilated building block
A building block for a ventilated wall includes an elongated center portion flanked by a pair of substantially parallel side walls oriented substantially perpendicularly to the center portion. The center portion has a first vertical wall and a second sloped wall, the second sloped wall extending between a relatively thicker bottom wall of the center portion and a relatively thinner top wall of the center portion. The first wall is co-planar with first edges of the side walls. A stacked arrangement of such blocks, where adjacent blocks are inverted and reversed, establishes front-to-back air passages that do not provide a sight line, and which prevent rain from passing through. A mold assembly for manufacturing the blocks is also disclosed.
US07997889B2 Method for making hard mold
A hard mold and method of preparing the mold is disclosed. The hard mold is designed for manufacturing articles having various shapes and patterns. The shaping mold includes a layer of hardened material provided over the entire surface of the selected master. The inventor has found that a polyurea coating or other polyurethane hybrid is preferred. Once the layer of hardened material has dried, the surface of the hardened material is sanded with a 20-40 grit sandpaper and a layer of polyester resin is then applied to the layer of hardened material. Once the polyester resin is applied, multiple layers of vinyl ester are applied to the polyester resin to prevent the polyester resin from shrinking. In the preferred embodiment, the application includes at least three (3) layers of vinyl ester.
US07997885B2 Roots-type blower reduced acoustic signature method and apparatus
A Roots-type blower with helical cycloidal rotors features relief recesses in the chamber walls, isolated from the input and output ports. The relief recesses counter variation in leakback flow with angular position intrinsic to helical cycloidal rotors, attenuating a noise source.
US07997884B2 Rotary device and oil pump having α-alumina and zirconia coating
An oil pump has: a base part having a working chamber; and a rotor provided rotatably in the working chamber. The base part is configured by a plurality of split bodies. At least one of the plurality of split bodies is made of aluminum alloy, and on which an opposed sliding surface made of a ceramic film is formed. The ceramic film of the opposed sliding surface has a hardness of approximately Hv 500 to 1100 and a surface roughness of approximately 2 to 8 micrometers, and contains α-alumina and zirconia.
US07997880B2 Compressor
The present invention relates to a compressor, which can inhale refrigerant supplied to a swash plate chamber to cylinder bores through the inside of a driving shaft so that a flow channel structure is simplified, thereby enhancing a suction volumetric efficiency by reducing a loss due to flow channel resistance and elastic resistance, and enhancing a compression efficiency by uniformly distributing refrigerant to the cylinder bores located at both sides of the swash plate chamber.
US07997879B2 Axial piston machine of swash-plate construction with a bearing arrangement of the cylinder block on a supporting journal
An axial piston machine of swash-plate construction includes a cylinder block (2) rotatable in a housing (1) and supported in the axial direction against a valve plate (6a) arranged on a housing component (7), a driving shaft (8) coupled in a rotationally synchronous manner to the cylinder block (2), and a cylinder block bearing (10) absorbing transverse forces. In order to achieve a simple construction which is axially and radially compact, the cylinder block bearing (10) is arranged between a central recess (9) of the cylinder block (2) and a supporting journal (7a) fastened to the housing component (7) and extending into the recess (9).
US07997876B2 Wind turbine, tower and method for fabricating the same
A wind turbine, tower and method for making same are provided. The wind turbine includes a rotor having one or more blades and a rotor radius distance approximately equal to the distance measured from a centerline of the rotor to a tip of one of the blades. The tower has one or more tower sections, including a first tower section having a generally cylindrical or frusto-conical shape. The first tower section has at least one first diameter. A reduced diameter tower section is connected to the first tower section, and has a waist portion with at least one second diameter that is smaller than the first diameter. At least a portion of the reduced diameter tower section having the second diameter is located about one rotor radius distance from the centerline of the rotor, and the reduced diameter section provides increased static clearance to the tip of the blades.
US07997875B2 Winglet for wind turbine rotor blade
A rotor blade for a wind turbine is disclosed. The rotor blade includes a root, a tip, and a body extending from the root, the body including a pressure side and a suction side extending between a leading edge and a trailing edge. The rotor blade further includes a winglet extending between the body and the tip, the winglet including a pressure side and a suction side extending between a leading edge and a trailing edge. The winglet further includes a transition section and defines a height, a sweep angle, an outboard cant angle, and a twist angle. The sweep angle, the outboard cant angle, and the twist angle change continuously throughout the transition section.
US07997874B2 Wind turbine rotor blade joint
A blade segment is disclosed for a rotor blade formed from a plurality of blade segments, the blade segment having a span and a chord. The blade segment includes a shell segment having a pressure side and a suction side extending between a leading edge and a trailing edge, the shell segment further having a tip end and a root end. The blade segment further includes at least one joint portion projecting from the shell segment at one of the tip end or the root end and defining a generally span-wise extending joining surface. The joint portion allows the blade segment to be coupled to an adjacent blade segment with a mating joint portion.
US07997872B2 Fan blade
The suction surface blade angle of a transonic fan blade, subject in use to a shock wave, progressively reduces along part of the suction surface, beginning at a position upstream of the shock wave position. The increased area variation at the location of the shock results in the shock position becoming less sensitive to small geometric imperfections. The reduced shock sensitivity reduces the variation in aerodynamic load and hence reduces the untwist variation with respect to small geometric imperfections. This has the effect of stabilising the untwist deflections of the fan.
US07997871B2 Impeller for a cooling fan
An impeller (10) for a cooling fan includes a hub (20) having a circular wall (22) and an annular sidewall (24) extending downwardly from a rim of the circular wall, and a plurality of blades (30) extending radially from the sidewall of the hub. Each of the blades includes a first portion (32) near the hub and a second portion (34) away from the hub, wherein each of the first portions is identical to an adjacent one of the first portions, and each of the second portions is different from an adjacent one of the second portions regarding a height thereof, thereby reducing a noise level of the impeller when the it operates.
US07997862B2 Cooling fan
A cooling fan includes a fan housing, a rotor and a stator. The fan housing forms an air inlet and an air outlet at two opposite sides thereof, respectively. A base is received in the fan housing and arranged at the air outlet of the fan housing. A guiding wall extends upwardly from an outer periphery of the base. An outer diameter of the guiding wall decreases along a direction from the air inlet to the air outlet. A plurality of stationary blades extend from an outer surface of the guiding wall to the fan housing. The stator is arranged in the housing and mounted on the base, and the rotor is rotatably supported by the stator.
US07997861B2 Airfoil shape for a compressor
An article of manufacture having a nominal profile substantially in accordance with Cartesian coordinate values of X, Y and Z set forth in TABLE 1. X and Y are distances in inches which, when connected by smooth continuing arcs, define airfoil profile sections at each distance Z in inches. The profile sections at the Z distances are joined smoothly with one another to form a complete airfoil shape.
US07997858B2 Arrangement for sealing off a gap between a first component and a second component
The invention relates to a device for sealing the space between first and second components, wherein a sealing element is placed between the sealing surface of the first component and the sealing surface of the second component, means are used for displacing the sealing element towards the first component and the sealing surface of the second component is used in the form of a guiding surface for the sealing element when it is displaced towards the first component. The means comprise a movable pressure element which is embodied in such a way that the displacement thereof generates the displacement of the sealing element.
US07997841B2 Electronic device and fixture for same
An electronic device includes a panel, a main body, a button, and a fastener. The panel defines a hole. The main body defines a quadrate through hole. The button resists against the panel, opposite to the main body. The fastener includes an elastic head at a first end and a block at an opposite second end. The elastic head passes through the hole of the panel to be fixed to the button, the block passes through the quadrate through hole of the main body. A panel fixture for the electronic device is provided as well.
US07997838B2 Main spindle device
A main spindle device includes: a housing having an air supply passage; a spindle that is inserted into the housing and supported by a bearing so as to be able to rotate relative to the housing; and an air sealing member that is positioned between an outer circumferential surface of the spindle and the housing on a front side with respect to the bearing along a rotation axis of the spindle, has a substantially annular shape, and is detachably fixed to the housing with an inner circumferential surface of the air sealing member facing the outer circumferential surface of the spindle, wherein air supplied from the air supply source through the air supply passage is discharged into a gap between the outer circumferential surface of the spindle and the housing, thereby effecting non-contact air sealing at least between the inner circumferential surface of the air sealing member and the outer circumferential surface of the spindle.
US07997837B2 Power tool
It is an object of the invention to provide a technique in a power tool having a detachable bit holder for preventing a bit holder from being detached from the tool body even if unexpected external force is exerted on an operating member for lock release. A representative power tool includes a tool body, a single holder mounting portion. The holder mounting portion removably holds a bit holder selected from multiple kinds of bit holders for holding multiple kinds of tool bits in different manners. Each bit holder includes a cylindrical portion, a through hole formed through the cylindrical portion, an engaging member disposed within the through hole, a cylindrical operating member axially movably fitted on the cylindrical portion between a movement prevented position and a movement allowed position. The operating member is moved to the tool body side in order to be switched from the movement prevented position to the movement allowed position so that the holder mounting portion is allowed to be pulled out of the fitting hole.
US07997834B2 Radius end mill and cutting method
A radius end mill includes an end mill body, peripheral cutting edges, bottom cutting edges and corner cutting edges. Each of the corner cutting edge is formed so as to curve toward the terminal end of the end mill body after the corner cutting edge has reached the distal end of the end mill body. A rake surface of the corner cutting edge is formed so as to be flush with the rake surface of the bottom cutting edge. When an external diameter of the end mill body is D, a value of a radius of curvature R of the corner cutting edge is greater than or equal to 0.1×D and is less than or equal to 0.3×D.
US07997832B2 Milling cutter and milling insert with coolant delivery
A cutting insert for use in chipforming and material removal from a workpiece wherein coolant is supplied to the cutting insert from a coolant source. The cutting insert includes at least one discrete cutting location and at least one distinct internal channel that corresponds to the cutting location. The internal channel has an inlet to receive coolant and an outlet to exit coolant. The outlet is proximate to the cutting location, and the inlet is radial inward of the outlet.
US07997827B2 Watercraft support and tote device
A watercraft support and tote device having a frame sub-assembly having a first frame member and a second frame member and at least one support member; a power output member; a hydraulic sub-assembly having a hydraulic vessel, a control system, and a conduit; at least one ground engaging wheel; a drive member; a winch; and a plurality of watercraft support members.
US07997826B2 Roller assembly
A roller assembly adapted to follow ground contours during operation which includes a pair of roller components each having respective inner ends connected to each other by a flexible and movable primary coupling and having their respective outer ends connected to a support shaft which extends through a hollow interior of each roller component by a secondary coupling.
US07997824B2 Combined road safety barrier made from wood and metal, intended for vehicle lateral impact containment and having aesthetic qualities and containment and redirection capability
Contention system for lateral impacts from vehicles, of the kind formed from a mixed metal and wooden horizontal continuous rail, comprising two or more wooden logs of equal cross-section, preferably rectangular, fitted with the same metal profile of cross-section preferably in the form of a “sigma” and longitudinally connected together by means of a metal plate, with the rail being supported at regular intervals by metal uprights inserted or secured into the ground, externally faced with a wooden cover consisting of three or more flat wooden strips, the rail being fixed to the posts by means of a metal distancer spacer by way of support, formed from a main piece in the form of an “omega” lying on its side which joins consecutive rails together via their ends and an interior U-piece which incorporates the star-shaped hole for the breakaway attachment between the support and the post, with a metal support plate between the front part of the wooden cover for the post and the central part of the metal support.
US07997818B2 Image recording apparatus
An image recording apparatus, including a sheet-supply tray device which accommodates and holds a plurality of recording sheets and which supplies the recording sheets, one by one, in a sheet-supply direction; and an image recording device which records an image on each of the recording sheets supplied from the sheet-supply tray device. The sheet-supply tray device includes a first tray which accommodates and holds at least one first sheet of the plurality of recording sheets; a second tray which accommodates and holds at least one second sheet of the plurality of recording sheets, and which selectively takes a stacked posture thereof in which the second tray is stacked on the first tray, and an opening posture thereof in which at least a portion of the second tray opens at least a portion of the first tray; and a supporting device which supports at least a portion of the second tray while permitting the second tray to selectively take the stacked posture thereof and the opening posture thereof such that the at least one second sheet held by the second tray is not plastically deformed.
US07997814B2 Dipping-type automatic developing apparatus and method for lithographic printing plates
A dipping-type automatic developing apparatus for a lithographic printing plate, includes a first tank containing a developer, in which a lithographic printing plate precursor having an imagewise-exposed image-recording layer is dipped and a non-image area of the imagewise-exposed image-recording layer is removed; and a second tank containing a developer, wherein the developer in the first tank and the developer in the second tank are circulated into each other to keep constant a liquid level of the developer in the first tank.
US07997812B2 Camera module
A camera module is provided with a lens part including a lens and a lens barrel, a holder coupled with the lens part and formed with a first conductive layer, and a printed circuit board electrically connected to the first conductive layer while supporting the holder.
US07997810B2 Focal plane shutter for camera
A support plate and a printed wiring board are spaced from a shutter base plate. An adjusting shaft member includes first and second shaft portions and an attachment portion that is press-fitted to an attachment hole in the support plate. Each of first and second contact members is attached to the printed wiring board at one end and has a contact portion and a positioning portion at the other end. The positioning portions of the first and second contact members are respectively brought into contact with a cam-shaped peripheral surface of the first shaft portion and a circular peripheral surface of the second shaft portion. When the adjusting shaft member is rotated, the distance between the contact portions varies. Thus, the time at which the two contact portions come into contact with each other when a pushing portion of the second contact member is pressed can be adjusted.
US07997809B1 Dehumidifier caps for cameras
A camera cap assembly removes moisture from a camera rear lens and/or a camera body. The camera cap assembly includes a main body that has a front end, a rear end, and an inner divider having a number of openings. The camera cap assembly also includes a desiccant holder cap at the rear end of the main body and a storage sealing cap at the front end. A desiccant chamber is defined between the desiccant holder cap and the inner divider for storing a dehumidifying component. The sealing storage cap is secured to the front end of the main body when the camera cap assembly is not in use. The camera cap assembly is to be attached to a camera rear lens or a camera body, without the storage cap, via bayonet mount and a sealing ring.
US07997793B2 Thermometer heater and thermistor
A thermometric apparatus for determining a patient's temperature having a elongated sensing probe that is connected to a base housing. The insertion tip of the probe contains a outer shell and a spaced apart inner shell. The outer surface of the inner shell has a heater that is fabricated of a resistive thick film material and a heat sensor mounted thereon. The heater and the heat sensor are connected to a pair of thick film conductive traces which in turn are electrically attached by a flexible circuit board to leads running back to the base housing. The space between the two shells is filled by a thermally conductive epoxy.
US07997790B2 Dual energy source loss-on-drying instrument
An instrument and associated method are disclosed for the loss-on-drying determination of the volatile content of a wide variety of samples. The instrument includes a cavity in which a sample for which the volatile content is to be determined can be placed, a first source for introducing microwaves into the cavity that have frequencies substantially other than infrared frequencies, a second source for introducing radiant heat into the cavity at frequencies different from the frequencies introduced by the first source, an analytical balance for measuring the weight of a sample while the sample is in the cavity and on the balance, a temperature sensor capable of measuring and positioned to measure the temperature of a sample in the cavity and on the balance, and a processor in communication with the temperature sensor and each of the first and second sources for controlling the introduction of the frequencies of microwave and radiant energy into the cavity in response to the temperatures measured by the temperature sensor to control the sample temperature until the microwaves from the first source and the radiant heat from the second source dry the sample sufficiently for the processor to determine the volatile content of the sample based on the weight change of the sample on the balance.
US07997787B2 Apparatus and method for using reciprocating hand-held power tool for mixing paint
An apparatus and method for shaking a can of paint or bottle of aerosol spray paint. The apparatus comprises a cradle securely fastened to a tang and at least one strap for securing a can or bottle into the cradle. One end of the tang is shaped so as to be received in a chuck of a hand-held power tool such as a variable speed reciprocating saw. The apparatus is useful for quickly and effectively mixing relatively small cans of paint near in time and place to using the paint.
US07997779B2 Vehicle lamp unit
In a vehicle lamp unit that is configured to be mounted on a vehicle, a semiconductor light source can be substantially covered with a first reflector and, therefore, the semiconductor light source is not visually observable (or, is difficult to see) from outside the lamp unit even when a projection lens is disposed in front of the opening of the first reflector and spaced from the first reflector so as not to contact the first reflector. Thus, a vehicle lamp unit having a novel design can be provided in which the projection lens appears as if it is floating in air and in which the semiconductor light source is not visually seen or is difficult to be seen from the outside.
US07997776B2 Indicating instrument for vehicle
An indicating instrument for a vehicle has a display board and a light modulation member disposed to cover a surface of the display board. When a condition that an illumination intensity of the display board is lower than a threshold value has continued for a first time period, a light transmissivity of the light modulation member is controlled to a first level so that a driver can easily see the display board. When a condition that the illumination intensity of the display board is equal to or higher than the threshold value has continued for a second time period, the light transmissivity of the light modulation member is controlled to a second level that is lower than the first level to restrict entry of external light to the display board.
US07997772B2 Flameless candle with multimedia capabilities
A flameless candle having speaker and lighting capability is provided. Multiple of such flameless candles can be used in a system to generate stereo or surround sound, as well as various lighting modes. The flameless candle or flameless candle system can have AM/FM radio functions, clock functions, alarm functions, etc., and can be used as an audio monitor. In addition, the flameless candle or candle system may be equipped with video capability.
US07997768B2 LED lamp
An LED (light emitting diode) lamp includes an upper base plate, a lower base plate spaced from the upper base plate and a plurality of light emitting modules located between the two base plates. The lower base plate defines a plurality of through holes therein. Each light emitting module comprises a heat sink sandwiched between the two base plates, an LED module embedded in a bottom surface of the heat sink and a lens engaging with the bottom surface of the conductive cylinder to enclose the LED module therein. A lower portion of each lens extends downwardly through a corresponding through hole.
US07997767B2 Anti-icing outdoor lamp
An exemplary outdoor lamp includes a light source, a light-pervious cover, and a heating element. The light source is configured for emitting light. The light-pervious cover is for transmission of the light emitted from the light source therethrough. The heating element is in thermal contact with the light-pervious cover and is configured for heating the light-pervious cover.
US07997766B2 Light-emitting display panel
The invention relates to a light-emitting display including a front plate, N heat-conducting/dissipating apparatuses, and N light-emitting apparatuses, wherein N is a natural number. The front plate has N formed-through apertures thereon. Each of the N heat-conducting/dissipating apparatuses, corresponding to one of the N formed-through apertures and one of the N light-emitting apparatuses, is inserted into the corresponding aperture via a neck portion thereof. Each of the N light-emitting apparatuses is mounted on the flat portion of the corresponding heat-conducting/dissipating apparatus. Thereby, the heat generated during the operation of each of the N light-emitting apparatuses is conducted by the corresponding heat-conducting/dissipating apparatus to the back side of the front plate, and then it is dissipated by the corresponding heat-conducting/dissipating apparatus.
US07997757B2 Luminaire with integral signage endcaps
A luminaire with signage endcaps includes a luminaire housing and one or more light emitting elements. The housing typically includes a top member and multiple side members extending down from the top member. The top and side members define the interior of the luminaire housing. Light emitting elements are coupled to the housing. These light emitting elements generally emit light downward from the luminaire and can be coupled along the interior of the housing or positioned along an exterior side of one or more of the side members. A stencil or stencil plate can be positioned along or coupled to one or more others side members. The stencil and stencil plate include openings in the shape of alphanumeric symbols and/or ideograms and include within the openings or have positioned adjacent to the openings a translucent member. Ambient light within the interior of the housing illuminates the stencil and translucent member.
US07997752B2 Lighting device and power supply system for the lighting device
A lighting device includes a light collecting unit, a solar cell panel, a storage battery, a lighting element and a control unit. The light collecting unit includes a lens exposed to outside light, and an optical leveling element. The optical leveling element includes a light guide plate having a dot pattern arranged thereon and facing toward the lens module. A refractive index of the dot pattern gradually increases from a center to a periphery. The solar cell panel is aligned with the optical leveling element, and is configured for receiving light transmitted through the optical leveling element and converting the light into electrical energy. The control unit is connected to the solar cell panel and the storage battery, and is configured for controlling the solar cell panel to power the storage battery and controlling the storage battery to power the lighting element.
US07997750B2 High power LED lamp with heat dissipation enhancement
A high power LED lamp comprises a container having a cavity to fill with a liquid, a light source module for providing a high power LED source light to penetrate through the liquid, and an axial thermal conductor having a first portion nearby the light source module and a second portion extending in the liquid along an axial direction of the cavity to far away from the light source module to evenly transfer heat from the light source module through the liquid to the container.
US07997749B2 Light emitting module and light unit having the same
Disclosed are a light emitting module and a light unit having the same. The light emitting module includes a plurality of light emitting devices, each light emitting device including a package body, a light emitting diode provided in the package body, and a plurality of lead electrodes electrically connected to the light emitting diode while protruding outwardly from the package body; and a board including at least one receiving groove, wherein the at least one of the light emitting devices is removably inserted into the at least one receiving groove.
US07997748B2 Stereoscopic display device
A stereoscopic display device includes a substrate, a plurality of odd-line light source units, a plurality of even-line light source units, a lens array, and a circuit. The odd-line light source units and the even-line light source units are provided at a first side of the substrate and spaced from each other. Each of the odd-line light source units and the even-line light source units include a plurality of light source pixel units. The lens array is arranged on the substrate at the first side thereof and includes a plurality of cylindrical stripe-like lenses, the cylindrical stripe-like lenses extending substantially parallel to each other. The circuit is configured for alternately outputting a first time sequence display signal to the odd-line light source units and a second time sequence display signal to the even-line light source units.
US07997742B2 Capacitive comb feedback for high speed scan mirror
An image projection system (100) has a laser (102, 104, 106) providing at least one beam (103, 105, 107) to a scan mirror apparatus (130) for scanning the at least one beam (103, 105, 107) in two orthogonal directions (404, 406). The scan mirror (130) includes an oscillating portion (204, 904) disposed contiguous to a frame (202) and includes a reflective portion (218, 918) capable of reflecting the beam (103, 105, 107). Circuitry (500) is provided for measuring the capacitance between interdigitated teeth (212, 214, 912, 914) on the frame (202) and the oscillating portion (204, 904).
US07997741B2 Projector
A projector includes a light source device, a optical modulator which modulates luminous flux emitted from the light source device according to image information, a projection optical device which enlarges and projects the luminous flux modulated in the optical modulator, and an outer casing which houses the light source device, the optical modulator and the projection optical device thereinside, in which the outer casing has a ceiling surface and a bottom surface intersecting with respect to the vertical direction and a side surface connecting to the ceiling surface and the bottom surface, in which a concave portion which is recessed toward the inside is formed at the bottom surface side in the side surface, and in which a first connection terminal group connected to an external device through connection cables is arranged at a bottom portion of the concave portion in an exposed state.
US07997735B2 Systems and methods for speckle reduction
A laser projection system including a system controller, a visible light source, and a light disrupting element is provided. The visible light source includes at least one laser and the laser projection system is programmed to scan a scanned optical signal of the visible light source across a plurality of image pixels. The scanned optical signal comprises a low spatial frequency beam and a high spatial frequency beam, and the low spatial frequency beam generates a low spatial frequency image having spatial frequencies below a spatial frequency threshold, the high spatial frequency beam generates a high spatial frequency image having spatial frequencies that are above the spatial frequency threshold, and the scanned laser image is a sum of the high spatial frequency image and the low spatial frequency image. The low spatial frequency beam is altered by an out of focus light disrupting element.
US07997732B2 Lenslet array for retinal oximetry
The multi-aperture system of the present invention provides a retinal oximetry apparatus for determining the level of oxygen saturation in retinal vessels using a lenslet array comprising at least seven lenses for the simultaneous measurement of reflected light with at least three wavelengths and at least four polarization states. The multi-aperture system of the present invention further provides an apparatus for determining the level of oxygen saturation in retinal vessels using a lenslet array comprising at least ten lenses for the simultaneous measurement of reflected light with at least three wavelengths for oxygen measurement, at least three wavelengths for melanin content, and at least four polarization states. Methods of operating the same are also provided.
US07997727B2 Method and apparatus for controlling peripheral image position for reducing progression of myopia
A method and apparatus are disclosed for controlling optical aberrations to alter relative curvature of field by providing optical devices and methods comprising the repositioning of peripheral off-axis focal points relative to the retina to produce stimulus for influencing the progression of refractive error while simultaneously controlling the position of the central focal point near to the retina to provide clear central vision and simultaneously providing zones of controlled peripheral defocus and other optical aberrations to improve peripheral vision for select directions of gaze.
US07997725B2 Contact lens and method for prevention of myopia progression
A method of slowing the progression of myopia in a person, comprises applying to the eye of the person contact lens or lenses each including a vision correction area for correcting in use the myopic vision of a wearer, and a myopic defocus area having a less negative focal power, to simultaneously present a controlled myopic defocus to the retina both when viewing in the distance and also when viewing at near. Contact lenses and their use are also claimed.
US07997724B1 Illuminated eyeglass
This invention relates to an illuminated eyeglass, in which temple arms are respectively hinged on both sides of frame, and lighting apparatuses are provided in the interiors of the temple arms. The light emitting bodies of the lighting apparatuses are disposed in front ends of the temple arms such that the direction of light beam emitted from the light emitting bodies is in conformity with user's view direction. Additionally, the switch members for the lighting apparatuses are projected out to the flanks of the temple arms so that users are easy to operate the switch members to turn on the light emitting bodies. In this manner, the eyeglass can fully display the benefit of helping the people to see clearly all things around.
US07997720B1 Eyeshade with horizontally adjustable stops
The present invention relates to an eyeshade apparatus having a band, a lens, lens punchouts, and adjustable stops. Its design allows the curvature of the lens of the eyeshade to be modifiable for different activities and uses. The eyeshade apparatus, also because of its design, is nearly indestructible in its non-use position as it lies virtually flat.
US07997718B2 Substrate having dye with dendrimer axial ligands disposed thereon
A substrate having an IR-absorbing metal-cyanine dye disposed thereon. The dye is a metal-cyanine complex of formula (I): with axial ligands of formula (III): wherein: C1 represents a core unit having two or more branching positions; each P1 is independently selected from H or a hydrophilic moiety; g1 is an integer from 2 to 8; q1 is 0 or an integer from 1 to 6; and each p1 is independently selected from 0 or an integer from 1 to 6.
US07997710B2 Liquid supply apparatus and liquid discharge apparatus
Inks are supplied from ink inflow flow passages to ink storage chambers in a subtank. The inks are further supplied from the ink storage chambers via ink outflow flow passages to a head. The ink storage chambers are stacked in the vertical direction, with upper surfaces or lower surfaces thereof being defined by damper films respectively. Parts of upper surfaces of the ink inflow flow passages and parts of upper surfaces of the ink outflow flow passages are defined by gas-permeating films. The gas, which is contained in the liquids in the ink inflow flow passages and the ink outflow flow passages, is discharged via the gas-permeating film to a gas discharge passage. The gas is prevented from the outflow together with the liquids from the liquid supply apparatus.
US07997707B2 Liquid ejection device
A liquid cartridge is removably mountable to a liquid ejection device of one aspect of the invention. The liquid cartridge includes a sub tank having: a liquid inflow port; a liquid outlet port; a liquid inflow chamber configured to communicate with the outside of a main unit through the liquid inlet port, the liquid inflow chamber allowing the liquid to be supplied from the liquid cartridge through the liquid inflow port; a second liquid storage chamber configured to communicate with the liquid inflow chamber through a second communicating port, the liquid stored in the second liquid storage chamber being allowed to flow out to a liquid ejection head through the liquid outflow port; and an atmosphere opening port. The second communicating port and the liquid outflow port are positioned lower than the liquid supply port.
US07997705B2 Ink cartridges and ink supply systems
An ink cartridge includes a case having an ink chamber and a wall, an air communication portion having a first opening, and an ink supply portion having a second opening. The air communication portion includes a first lid member that moves between a first position not covering the first opening, and a second position covering the first opening, and a first biasing member that applies a first biasing force to the first lid member. The ink supply portion includes a second lid member that moves between a third position not covering the second opening and a fourth position covering the second opening, and a second biasing member that applies a second biasing force to the second lid member. When the first lid member is in the second position and the second lid member is in the fourth position, the first biasing force is less than the second biasing force.
US07997704B2 Print media and fluid cartridge of photofinishing system
A print media and printing fluid cartridge is provided removably mounted to a support structure of a digital photofinishing system in juxtaposition with a printer mounted to the support structure together with a digital processor and print media drive mechanism. The cartridge has a roll of print media, a print media delivery arrangement arranged to couple with the drive mechanism so as to feed the print media from the roll to the printer, and at least one removable printing fluid first cartridge for feeding printing fluid to the printer. The digital processor is arranged to receive digitised data that is representative of a photographic image and to process the data in a manner to generate a drive signal that is representative of the photographic image for the printer. The printer processes the drive signal and page-width prints the photographic image on the fed print media using the fed printing fluid.
US07997703B2 Liquid container
The invention provides a liquid container for storing liquid to be supplied to a liquid consuming apparatus. The liquid container is constructed such that pressurized fluid is sent to its inside so that the liquid in the inside is delivered to the outside. The liquid container includes a container body which stores the liquid in its inside. The container body includes a pressurized fluid introduction port for introducing the pressurized fluid to the inside and a liquid delivery port for delivering the liquid to the outside. A detection unit is provided in the container body and outputs an output signal which is changed in accordance with a change in pressure of the liquid in the inside of the container body.
US07997698B2 Ink delivery system and methods for improved printing
An ink delivery system having at least one off-axis ink supply container and an on-axis printhead assembly. The on-axis printhead assembly includes at least one reservoir and a corresponding standpipe separated by a particle filter. At least one tube connects the off-axis ink supply container to the on-axis printhead assembly. A first valve is configured to selectively open a flow path between the tube and the reservoir. A second valve is configured to selectively open a flow path between the standpipe and the tube.
US07997697B2 Droplet discharge head, droplet discharge device, method for manufacturing droplet discharge head and method for manufacturing droplet discharge device
A droplet discharge head including a nozzle substrate having nozzle openings, a cavity substrate having discharge chambers that communicate with the nozzle openings and discharge droplets from the nozzle openings, a reservoir substrate having a reservoir concave portion that serves as a reservoir which communicates commonly with the discharge chambers. The reservoir substrate is provided between the nozzle substrate and the cavity substrate and a resin thin film is formed on a whole inner face of the reservoir concave portion and on a bottom face of a second concave portion. The second concave portion is provided in a peripheral of the reservoir concave portion and has a depth which is smaller than the depth of the reservoir concave portion. The resin thin film is cut circularly so as to surround the reservoir concave portion, and a part of the resin thin film serves as a diaphragm buffering pressure variation. serves as a diaphragm buffering pressure variation.
US07997695B2 Liquid ejecting head, liquid ejecting apparatus, and actuator
A liquid ejecting head includes a flow passage forming substrate that includes a plurality of pressure generating chambers juxtaposed to each other and each in communication with a nozzle for ejecting droplets, and piezoelectric elements disposed on the flow passage forming substrate with a diaphragm interposed therebetween. The piezoelectric elements include a lower electrode, a piezoelectric layer, and an upper electrode. The piezoelectric layer tapers downward at its ends. The lower electrode has a width smaller than the width of each of the pressure generating chambers. The piezoelectric layer has a larger width than the lower electrode. The diaphragm has a top layer formed of a titanium oxide (TiOx) insulator film. The lower electrode has a top layer formed of a lanthanum nickel oxide (LaNiyOx) orientation control layer. The piezoelectric layer is formed of columnar crystals, and one part of the piezoelectric layer disposed on the insulator film has a smaller average grain size than the other part of the piezoelectric layer disposed on the orientation control layer.
US07997694B2 Inkjet recording apparatus
An inkjet recording apparatus includes: an ink holding chamber having a through hole to jet ink, and holding the ink; and a head unit jetting the ink held in the ink holding chamber from the through hole. The head unit includes an ultrasonic wave generation member, an ultrasonic wave focusing member focusing the ultrasonic waves generated at the ultrasonic wave generation member in a vicinity of the through hole, an ultrasonic wave propagation portion propagateting the ultrasonic waves leaving the ultrasonic wave focusing member, and a container portion containing the ultrasonic wave generation member, the ultrasonic wave focusing member, and the ultrasonic wave propagation portion.
US07997691B2 Ink jet print head
An ink jet print head is provided which is highly reliable in recovering its performance by removing bubbles accumulated in the ink paths to reliably prevent print quality degradation in bi-directional printing. In the ink ejection member having an odd number of arrayed ink supply ports to supply ink to the ejection openings, the ink colors of the ink supply ports are arranged laterally symmetrically and a plurality of ink tanks are arranged side by side so that the direction of array of the ink supply ports is perpendicular to the direction of array of the ink tanks.
US07997690B2 Inkjet printer
An inkjet printer including: a printhead having a plurality of nozzles assemblies, each nozzle assembly having: a nozzle chamber for containing ink, the chamber having a nozzle opening and an ink inlet; and a bend actuator for ejecting ink droplets from the nozzle opening by generating a positive pressure pulse in the ink during bending of the actuator. An ink supply system supplies ink to the printhead so that a hydrostatic pressure of ink can be varied. Increasing the hydrostatic ink pressure increases a volume of the ejected ink droplets, and decreasing the hydrostatic ink pressure decreases a volume of the ejected ink droplets.
US07997685B2 Nozzle arrangement with rectilinear ink ejection
Provided is a nozzle arrangement for an ink jet printer. The arrangement includes a wafer substrate with a layer of drive circuitry, said substrate defining an ink supply channel through the substrate leading to an ink chamber with a roof defining an ink ejection port. The arrangement also includes an ink ejection arrangement for ejecting ink from the ink chamber via the port, said ink ejection arrangement having four symmetrically arranged thermal bend actuators each connected to a respective side to ensure that the roof is operatively displaced in a rectilinear manner during ink ejection.
US07997681B2 Ink jet printing apparatus
A plurality of ejection portions are divided into groups, each containing at least one ejection portion, so that the suction-based recovery operation can be performed for each group independently. Since the number of ejection portions contained in each group differs, if an ink flow resistance varies among the different groups, simultaneous suction-based recovery operations can be done by using a common suction pump, without having to sequentially perform the suction-based recovery operations under an optimum pump driving condition. For this purpose, inner diameters of a plurality of ink discharge tubes running from a plurality of cap units, which cap a plurality of print head units having different numbers of ejection portions, to the common pump are differentiated. This allows different flows to be produced in different ink discharge systems, thus generating desirable ink flows for individual ink supply systems.
US07997680B2 Method for the printing of homogeneous electronic material with a multi-ejector print head
Printing systems are disclosed that produce homogenous, smooth edged printed patterns (such as integrated circuit (IC) patterns) by separating pattern layouts into discrete design layers having only parallel layout features, and by printing each design layer using individual print solution droplets deposited onto the substrate. A printhead alignment operation includes positioning the printhead and printing a spot onto the substrate from each ejector, determining a vertical offset between an expected location of each spot along a vertical axis and the actual location of the spot along the vertical axis, calculating a linear fit line for the vertical offset of each spot plotted against an expected location of the spot along a horizontal axis, calculating the slope of the linear fit line, and rotating the printhead relative to the substrate according to an angle defined by the slope of the linear fit line.
US07997679B2 Image forming apparatus and controlling method therefor
An image forming apparatus for forming an image on a recording medium is disclosed. The image forming apparatus includes a print head (4) having an ink emitting surface (6) in which there are formed a plural number of ink emitting openings (13). An ink is emitted from the ink emitting openings (13) for forming an image on a recording medium. The image forming apparatus also includes an emission controller (41) for controlling the ink emission from the ink emitting openings (13) formed in the ink emitting surface (6). The emission controller (41) is responsive to an input image signal to control the quantity of preliminary ink emission from one or more of the ink emitting openings (13) or to select one or more of the ink emitting openings (13). In this manner, the quantity of the preliminary ink emission from the ink emitting openings (13) is controlled, or one or more of the ink emitting openings (13) is selected, responsive to the image signal, to diminish the wasteful ink emission and to clean the ink emitting openings (13) or the vicinity without damaging the ink emitting surface (6).
US07997678B2 Inkjet recording apparatus and method for recording an image
A method and recording apparatus including discharging ink to record an image, storing a parameter relating to a cumulative recording amount of the recording apparatus, setting a detection interval based on the parameter relating to the cumulative recording amount, and detecting a discharge state of the ink discharged from the recording head based on the set interval.
US07997673B2 Printhead IC with temperature based de-clog ejection
A printhead IC is provided having an ejection nozzle, drive circuitry for generating drive signals for driving the ejection nozzle, and a temperature sensor connected to the drive circuitry. The drive circuitry adjusts the drive signals in response to output of the temperature sensor so as to generate the drive signals as print signals and de-clog signals. The de-clog signals have a longer duration than the print signals.
US07997669B2 Inkjet recording apparatus
An inkjet recording apparatus including: (a) a recording head; (b) an ink supply source; (c) an ink supply conduit interconnecting the recording head and the ink supply source, such that the ink is supplied from the ink supply source to the recording head in an ink supplying direction; (d) a movable body disposed in the ink supply conduit and movable in the ink supply conduit; (e) a moving device configured to move the movable body in the ink supply conduit; and (f) a control device configured to control the moving device. The ink supply conduit includes a small-clearance defining portion in which a small clearance is to be defined between the movable body and an inner surface of the ink supply conduit when the movable body is being positioned in the small-clearance defining portion. The control device is configured to control the moving device, such that the movable body is moved from a first position located in the small-clearance defining portion, to a second position located in the small-clearance defining portion, whereby the ink within the small-clearance defining portion is caused to flow toward the recording head.
US07997667B2 Rack and pinion refrigerator storage system
A rack and pinion storage system for use in a refrigerator compartment defined by a liner includes a pair of supports attached to opposing sidewalls of the liner. First and second gear covers snap-fittingly connect first and second gear wheels to respective first and second mounting brackets extending from a storage basket, such that the gear wheels are rotatably connected to the storage basket and have equal rotational and linear motion along the respective supports. Retaining bars extending from the storage basket are held within channels defined between the bottom walls of the supports and top walls of opposing telescoping slide assemblies used to movably support a door of the compartment. Tabs extending from the supports limit the sliding movement of the storage basket. A removable divider connects to the storage basket, sectioning the basket into multiple storage compartments.
US07997666B2 Crawler traction device
A crawler traction device for a crawler vehicle, in particular a tractor, the device having a carriage, a drive sprocket rotating about a first axis, an idler wheel rotating about a second axis parallel to the first axis. The track is looped about the drive sprocket and the idler wheel and meshes with the drive sprocket along a meshing arc. The traction device has a noise and vibration damping device having an auxiliary roller which rotates about a third axis parallel to the first axis and is eccentric with respect to the drive sprocket as well as smaller in diameter than the drive sprocket. The noise and vibration damping device only cooperates with the track along a portion of the track located, in use, immediately upstream from the meshing arc and interposed between the auxiliary roller and the terrain.
US07997664B2 Hub for off-road motorcycle
A hub for off-road motorcycle includes a bearing having a first portion and a second portion respectively formed on two opposite ends thereof. Each of the first portion and the second portion has a connecting flange extended therefrom. A first annular element has a first through hole defined therein. The first annular element has a plurality of first spoke holes defined therein. A second annular element has a second through hole defined therein. The second annular element has a plurality of second spoke holes defined therein. When the hub adapts to be assembled with spokes, the first annular element and the second annular element are slightly pivotally rotated relative to the bearing for adapting to easily adjustably assemble the spokes with a rim.
US07997661B2 Tapered bore in a pick
In one aspect of the present invention, a high impact resistant excavation pick having a super hard material is bonded to a cemented metal carbide substrate at a non-planar interface. The cemented metal carbide substrate is bonded to a front end of a cemented metal carbide frustum. A tapered bore is formed in the base end of the carbide frustum opposite the front end and a steel shank with a tapered interface is fitted into the tapered bore.
US07997658B2 Four point seat restraint system
A restraint system is disclosed for securing a passenger to a seat in a motor vehicle. The restraint system is composed of a four point restraint belt removably attached to a vehicle seat having a plurality of vertical straps and attachment mechanisms for connecting said straps across the passenger's chest and lap. Each of the vertical straps has a first end removably attached to latches located in the front of the seat back and a second end removably attached to a latch located behind the vehicle seat.
US07997656B2 Holding structures of vehicular seats for holding an electric wire member
A holding structure of a vehicular seat for holding an electric wire member has a shield. The shield is made of a resin and has a main body that can be integrally formed with a bending portion and a cover portion arranged on an inner side of the main body. The holding structure further includes a locking portion capable of locking the cover portion on the inner side of the main body. The holding structure is capable of accommodating a wire member between the cover portion and the main body.
US07997655B2 Seat driving apparatus
A seat driving apparatus includes: a gear transmission mechanism, preventing a backlash by means of the wedge member applied with a biasing force of a biasing member and transmitting a torque; an actuator, operating a seatback of a seat; and a control unit, controlling driving of the actuator. The control unit includes a seat operation mode in which the actuator is driven to operate the seatback at a predetermined speed and a creep mode in which the actuator is driven at a slower speed than a speed in the seat operation mode. The actuator is driven in the creep mode until a load for releasing the holding of the gear transmission mechanism is applied to the wedge member and subsequently the actuator is driven in the seat operation mode when the seatback is operated in an opposite direction from the previous operational direction thereof.
US07997653B2 Stand up seat
A vehicle seat assembly includes a seat back that is moveable between a first vertical position and a second vertical position forward and upward of the first vertical position. The vehicle seat assembly has a track assembly providing fore and aft adjustment. The vehicle seat assembly further includes at least one pivot assembly operatively coupled to the track assembly and pivotally mounted to a floor of a motor vehicle. The pivot assembly pivots the vehicle seat assembly between the seating position and a stand-up position. A stand-up link slidingly couples the pivot assembly and the seat back. A link lock locks to present a solid link between the pivot assembly and the seat back. The solid link guides the seat back between the first vertical position and the second vertical position as the vehicle seat assembly moves between the seating position and the stand-up position.
US07997650B2 Constant pressure retreating lumbar system
The present invention is a lumbar support system that retreats into the seat back when reclined, providing a constant pressure support. The lumbar support system has a lumbar support basket that can retreat into the seat back. The lumbar support basket is supported by a crossbar that is pivotably connected to opposite sides of a seat frame via a pair of connecting members. A spring is provided for biasing the connecting members to a biased position.
US07997649B2 Traction wire arrangement and adjustable support assembly using the traction wire arrangement
In order to symmetrically act on a support member (20) of a support assembly on both sides using a single Bowden cable (18), a traction cable arrangement is used with comprises the Bowden cable, a first traction wire (17), and a coupling device (16) for coupling the first traction wire (17) to the Bowden cable (18). The first traction wire (17) is fixedly attached to the coupling device (16) and extends therefrom in a first direction. The sheath of the Bowden cable (18) is fixedly attached to the coupling device (16), and the wire of the Bowden cable (18) extends from the coupling device (16) in a second direction substantially opposite to the first direction and forms a second traction wire (19). The first traction wire (17) and the second traction wire (19) are slidably held on the support member (20) and are connected to a seat frame (30) at their ends. When the wire of the Bowden cable (18) is retraced with respect to the sheath, the second traction wire (19) is shortened, the coupling device (16) is displaced in the extending direction of the second traction wire (19), whereby a tensile force is also generated in the first traction wire (17). Consequently, the first traction wire (17) and the second traction wire (19) symmetrically act on the support member (20) on both sides thereof.
US07997648B2 Motor vehicle seat with adjustable seat depth
An adjustment device for a seat depth adjustment of a motor vehicle seat that has (a) a seat pan comprising a seat part and a supporting plate, the supporting plate being slidably connected to the seat part via a guide in a longitudinal direction and (b) an electric gear motor comprising a pinion. The seat part comprises a first gear plate. The supporting plate comprises a second gear plate. The guide has a left and a right profile and a left and a right counterprofile. A toothed rack extending in the same direction is associated with each counterprofile. The teeth of the two toothed racks face each other. Each toothed rack engages a gear wheel. One of the gear wheels engages an idler gear. The other gear wheel and the idler gear engage the pinion of the gear motor.
US07997643B2 Roof assembly for a vehicle
A roof assembly for a vehicle that includes a center roof panel made from a lightweight material like aluminum, a surrounding attachment flange made from a more formable and stronger material like steel, and a junction that connects the roof panel and the attachment flange together. The aluminum-based roof panel may reduce the overall weight of the assembly, while the steel-based attachment flange may improve its metal forming and attachment capabilities.
US07997642B2 Motor vehicle hood provided with hollow bodies
The invention relates to a motor vehicle hood comprising a visible outside skin and a lining, in which the lining is shaped in such a manner that: the hood has reinforcements comprising a plurality of hollow bodies defined by the skin and by the lining; and that the hood includes at least one zone having no reinforcement and designed to be placed in register with a localized rigid part under the hood. The reinforcements further comprise at least one rib extending between two of the hollow bodies of the plurality, so as to interconnect the hollow bodies.
US07997641B2 Truck cab mounting arrangement
A truck with a front mounting of a driver's cab on the truck frame, has a stabilizer rocker coupled by bearing brackets of a supporting arrangement which comprises an energy absorbing device for absorbing impact energy as a consequence of a head on collision of the truck in the region of the driver's cab. The energy absorbing device of the supporting arrangement may be assigned at least one supporting element by which a stabilizer tube of the stabilizer rocker can be supported on the vehicle frame.
US07997639B2 Slide door opening/closing device of motor vehicle
A lower guide rail is mounted to a lower surface of a vehicle floor panel. Front and rear cable guides are provided on front and rear ends of the lower guide rail. A lower roller bracket fixed to a slide door is guided by the lower guide rail. An electric actuator unit is mounted to the lower surface of the floor panel. The electric actuator unit includes a cable wind/rewind drum. A watertight cover is mounted to the lower surface of the floor panel in a manner to cover the electric actuator unit. A first inner cable is guided by the front cable guide and has one end portion wound on the wind/rewind drum and the other end fixed to the lower roller bracket. A second inner cable is guided by the rear cable guide and has one end portion wound on the wind/rewind drum and the other end fixed to the lower roller bracket.
US07997637B2 Resinous impact-energy absorber for absorbing side impact energy
A resinous impact-energy absorber 1 includes a cross rib 11 that includes four ribs 11A and a connected portion 11B. The four ribs 11A are connected with each other in a single cross shape by the connected portion 11B. Each of the ribs 11A has an opposed edge 11C and a side edge. The opposed edge 11C has a distance S1 from the door trim 2. The resinous impact-energy absorber 1 also includes one outer wall 12 connected with one of the side edges of the ribs 11A. The at least one outer wall 12 has a contact edge 12A capable of making contact with an outside surface of the door trim 2. The resinous impact-energy absorber 1 also includes at least one seating face 14 for securing the cross rib 11 to the outside surface of the door trim 2 via the at least one outer wall 12.
US07997630B2 Dual lock locking system for containers
A locking system is mounted to a door with three rods extending therefrom. The rods have a locked position extending beyond the periphery of the door and an unlocked position not extending beyond the periphery of the door. Three rod receivers are adapted to receive the three rods in the locked position. A handle rotatably engages cam plates which are operatively engaged with the rods whereby rotation of the handle rotates the cam which moves the rod ends from one position to the other. A locking tab extends forwardly from the lower cam plate into a recess and is engaged by a circular lock while the recess prevents movement of the engaged circular lock. A second locking tab extends forwardly from the rod-locking link and a stationary locking tab is aligned thereto. Both locking tabs are engaged by a padlock preventing movement of the rod-locking link.
US07997629B2 Knot tying apparatus
A knot tying apparatus for tying a pair of fishing lines to one another is provided. The apparatus includes a tubular body having a through passage extending between opposite first and second ends with a slot extending from the first end toward the second end. Further, the apparatus has an actuator coupled to the tubular body for sliding movement between the first and second ends. The actuator is moveable between the first and second ends via application of an external force to removed loops of the one of the lines from the tubular body onto the other of the lines.
US07997628B1 Mechanically restrained push-on pipe connection
An apparatus, system, and method for the secure attachment and restraint of a pipe end within a fitting is disclosed. The invention includes a mechanical restraint apparatus that is integral and monolithic with the flange of a bell of a spigot-and-bell joint architecture, along with one or more internally disposed grooves within the bell that receive a circumferential gasket, in order to maintain a seal about the pipe end when it is inserted into the bell. The inventive apparatus combines features of mechanical connections and push-on connections.
US07997626B2 Pipe coupling with auxiliary clamp
A pipe coupling including at least one annular clamp housing at an end of a body, the at least one annular clamp housing having an opening for inserting therein a pipe and including clamp members and a tightening element that fastens and tightens the clamp members towards each other in a direction transverse to an axial length of the body so as to apply a radially-inward clamping force on a pipe inserted in the opening, at least one auxiliary clamp including auxiliary clamp members located near the at least one annular clamp housing, and an auxiliary tightening element that fastens and tightens the auxiliary clamp members towards each other in a direction transverse to the axial length of the body so as to apply a radially-inward clamping force on the pipe inserted in the opening.
US07997623B2 Frac tank storage system
A frac tank, configured for containerized handling, stacking and shipping in container cargo stacks, includes rear over-the-road axle and wheel support, a rear adapter for selectively exposing the rear wheels to enable mobile transport with a fifth-wheel equipped vehicle, and a front adapter for selectively exposing elements at the front of the tank during on-site use of the tank.
US07997622B2 Saddle-ride type four-wheeled vehicle
A saddle-ride type four-wheeled vehicle has a structure that increases a tank capacity of a fuel tank and reduces a moment acting on a fixing position of a fuel pump disposed in the fuel tank. The saddle-ride type four-wheeled vehicle includes a fuel tank located above the head cover of the engine, and a fuel pump disposed in the fuel tank. The lower surface portion of the fuel tank includes, at a more forward position than the head cover, a deep bottom portion situated at a lowest position in the lower surface portion. The upper surface portion of the fuel tank includes, at a more rearward position than the deep bottom portion, an inclined portion arranged to extend rearward and obliquely downward. An upper end of the fuel pump is fixed to the inclined portion, and the fuel pump is arranged to extend forward and obliquely downward from the inclined portion toward the deep bottom portion.
US07997621B2 Apparatus and method for maneuvering a snowboard
An apparatus and method for maneuvering a snowboard includes a removable strap that straps around a boot that attaches to a board. The removable strap includes an upper strap portion, a lower strap portion, and a securing strap portion. The securing strap portion is positioned under the boot and is attached to the lower strap portion. The lower strap portion is strapped to the boot and the upper strap portion is strapped above the lower strap portion. Attached to an outward facing section of the upper strap portion is a first handle which has a semi-rigid loop portion. By pulling the loop portion, an end section of the board may be maneuvered. Attached to an inward facing section of the lower strap portion is a second handle for assisting in stabilizing the board and used as leverage in pulling up into a standing position.
US07997620B1 Adaptive load-limiting seat belt buckle presenter
An adaptive load-limiting seat belt buckle presenter includes a plow assembly movable within a tube and connected to the buckle by cables. Movement of the plow assembly presents the buckle at various positions relative to the occupant. The plow assembly has a plurality of plow elements that engage with the tube to plow troughs in the tube when an occupant restraining load moves the plow assembly within the tube. A plow actuator mechanism selects a number of the plurality of plow elements to be engaged or not engaged with the tube to thereby adapt the load-limiting capability of the presenter. A controller and various sensors cooperate to operate an adjusting motor for translating the plow assembly within the tube to present the buckle at a desired position and to also operate a rotary actuator to select the number of plow elements that will be engaged or not engaged.
US07997616B1 Rollover protection system for automobiles
The invention relates to a rollover protection system for automobiles, comprising an extensible rollover body (1) held in an initial position by means of a holding device and secured against retraction, in a functional position by means of a locking device, and comprising an extension drive and an actuator (2) for releasing the holding device. Separate holding and locking devices are usually provided in such rollover protection systems, which is expensive both from a technological and an economic point of view. For simplification it is suggested according to the present invention that the holding device and the locking device be combined in one assembly (3). The assembly is arranged on the side adjacent to the rollover body (1) in a housing (16). The rollover protection system can be attached to the vehicle body by means of mounting elements (14 and 15), and the assembly (3) can be mounted in a position fixed on the vehicle. Upon extension of the rollover body (1), an engagement element of the assembly (3) engages the locking recess (6) of the rollover body (1).
US07997615B2 Occupant restraint system
An occupant restraint system according to one form of the present invention includes a side airbag device having a first airbag for, in a side collision, receiving gas supply from an inflator and inflating to deploy such that the first airbag covers a side internal surface of a passenger compartment, and a rear seat airbag device having a second airbag that is housed in a support section formed in a rear tray, the support section being covered with a lid, and, in a side collision, receives gas supply from the inflator, presses to open the lid, projects out of the support section, and inflates to deploy toward seating surfaces of rear seats.
US07997613B2 Motorcycle passenger protecting system
Multiple protecting devices, such as an air bag and an air bag jacket, are controlled and operated in accordance with the shape and size of an impact. A normal collision determining section causes the air bag and/or the air bag jacket to operate in accordance with the magnitude and direction of a collision based on outputs of G sensors. When a collision predicting section predicts a collision on the basis of other vehicle information obtained by a vehicle-to-vehicle communication device and own-vehicle information, it causes a stand-by collision determining section to start instead of the normal collision determining section. The stand-by collision determining section determines a collision mode in advance and sets a threshold value for determining the outputs of the G sensors to a value different from a value used in the determination of the normal collision determining section so as to permit quick determination of a collision.
US07997611B2 Safety arrangement in a vehicle seat
The invention relates to a safety device in a vehicle seat with a seat frame on which a seat cushion is fitted, and with an airbag unit with a gas generator and an airbag, which airbag unit is arranged on the seat frame below the seat cushion. It is the object of the invention to provide a safety device which effectively avoids the seat user slipping forwards in the event of an accident and at the same time is simple to produce and fit. The object is achieved in that the airbag when not activated rests in an unfolded and flat manner on the seat frame.
US07997610B2 Knee airbag device
A knee airbag device includes an airbag module and an airbag door. The airbag module, which is provided inside a column cover that covers the rear end portion of a steering column, includes a gas generation device that generates gas when a collision occurs, and a knee airbag that is inflated and deployed from a folded state when the knee airbag receives the gas from the gas generation device. The airbag door, which is provided in the lower portion of the column cover, is opened when the inflation pressure of the knee airbag is equal to or higher than a predetermined value. When the knee airbag is deployed, at least a portion of the airbag door is positioned between an interfering object that is positioned in an area on the side of the column cover, and at least a portion of the knee airbag.
US07997607B2 Modular multi-functional cargo carrier and transport trailer
A recreational trailer assembly that includes first and second trailer members and a trailer tongue that are all detachably and removably connected to one another. Specifically the first and second trailer members and trailer tongue are detachably removed from one another allowing for multi-functional uses of each and to provide assistance in transportation and storage of the entire recreational trailer assembly.
US07997605B2 ATV utility trailer with pivoting and extendable tongue and brush guard
A trailer with a pivoting and extendable tongue for use with all terrain vehicles (ATV) wherein the trailer tongue connects to a standard vehicle hitch receiver providing means for pivoting the trailer up in a raised transport or storage space saving configuration supported by the ATV or other vehicle receiver. The tongue is telescoping. The wheels are provided with heavy duty fenders which can be pivotally mounted on the wheel axles to function as sled runners. Support members extend from the axles to a selected point under the trailer frame at a selected angle in front of the wheels to function as brush guards so that the wheels are not caught in brush, hung on stumps, or deep gullies. A keeper can be removed to allow the fenders to be rotated forward and down to be used as sled runners when the ATV is towing a load in the snow. The wheels are also positioned under the trailer bed to extend pass the frame to allow the trailer to be backed over objects such as logs or gullies.
US07997604B2 Multi-position footboard
A footboard assembly configured to be coupled to a motorcycle supported in a vertically upright position. The footboard assembly includes a footboard and a footpeg arm. The footboard is configured to couple to the motorcycle and to support a foot of a rider. A top surface of the footboard defines a foot support plane. The footpeg arm includes an attachment portion pivotally coupled to the footboard about a pivot axis that is not parallel to the foot support plane. The footpeg arm includes a footpeg portion spaced from the attachment portion. The footpeg arm pivots about the pivot axis between a stowed position, in which the footpeg portion defines a first height and a deployed position, in which the footpeg portion defines a second height higher than the first height.
US07997601B2 Roll-up bicycle fender
A roll-up bicycle fender is mounted on a frame pipe of a bicycle. A fender main body of the roll-up bicycle fender can be pulled out into an operative position to act as a fender to protect rider from dust, mud and road debris when in use, and can also be rolled up into a cylinder member when not in use.
US07997598B2 Vehicle suspension system
A link arm (81) for restraining lateral movement of a pivotable vehicle suspension system (1), wherein a first end (90) of the arm (81) is pivotally connected to a wheel-mounting end of the system (1) and a second end (91) of the arm (81) is pivotally mounted to a frame of the vehicle such that the arm (81) may pivot relative to the frame in unison with the wheel-mounting end and restrain lateral movement of the system (1) relative to the frame. The first end (90) has a bearing (90) through which a pivot pin (71) extends. The second end (91) has a ball and socket joint 91 (ball joint) enabling pivoting of the arm (81) relative to the frame about more than one axis.
US07997597B2 Sitting orthopedic mobility scooter
An orthopedic mobility scooter for persons with a compromised leg or foot comprising a frame for supporting the weight of an occupant in a sitting position and a leg rest for supporting the compromised leg. The healthy leg is used to propel the scooter with an unobstructed stride. Wheels are located at the front and rear of the frame. Handles attached to a steering column and connected to a front wheel control the direction of travel. A padded seat is positioned near the center of the frame. A horizontal rod extending perpendicular to the frame is used to connect a leg rest and a stabilizing front wheel. The front horizontal rod and rear axle can both be positioned to either side of the frame to accommodate either a left or right compromised leg or foot. The scooter has enough clearance and stability to surmount obstacles such as street curbs.
US07997592B2 Gurney clamp, detachable equipment stand and associated transport cart
A Gurney Clamp, Detachable Equipment Stand and Associated Transport Cart is disclosed. The combination of these devices makes the transportation of patients and health status monitoring equipment more controlled, reliable and safe. The clamp is specifically configured to be attachable to the safety rails on a conventional gurney. Once installed, the clamp will provide a secure mounting point to attach the detachable equipment stand to the gurney. The stand is configured to be capable of holding a wide variety of patient monitoring equipment in an upright manner so that the equipment data can be easily viewed. The stand can be quickly and easily shifted back and forth between its mounting location at the gurney clamp, and its mounting location on the associated transport cart. When the stand is attached to the equipment cart, it provides the equipment with a secure, portable storage location.
US07997589B2 Skating shoes
The present invention relates to skating shoes that are capable of walking and skating, and more particularly, to skating shoes that are possible of self-driving skating and free conversion between walking and skating without a particular operation.
US07997586B2 Oscillatory drive
The invention relates to an oscillatory drive having an output shaft that can be driven to perform a rotationally oscillating movement about its longitudinal axis and that comprises a free end, a holding fixture at the free end of the output shaft comprising a contact surface for attachment of a tool, a mounting section on the holding fixture, raised relative to the contact surface, that projects to the outside in the direction of the longitudinal axis and that is designed for form-locking connection with a mounting opening of a tool placed in contact with the contact surface, and having a securement for fixing the tool with its mounting opening on the holding fixture, the securement permitting the tool to yield in axial direction under the action of a torque, against a pre-stress, and the mounting section permitting the tool to rotate by a certain angle of rotation when the tool gives way axially.
US07997584B2 Piston ring for the piston of an internal combustion engine
A piston ring for the piston of an internal combustion engine, comprising, on a lower side of the piston ring, a circular, radially inner bezel, the width thereof reducing, on both sides of the ring stop, up to the side of the piston ring that is opposite the ring stop, in a symmetrical manner in relation to a radially situated axis and runs through the ring stop, and the bezel on the lower side of the piston ring is arranged away from the piston base. A good oil-scraping effect of the piston ring is obtained over the entire periphery of the inner wall of the cylinder.
US07997579B2 Medium conveying device and image forming apparatus
A medium conveying device includes a conveyance path that is used for conveying medium; a medium guide that is furnished on the conveyance path and has at least one swing supporting portion; and a supporting section that supports the swing supporting portion to swing along a separation direction separating from the conveyance path so as to make the swing supporting portion support the medium guide to swing.
US07997577B2 Pivoting sheet discharging tray and image forming apparatus including the tray
An image forming apparatus including a sheet loader, the sheet loader including: a discharger configured to discharge a first sheet and a second sheet after the first sheet; a tray configured to support the second sheet on the first sheet; a support configured to support the first sheet on the tray; a controller configured to control the support to lower a first angle than a second angle, the first angle being an angle of the first sheet against a direction to which the discharger discharges the second sheet at a position where the second sheet hits on the first sheet, the second angle being an angle of the tray against the direction at a position where the first sheet hits on the tray.
US07997576B2 Equipment for processing banknotes in stack
Equipment for processing banknotes in stack, as an example for a banking deposit, including a transport mechanism for banknotes or similar sheets, and a receiving section the banknotes or sheets are transported at a given transport velocity (Vt) and the receiving section is arranged downstream of a section of the transport mechanism for receiving, in superimposition, the banknotes or sheets. The equipment comprises an interface mechanism with nipping members interposed between the transport mechanism and the receiving section and electronic control circuits which cause the nipping members to slow down the banknotes or sheets of the receiving section at a reduced velocity (Vb), in response to information of transit of the banknotes or sheets, making easy a regular stacking of the banknotes or sheets.
US07997572B2 Sheet finisher, image forming apparatus using the same, and sheet finishing method
A sheet finisher of the invention includes a saddle stitch unit configured to stitch a center of a sheet bundle, in which printed sheets are bundled, with a staple, a fold unit configured to fold the center stitched by the saddle stitch unit and to form a fold line, and a fold reinforcing unit configured to reinforce the fold line formed by the fold unit, the fold reinforcing unit includes a roller unit that includes a reinforce roller and moves along a direction of the fold line while applying pressure by the reinforce roller to the fold line of the sheet bundle transported from the fold unit, a drive unit configured to move the roller unit along the direction of the fold line, and a control unit configured to control a movement speed of the roller unit, and the control unit causes the roller unit to move at a first speed in an outside of a specified range including the edge of the staple, and causes the roller unit to move at a second speed not higher than the first speed in the specified range including the edge of the staple.
US07997570B2 Method and appartus for separation of stacks of interfolded sheets
The sheets are supplied interfolded by two folding rollers, on a table on which they are piled up forming a stack. When the stack achieves a predetermined height a first plurality of separators and a second plurality of separators, arranged for all the length of the stack and from opposite sides are inserted into the stack to provide the disengagement of the stack already formed from a stack being formed, leaving between them of a wing of sheet hanging. The stack formed is then withdrawn quickly from the stack being formed by means of a table, which translates vertically, and is subject to a blow of air for stretching a possible last sheet. Then, the stack formed is left on a longitudinal conveyor belt located underneath. At the same time, a sheet stretching board moves under the stack of interfolded sheets, for all its length, for provisional support thereof and for stretching completely the wing hanging from the stack being formed with a portion of wing that exceeds sheet stretching board. Then the separators and withdraw laterally and an element moving from a direction opposite to the sheet stretching board, for example a blow of air, stretches the portion of the exceeding wing of sheet just before that the table comes back.
US07997566B2 Liquid seal type fluid-filled mount
The liquid seal type fluid-filled mount comprises a cup-shaped housing (1) having a flange (1A) provided with a mounting hole; a stud (3) disposed along a central axis of the cup-shaped housing so as to be positioned in a central opening of a fixed damping unit; a flexible seal cap (7) sealing fluid-tight between the upper end of the stud and the cup-shaped housing; a high viscosity liquid (2) for being filled in a chamber formed by the cup-shaped housing (1) and the flexible seal cap (7); a movable damping plate (4) mounted to a lower end of the stud (3); a fixed damping unit (10) being fixed between the flexible seal cap (7) and the movable damping plate (4) and placed in the high viscosity fluid; and a spring (13) disposed between a bottom of the cup-shaped housing (1) and the movable damping plate (4).
US07997565B1 Compact portable air cooler
A personal air cooling apparatus includes a main housing having a hollow interior that houses a water-retaining sponge in a first chamber and a motor-driven fan in a second chamber. A partition wall separates the chambers and is apertured so that air pulled into the first chamber flows through the sponge and through the aperture into an air passageway that leads to an air outlet vent that directs a strong flow of cooled air onto the user. The first chamber has a depth less than a depth of the sponge so that an empty space is provided between the partition wall and the sponge. The empty space facilitates flow of ambient air through the sponge and reduces the load on the motor. The personal air cooling apparatus is compact and light-in-weight so that it can be carried by a user.
US07997562B2 Tower/frame structure and components for same
A tower/frame structure of interconnected columns and beams (which may be used in a cooling tower) and novel columns, beams, connection mechanisms and other components are provided. In one embodiment, a column and a beam are constructed of pultruded composite fiber-reinforced plastic (FRP) materials. The beam includes two sidewall extensions or flanges (each having one or more attachment apertures) at one end and which are integral with the beam for connection to the column (having one or more corresponding attachment apertures). The dimension/spacing between the inner walls of the two flanges substantially equals or is slightly larger than the outer dimension of the column. The attachment holes are aligned and a fastener is inserted through the extensions and column for attaching the column and beam. The C-shaped end of the beam substantially conforms to the cross-sectional shape and dimensions of the column. Additional plastic components, such as a firewall and toe/trim member, for use in a cooling tower are also provided.
US07997557B2 Exhaust gas recirculation valve and method of producing exhaust gas recirculation valve
A valve shaft 8 and a drive shaft 11 of an EGR valve 1 are butted to each other; protrusions 26a, 26b, 27a, and 27b formed on the inner sides of cotter members 24, 25 of a cotter 23 are engaged with grooves 21, 22 respectively formed on the outer peripheral surfaces of the ends of the shafts 8, 11; the urging force of a spring 28 for exerting an urging force in the closing direction of valve disks 6, 7 on the cotter 23 is applied to the cotter 23 through a spring holder 30 to press the protrusions 26a, 26b, 27a, and 27b to the grooves 21, 22.
US07997555B2 Planar rotation mechanism of a supporting device
A planar rotation mechanism of supporting device including a base seat; a supporting rack; a retaining member having a first, a second and a third guiding slots with different curvatures and lengths; and a rotating member respectively provided with a column hole with respect to initial positions of the first, the second and the third guiding slots for allowing a first, a second and a third guiding columns respectively pass through corresponding column holes and corresponding guiding slots. The guiding columns can respectively slide within the corresponding guiding slots. When the rotating member is operated with a planar rotation with an object to be supported provided in front of the rotating member, the plural guiding columns slide within the corresponding guiding slots. The object to be supported is selectively switchable between a horizontal status and a vertical status.
US07997554B2 Adaptive mounting structure
The disclosure describes a device that effectively mounts and secures objects to nonplanar surfaces, and in particular effectively mounts and secures objects in a quick and efficient manner to complex contours of different automotive vehicle dashboard and other interior vehicle surfaces having differing coverings of differing textures. By example and without limitation, this novel adaptive mounting device includes a substantially flexible container forming a vacuum chamber containing and substantially filled with a substantially granular substance. A mounting structure having a frame supporting a presentation structure is joined to the bladder with at least a coupler or other presentation structure external of the bladder. A substantially air impermeable seal is formed between the bladder and a portion of the frame, and at least a partial vacuum is formed within the bladder.The disclosure also describes a method for manufacturing the device described herein.
US07997552B2 Suspension system
A system is provided for suspending a plurality of line array loudspeakers. The suspension system includes a rigging bar having an engaging member, such as a pivotal member, positioned near one end of the rigging bar. The engaging member includes a free end capable of extending outward past the end of the rigging bar in which the engaging member is positioned. The end of the rigging bar opposite the pivotal member is designed to releasably receive an engaging member of an adjacent rigging bar. The free end of the engaging member of the rigging bar may include a hole that aligns with holes positioned in the receiving end of the rigging bar. The engaging member of one rigging bar may then be secured to an adjacent rigging bar by aligning holes of the engaging member of a first rigging bar with the holes in the receiving end of a second rigging bar and inserting a release pin through the aligned holes.
US07997549B2 Mounting bracket for an electrical junction box
A mounting bracket for installing an electrical junction box to an R-panel, the mounting bracket comprising a mounting plate having a first surface for interfacing with a portion of the housing of the electrical junction box, one or more sidewalls extending from the mounting plate; and one or more attachment tabs extending laterally from each of the sidewalls, wherein the mounting bracket is positioned between R-panel and the electrical junction box to space the electrical junction box at a distance away from the R-panel such that the electrical conduit may interface with the electrical junction box without having to bend the electrical conduit.
US07997546B1 Mounting assembly for traffic cameras and other traffic control devices
A mounting assembly for supporting a traffic camera or other traffic control device high above traffic. The assembly includes a conventional camera bracket, a vertical pole of at least two inches in diameter, an adapter for attaching the camera bracket to the top of the pole, and a mounting bracket for attaching the pole to the mast arm or other support. The bracket includes an adapter plate by which the pole can be rotated relative to the support so the camera can be mounted on either a vertical or a horizontal support structure. The bracket also includes a clamp that attaches to the support structure by a three point attachment. This versatile mounting assembly can support a traffic control device 10-15 feet above the mast arm or other support, without significant movement of the pole relative to the bracket or of the bracket relative to the support.
US07997545B2 Pivoting support structure
This invention is to an apparatus and method using a pivoting support structure to support mail boxes, newspaper tubes, information signage or decorative materials near a road while being less susceptible to damage from passing vehicles. The invention may be attached to a post, pipe or other vertical structure. Even after the apparatus is installed, the invention has an inherent flexibility to change the original starting position of the mail box relative to the road. Furthermore, the invention acts as a self-centering hinge allowing the support structure to swing in excess of 90-degrees to 180-degrees depending upon installation even while supporting single or multiple mailboxes and/or decorative or aesthetic items. The invention then automatically returns the mail box to its original starting position through the cooperative effort of a spring, gravity and inherent hinge design. This invention allows the structure to be temporarily cantilevered away from the vertical structure to assist in item placement or removal and allows the items such as mail to be removed without standing in traffic.
US07997543B1 Versatile support apparatus and methods thereof
The versatile support apparatus has an assembly including a plurality of substantially rigid longitudinal members. At least one substantially flexible member may be coupled transversally to a portion of each of the plurality of longitudinal members. Each longitudinal member of the plurality of longitudinal members may be repositioned about a longitudinal axis of the longitudinal member. A portion of a first end of a first longitudinal side of the assembly may be selectively coupled to a portion of an opposite second end of an opposite second longitudinal side of the assembly. When the portion of the first end is coupled to the portion of the opposite second end, the support apparatus is configured to support an object within an inner portion of the assembly.
US07997533B2 Fire barrier for an aircraft fuselage
When a fire breaks out, air flows that promote the spread of fire may occur in the region between the load bay and the skin of the aircraft. According to one embodiment of the present invention, a fire barrier is disclosed that allows rapid decompression of the passenger cabin in the normal operating mode of the aircraft and prevents flashovers in case of a fire. In this case, the fire barrier may be arranged at different positions in the intermediate wall space of the aircraft.
US07997531B2 Aircraft seat
An aircraft seat in a cubicle able to provide privacy via a hidden, sliding/pocket door drawn to a seat-side end of a divider between adjacent seats from within a facing panel of the next divider in the line of seats. The divider provides a recessed backing for the seat; whilst a return recess provides foot rest space opposite the seat. The dividers are generally S-shaped in one form. The seat in one form is a double seat, in that it comprises a convertible seat and a fixed seat immediately next to each other. The fixed seat provides an alternative seating position when the convertible seat is in bed configuration and a seat for a friend when the convertible seat is in seat configuration. In one form not only the convertible seat, but also the fixed seat have seat belts, the latter seat's belts being provided in case of turbulence during use. The convertible seat has a seat squab, a backrest and a leg rest both pivotally connected to the seat squab that are reclinable via a reclining mechanism.
US07997525B2 Retractable hose guide
A retractable hose guide includes a shell assembly that is structured to be embedded into the ground. The shell assembly defines an enclosed space that is open at the top. A guide rod assembly is movably disposed within the shell assembly and structured to move between a first, retracted position, wherein the guide rod assembly is substantially disposed within the shell assembly enclosed space, and a second, extended position, wherein the guide rod assembly extends substantially above the shell assembly enclosed space. A pop-up device includes components on both the shell assembly and the guide rod assembly that act in concert to lock the guide rod assembly in either the first or second position. The pop-up device preferably includes a biasing device structured to bias the guide rod assembly toward the second, extended position. The pop-up device is structured to be actuated by a generally linear movement of the guide rod assembly.
US07997521B1 Tension control system for a continuous winding machine
A continuous winding machine uses embedded controllers to monitor and control the winding settings in maximum speed applications. Power is supplied to the embedded controllers from a main power source through a rotary transformer. The control system can be operated either through wired connections to a local computer or processor, or through a wireless system, or a combination of both, depending on the specific application. The machine uses a high speed, closed loop tensioning system that includes the filament supply spools and the mandrel supply and takeup spools. The control system menu includes the various winding operations useful to each user. The control system menu can be accessed remotely via computer, or by telephone.