Document Document Title
US07910499B2 Autofocus for high power laser diode based annealing system
Apparatus for thermally processing a substrate includes a source of laser radiation comprising a plurality diode lasers arranged along a slow axis, optics directing the laser radiation from the source to the substrate, and an array of photodetectors arranged along a fast axis perpendicular to the slow axis and receiving portions of the laser radiation reflected from the substrate through the optics.
US07910498B2 Method for manufacturing semiconductor device
A method for manufacturing a semiconductor device, including: (a) forming an energy cured resin layer on a semiconductor substrate having an electrode pad and a passivation film; (b) fusing the resin layer without being cured and shrunk by a first energy supply processing; (c) forming a resin boss by curing and shrinking the resin layer after fusion by a second energy supply processing; and (d) forming an electrical conducting layer which is electrically connected to the electrode pad and passes through over the resin boss.
US07910497B2 Method of forming dielectric layers on a substrate and apparatus therefor
Methods of forming dielectric layers on a substrate comprising silicon and oxygen are disclosed herein. In some embodiments, a method of forming a dielectric layer on a substrate includes provide a substrate having an exposed silicon oxide layer; treating an upper surface of the silicon oxide layer with a plasma; and depositing a silicon nitride layer on the treated silicon oxide layer via atomic layer deposition. The silicon nitride layer may be exposed to a plasma nitridation process. The silicon oxide and silicon nitride layers may be subsequently thermally annealed. The dielectric layers may be used as part of a gate structure.
US07910493B2 Semiconductor device manufacturing method, semiconductor device, plasma nitriding treatment method, control program and computer storage medium
A nitrided region is formed on a surface of a polysilicon layer by a nitriding treatment wherein plasma of a processing gas is generated by introducing microwaves into a processing chamber by a planar antenna having a plurality of slots. Then, a CVD oxide film or the like is formed on the nitrided region and after patterning the polysilicon layer and the like after the prescribed shape, and then, a thermal oxide film is formed by thermal oxidation on exposed side walls and the like of the polysilicon layer by having the nitrided region as an oxidation barrier layer. Thus, generation of bird's beak can be suppressed in the process at a temperature lower than the temperature in a conventional process.
US07910491B2 Gapfill improvement with low etch rate dielectric liners
A method of filling a trench is described and includes depositing a dielectric liner with a high ratio of silicon oxide to dielectric liner etch rate in fluorine-containing etch chemistries. Silicon oxide is deposited within the trench and etched to reopen or widen a gap near the top of the trench. The dielectric liner protects the underlying substrate during the etch process so the gap can be made wider. Silicon oxide is deposited within the trench again to substantially fill the trench.
US07910488B2 Alternative method for advanced CMOS logic gate etch applications
Methods for etching, such as for fabricating a CMOS logic gate are provided herein. In some embodiments, a method of etching includes (a) providing a substrate having a first stack and a second stack disposed thereupon, the first stack comprising a high-k dielectric layer, a metal layer formed over the high-k dielectric layer, and a first polysilicon layer formed over the metal layer, the second stack comprising a second polysilicon layer, wherein the first and second stacks are substantially equal in thickness; (b) simultaneously etching a first feature in the first polysilicon layer and a second feature in the second polysilicon layer until the metal layer in the first stack is exposed; (c) simultaneously etching the metal layer and second polysilicon layer to extend the respective first and second features into the first and second stacks; and (d) etching the high-k dielectric layer.
US07910487B2 Reverse masking profile improvements in high aspect ratio etch
A method of improving high aspect ratio etching by reverse masking to provide a more uniform mask height between the array and periphery is presented. A layer of amorphous carbon is deposited over a substrate. An inorganic hard mask is deposited on the amorphous carbon followed by a layer of photodefinable material which is deposited over the array portion of the substrate. The photodefinable material is removed along with the inorganic hard mask overlaying the periphery. A portion of the amorphous carbon layer is etched in the exposed periphery. The inorganic hard mask is removed and normal high aspect ratio etching continues. The amount of amorphous carbon layer remaining in the periphery results in a more uniform mask height between the array and periphery at the end of high aspect ratio etching. The more uniform mask height mitigates twisting at the edge of the array.
US07910482B2 Method of forming a finFET and structure
A method for processing a substrate comprising at least a buried oxide (BOX) layer and a semiconductor material layer is provided. The method includes etching the semiconductor material layer to form a vertical semiconductor material structure overlying the BOX layer, leaving an exposed portion of the BOX layer. The method further includes exposing a top surface of the exposed portion of the BOX layer to an oxide etch resistant species to form a thin oxide etch resistant layer overlying the exposed portion of the BOX layer.
US07910481B2 Method for fabricating semiconductor device
A method for fabricating a semiconductor device includes forming an interlayer dielectric layer having a plurality of contact holes over a substrate, forming a conductive layer by filling the contact holes to cover the interlayer dielectric layer, performing a first main etch process to partially etch the conductive layer to form a first conductive layer, performing a second main etch process to etch the first conductive layer using an etch gas having a slower etch rate with respect to the first conductive layer than an etch gas used in the first main etch process until an upper surface of the interlayer dielectric layer is exposed to form a second conductive layer, and performing an over-etch process to etch a certain portion of the second conductive layer, and at the same time, to etch a certain portion of the interlayer dielectric layer to form a landing plug.
US07910478B2 Method of manufacturing semiconductor devices
A method of manufacturing a semiconductor device, forms connection pads electrically connected to integrated circuit portion formed in a semiconductor substrate, lays an insulating film and a protective film one over another, forms sub-lines electrically connected to the connection pads on the protective film, forms a coating film covering the sub-lines and the protective film, sticks a dry film onto the coating film, forms external connection electrodes externally connectable and electrically connected to the sub-lines, and removes the dry film and forms a sealing layer covering the coating film and side surfaces of the external connection electrodes.
US07910475B2 Method for forming low dielectric constant fluorine-doped layers
A method for forming a semiconductor device is provided. In one embodiment, the method includes providing a semiconductor substrate with a surface region. The surface region includes one or more layers overlying the semiconductor substrate. In addition, the method includes depositing a dielectric layer overlying the surface region. The dielectric layer is formed by a CVD process. Furthermore, the method includes forming a diffusion barrier layer overlying the dielectric layer. In addition, the method includes forming a conductive layer overlying the diffusion barrier layer. Additionally, the method includes reducing the thickness of the conductive layer using a chemical-mechanical polishing process. The CVD process utilizes fluorine as a reactant to form the dielectric layer. In addition, the dielectric layer is associated with a dielectric constant equal or less than 3.3.
US07910467B2 Method for treating layers of a gate stack
A method for fabricating a semiconductor device with improved performance is disclosed. The method comprises providing a semiconductor substrate; forming one or more gate stacks having an interfacial layer, a high-k dielectric layer, and a gate layer over the substrate; and performing at least one treatment on the interfacial layer, wherein the treatment comprises a microwave radiation treatment, an ultraviolet radiation treatment, or a combination thereof.
US07910456B1 Liquid based substrate method and structure for layer transfer applications
An embodiment of a composite substrate member in accordance with the present invention has a handle substrate member derived from a plurality of nanoparticles in a fluid mixture, and a thickness of material transferred to the handle substrate member. The handle substrate member may be formed from a plurality of liquid layers, for example a thinner surface layer conveying specific properties to the donor/substrate interface, and a thicker support layer dispensed over the surface layer.
US07910455B2 Method for producing SOI wafer
The present invention relates to a method for producing an SOI wafer, having at least a step of a bonding heat treatment for increasing bonding strength by heat-treating a bonded wafer obtained by bonding a base wafer and a bond wafer, in which argon is ion-implanted from a surface of either the base wafer or the bond wafer at a dosage of 1×1015 atoms/cm2 or more at least before the bonding step, the surface ion-implanted with argon is used as a bonding surface in the bonding step, and an increase rate of temperature to a treatment temperature of the bonding heat treatment is 5° C./minute or higher. Thus the present invention provides a method for producing an SOI wafer facilitating the efficient production of an SOI wafer having in the neighborhood of a buried insulator layer thereof a polycrystalline silicon layer uniform in thickness introduced and having high gettering ability toward metal contaminations in the SOI layer by a simple and low-cost method.
US07910454B2 Combination of a substrate and a wafer
The invention pertains to a combination of a substrate (6) and a wafer (15), wherein the substrate (6) and the wafer (15) are arranged parallel to one another and bonded together with the aid of an adhesive layer (8) situated between the substrate (6) and the wafer (15), and wherein the adhesive is chosen such that its adhesive properties are neutralized or at least diminished when a predetermined temperature is exceeded. According to the invention, the adhesive layer (8) is only applied annularly between the substrate (6) and the wafer (15) in the edge region of the wafer (15).
US07910453B2 Storage nitride encapsulation for non-planar sonos NAND flash charge retention
The present disclosure provides a method of manufacturing a microelectronic device. The method includes forming recessed shallow trench isolation (STI) features in a semiconductor substrate, defining a semiconductor region between adjacent two of the recessed STI features; forming a tunnel dielectric feature within the semiconductor region; forming a nitride layer on the recessed STI features and the tunnel dielectric feature; etching the nitride layer to form nitride openings within the recessed STI features; partially removing the recessed STI features through the nitride openings, resulting in gaps between the nitride layer and the recessed STI features; and forming a first dielectric material on surfaces of the nitride layer, sealing the nitride openings.
US07910452B2 Method for fabricating a cylinder-type capacitor utilizing a connected ring structure
A method for fabricating a capacitor includes forming an isolation layer over a substrate. The isolation layer forms a plurality of open regions. Storage nodes are formed on surfaces of the open regions. An upper portion of the isolation layer is etched to expose upper outer walls of the storage nodes. A sacrificial layer is formed over the isolation layer to enclose the upper outer walls of the storage nodes. The isolation layer and the sacrificial layer are then removed.
US07910451B2 Simultaneous buried strap and buried contact via formation for SOI deep trench capacitor
A node dielectric, an inner electrode, and a buried strap cavity are formed in the deep trench in an SOI substrate. A buried layer contact cavity is formed by lithographic methods. The buried strap cavity and the buried layer contact cavity are filled simultaneously by deposition of a conductive material, which is subsequently planarized to form a buried strap in the deep trench and a buried contact via outside the deep trench. The simultaneous formation of the buried strap and the buried contact via enables formation of a deep trench capacitor in the SOI substrate in an economic and efficient manner.
US07910450B2 Method of fabricating a precision buried resistor
The present invention provides a semiconductor structure including a buried resistor with improved control, in which the resistor is fabricated in a region of a semiconductor substrate beneath a well region that is also present in the substrate. In accordance with the present invention, the inventive structure includes a semiconductor substrate containing at least a well region; and a buried resistor located in a region of the semiconductor substrate that is beneath said well region. The present invention also provides a method of fabricating such a structure in which a deep ion implantation process is used to form the buried resistor and a shallower ion implantation process is used in forming the well region.
US07910448B2 Method for fabricating a mono-crystalline emitter
Fabrication of a mono-crystalline emitter using a combination of selective and differential growth modes. The steps include providing a trench (14) formed on a silicon substrate (16) having opposed silicon oxide side walls (12); selectively growing a highly doped mono-crystalline layer (18) on the silicon substrate in the trench; and non-selectively growing a silicon layer (20) over the trench in order to form an amorphous polysilicon layer over the silicon oxide sidewalls.
US07910447B1 System and method for providing a self aligned bipolar transistor using a simplified sacrificial nitride emitter
A system and method are disclosed for providing a self aligned bipolar transistor using a simplified sacrificial nitride emitter. An active region of a transistor is formed and a silicon nitride sacrificial emitter is formed above the active region of the transistor. Then a physical vapor deposition oxide layer is deposited over the silicon nitride sacrificial emitter using a physical vapor deposition process. The physical vapor deposition oxide layer is then etched away from the side walls of the sacrificial emitter. The sacrificial emitter is then etched away to form an emitter window. Then a polysilicon emitter structure is formed in the emitter window. The self aligned bipolar transistor architecture of the invention is compatible with BiCMOS technology.
US07910446B2 Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
Electronic devices and methods for forming electronic devices that allow for a reduction in device dimensions while also maintaining or reducing leakage current for non-volatile memory devices are provided. In one embodiment, a method of fabricating a non-volatile memory device is provided. The method comprises depositing a floating gate polysilicon layer on a substrate, forming a silicon oxide layer on the floating gate polysilicon layer, depositing a first silicon oxynitride layer on the silicon oxide layer, depositing a high-k dielectric material layer on the first silicon oxynitride layer, depositing a second silicon oxynitride on the high-k dielectric material, and forming a control gate polysilicon layer on the second silicon oxynitride layer. In one embodiment, the high-k dielectric material layer comprises hafnium silicon oxynitride.
US07910443B2 Method involving trimming a hard mask in the peripheral region of a semiconductor device
A method for fabricating a semiconductor device includes forming a conductive material layer for forming a gate over a substrate including a cell region and a peripheral region, forming hard mask patterns over the conductive material layer, forming a mask pattern over the resultant structure in the cell region, exposing the peripheral region, trimming the hard mask patterns in the peripheral region, removing the mask pattern, and etching the conductive material layer to form gate patterns using the hard mask patterns.
US07910441B2 Multi-gate semiconductor device and method for forming the same
A semiconductor device includes a substrate (20), a source region (58) formed over the substrate, a drain region (62) formed over the substrate, a first gate electrode (36) over the substrate adjacent to the source region and between the source and drain regions, and a second gate electrode (38) over the substrate adjacent to the drain region and between the source and drain regions.
US07910440B2 Semiconductor device and method for making the same
A semiconductor device includes: a first trench that is formed in a semiconductor substrate; a gate oxide film that is formed on a surface of the first trench; and a trench gate electrode that is formed so as to bury the first trench via the gate oxide film. The semiconductor device also includes: a second trench that is formed in the semiconductor substrate with a width wider than the width of the first trench; and a terminal-embedded insulation layer that is formed so as to bury the second trench. The semiconductor device further includes: a third trench that is formed in the semiconductor substrate with a width wider than the width of the second trench; and a trench contact electrode that is formed so as to bury the third trench.
US07910438B2 Method for fabricating semiconductor device including recess gate
A method for fabricating a semiconductor device includes etching a substrate to form a first trench pattern, forming spacers over sidewalls of the first trench pattern, etching a bottom portion of the first trench pattern using the spacers as a barrier to form a second trench pattern, performing an isotropic etching on the second trench pattern to round sidewalls of the second trench pattern and form a bulb pattern, and forming a gate over a recess pattern including the first trench pattern, the rounded second trench pattern and the bulb pattern.
US07910436B2 Isolated-nitride-region non-volatile memory cell and fabrication method
An isolated-nitride-region non-volatile memory cell is formed in a semiconductor substrate. Spaced-apart source and drain regions are disposed in the semiconductor substrate forming a channel therebetween. An insulating region is disposed over the semiconductor substrate. A gate is disposed over the insulating region and is horizontally aligned with the channel. A plurality of isolated nitride regions are disposed in the insulating region and are not in contact with either the channel or the gate.
US07910433B2 Methods of fabricating multi-layer nonvolatile memory devices
A nonvolatile memory device includes a semiconductor substrate having a first well region of a first conductivity type, and at least one semiconductor layer formed on the semiconductor substrate. A first cell array is formed on the semiconductor substrate, and a second cell array formed on the semiconductor layer. The semiconductor layer includes a second well region of the first conductivity type having a doping concentration greater than a doping concentration of the first well region of the first conductivity type. As the doping concentration of the second well region is increased, a resistance difference may be reduced between the first and second well regions.
US07910430B2 NAND flash memory device and method of manufacturing the same
A NAND flash memory device and method of manufacturing the same is disclosed. Source and drain select transistor gates are recessed lower than an active region of a semiconductor substrate. A valid channel length of the source and drain select transistor gates is longer than a channel length of memory cell gates. Accordingly, an electric field between a source region and a drain region of the select transistor can be reduced. It is thus possible to prevent program disturbance from occurring in edge memory cells adjacent to the source and drain select transistors in non-selected cell strings.
US07910429B2 Method of forming ONO-type sidewall with reduced bird's beak
Conventional fabrication of sidewall oxide around an ONO-type memory cell stack usually produces Bird's Beak because prior to the fabrication, there is an exposed sidewall of the ONO-type memory cell stack that exposes side parts of a plurality of material layers respectively composed of different materials. Certain materials in the stack such as silicon nitrides are more difficult to oxidize than other materials in the stack such polysilicon. As a result oxidation does not proceed uniformly along the multi-layered height of the sidewall. The present disclosure shows how radical-based fabrication of sidewall dielectric can help to reduce the Bird's Beak formation. More specifically, it is indicated that short-lived oxidizing agents (e.g., atomic oxygen) are able to better oxidize difficult to oxidize materials such as silicon nitride and the it is indicated that the short-lived oxidizing agents alternatively or additionally do not diffuse as deeply through already oxidized layers of the sidewall such as silicon oxide layers. As a result, a more uniform sidewall dielectric can be fabricated with more uniform breakdown voltages along it height.
US07910428B2 Capacitor with pillar type storage node and method for fabricating the same including conductive capping layer
A capacitor includes a pillar-type storage node, a supporter filling an inner empty crevice of the storage node, a dielectric layer over the storage node, and a plate node over the dielectric layer.
US07910422B2 Reducing gate CD bias in CMOS processing
A method of forming an integrated circuit having an NMOS transistor and a PMOS transistor is disclosed. The method includes performing pre-gate processing in a NMOS region and a PMOS region over and/or in a semiconductor body, and depositing a polysilicon layer over the semiconductor body in both the NMOS and PMOS regions. The method further includes performing a first type implant into the polysilicon layer in one of the NMOS region and PMOS region, and performing an amorphizing implant into the polysilicon layer in both the NMOS and PMOS regions, thereby converting the polysilicon layer into an amorphous silicon layer. The method further includes patterning the amorphous silicon layer to form gate electrodes, wherein a gate electrode resides in both the NMOS and PMOS regions.
US07910421B2 Methods of forming devices including different gate insulating layers on PMOS/NMOS regions
Provided is a method of manufacturing a semiconductor device, in which the thickness of a gate insulating layer of a CMOS device can be controlled. The method can include selectively injecting fluorine (F) into a first region on a substrate and avoiding injecting the fluorine (F) into a second region on the substrate. A first gate insulating layer is formed of oxynitride layers on the first and second regions to have first and second thicknesses, respectively, where the first thickness is less than the second thickness. A second gate insulating layer is formed on the first gate insulating layer and a gate electrode pattern is formed on the second gate insulating layer.
US07910416B2 Laser irradiation apparatus
In annealing of a non-single crystal silicon film by a linear laser beam, it is performed so as irradiation tracks caused by the linear laser beam do not remain in the silicon film. Laser light is partitioned by an integrally formed cylindrical array lens, and is composed into a single uniform laser beam on an irradiation surface by a cylindrical lens and a doublet cylindrical lens. The integrally formed cylindrical array lens is used, and therefore cylindrical lenses structuring this array lens can be made very fine. It thus becomes possible to partition the laser light into a large number of partitions, and the uniformity of the laser beam on the irradiation surface is increased. Very few laser irradiation tracks remain on the silicon film annealed by the very uniform laser beam.
US07910415B2 Semiconductor device and method of manufacturing the same, and semiconductor substrate and method of manufacturing the same
A method of manufacturing a semiconductor device including a substrate; an insulating film formed thereon; a first semiconductor layer where strain is induced in the directions parallel to the surface of the substrate, the first semiconductor layer being on the insulating film; a source region and a drain region formed in the first semiconductor layer; and a gate layered body formed of a gate insulating film and a gate electrode on the first semiconductor layer is disclosed. The method includes the steps of (a) forming a second semiconductor layer by epitaxial growth on the first semiconductor layer; (b) heating the second semiconductor layer; and (c) removing the second semiconductor layer. The second semiconductor layer is different in lattice constant in an in-plane direction from the first semiconductor layer. Step (b) induces the strain in the first semiconductor layer by exposing the surface of the second semiconductor layer to energy lines.
US07910412B2 Method of fabricating an array substrate
An array substrate for use in an X-ray sensing device and in an LCD device is fabricated using plasma gas treatment. Especially, an indium-tin-oxide (ITO) transparent conductive metallic layer is plasma-treated by N2 plasma, He plasma or Ar plasma, before forming the insulation layer on the ITO transparent conductive metallic layer. Thus, the plasma removes the impurities on a surface of the transparent conductive metallic layer and changes the lattice structure of the surface of the transparent conductive metallic layer, and thus the adhesion between the transparent conductive metallic layer and the insulation layer is improved. The defects caused by a gap or a space between the transparent conductive metallic layer and the insulation layer do not occur.
US07910411B2 Semiconductor device and method for manufacturing the same
A semiconductor device includes a semiconductor substrate, a cell region, an outer peripheral region, a field plate, an outermost peripheral ring, outer peripheral region layer, an insulator film, and a Zener diode. The semiconductor substrate has a superjunction structure. The outer peripheral region is disposed at an outer periphery of the cell region. The Zener diode is disposed on the insulator film for electrically connecting the field plate with the outermost peripheral ring. The Zener diode has a first conductivity type region and a second conductivity type region that are alternately arranged in a direction from the cell region to the outer peripheral region.
US07910410B2 Integrated low leakage Schottky diode
An integrated low leakage Schottky diode has a Schottky barrier junction proximate one side of an MOS gate with one end of a drift region on an opposite side of the gate. Below the Schottky metal and the gate oxide is a RESURF structure of an N− layer over a P− layer which also forms the drift region that ends at the diode's cathode in one embodiment of the present invention. The N− and P− layers have an upward concave shape under the gate. The gate electrode and the Schottky metal are connected to the diode's anode. A P− layer lies between the RESURF structure and an NISO region which has an electrical connection to the anode. A P+ layer under the Schottky metal is in contact with the P− layer through a P well.
US07910409B2 Bi-directional transistor with by-pass path and method therefor
In one embodiment, a transistor is formed to have a first current flow path to selectively conduct current in both directions through the transistor and to have a second current flow path to selectively conduct current in one direction.
US07910408B2 Damage propagation barrier and method of forming
A conductor-filled damage propagation barrier is formed extending into a low-k dielectric layer between a fuse and an adjacent circuit element for preventing propagation of damage during a fuse blow operation. Conductor material filling the damage propagation barrier is formed from the same conductor layer as that used to form an interconnect structure.
US07910407B2 Quad memory cell and method of making same
A non-volatile memory device includes a first electrode, a diode steering element, at least three resistivity switching storage elements, and a second electrode. The diode steering element electrically contacts the first electrode and the at least three resistivity switching storage elements. The second electrode electrically contacts only one of the at least three resistivity switching storage elements.
US07910406B2 Electronic circuit device and method for manufacturing same
An electronic circuit device includes at least one semiconductor element, a plurality of external connection terminals, connecting conductors electrically connecting the semiconductor element and external connection terminals, and an insulating resin covering the semiconductor element and supporting the connecting conductors integrally. The semiconductor element is buried in the insulating resin, and terminal surfaces of the external connection terminals are exposed from the insulating resin.
US07910403B2 Metal particles-dispersed composition and flip chip mounting process and bump-forming process using the same
A flip chip mounting process wherein a semiconductor chip and a circuit substrate are electrically interconnected. The process includes the steps of preparing a semiconductor chip on which a first plurality of electrodes are formed and a circuit substrate on which a second plurality of electrodes are formed; supplying a composition onto a surface of the circuit substrate, such surface being provided with second plurality of electrodes; bringing the semiconductor chip into contact with a surface of said composition such that the first plurality of electrodes are opposed to the second plurality of electrodes; and heating the circuit substrate, and thereby electrical connections including a metal component constituting the metal particles dispersed in the composition are formed between the first plurality of electrodes and the second plurality of electrodes. Also, a thermoset resin layer is formed between the semiconductor chip and the circuit substrate.
US07910402B2 Semiconductor device and fabrication method thereof
For a suppressed breakage after a flip chip connection of a semiconductor device using a low-permittivity insulation film and a lead-free solder together, with an enhanced production yield, bump electrodes (2) are heated by a temperature profile having, after a heating up to a melting point of the bump electrodes (2) or more, a cooling in which a temperature within a range of 190 to 210° C. is kept for an interval of time within a range of 3 to 15 minutes, and a condition is met, such that 1.4
US07910400B2 Quantum dot electroluminescence device and method of fabricating the same
A quantum dot electroluminescence device and a method of fabricating the same are provided. The quantum dot electroluminescence device comprises an insulating substrate; a quantum dot luminescence layer supported by the insulating substrate, and composed of a monolayer or multilayer of quantum dots, which are cross-linked by a cross-link agent; an anode electrode and a cathode electrode connected to an external power supply to inject carriers to the quantum dot luminescence layer; a hole transfer layer interposed between the anode electrode and the quantum dot luminescence layer, and composed of p-type polymer semiconductor; and an electron transfer layer interposed between the cathode electrode and the quantum dot luminescence layer, and composed of metal oxide or n-type polymer semiconductor.
US07910398B2 Phase-change memory device and method of manufacturing the same
In a method of forming a phase-change memory device, a variable resistance member may be formed on a s semiconductor substrate having a contact region, and a first electrode may be formed to contact a first portion of the variable resistance member and to be electrically connected to the contact region. A second electrode may be formed so as to contact a second portion of the variable resistance member.
US07910383B2 Molecular fingerprints with enhanced identifying capability, method for preparing same and use thereof
The invention concerns a method for preparing a molecular fingerprint comprising sites for identifying at least one target molecule, the fingerprint being obtained from at least one master molecule of polymeric type, called master polymer. The invention is characterized in that the master polymer is different from the target molecule(s), and is capable of being eliminated by degradation and/or washing, and that at least 5% in number of monomer units constituting the master polymer are involved in the formation of the sites for identifying the target molecule(s).
US07910379B2 Apparatus and method for ejecting droplets using charge concentration and liquid bridge breakup
Disclosed is an apparatus and method for ejecting droplets using charge concentration and liquid bridge breakup. The droplet ejection apparatus includes a reservoir storing a liquid; a capillary nozzle having a lower end submerged in the liquid stored in the reservoir and an upper end exposed outside the surface of the liquid, the capillary nozzle transferring the liquid to the upper end using capillary force; a potentiostat for applying a voltage to the liquid; a substrate mount on which a substrate is disposed to face the upper end of the capillary nozzle; and a distance adjusting unit for reciprocatingly moving the substrate between first and second positions with respect to the capillary nozzle, wherein the first position denotes a position where a distance between the upper end of the capillary nozzle and the surface of the substrate is less than a effective distance.
US07910374B2 Volumetric induction phase shift detection system for determining tissue water content properties
A method of determining the condition of a bulk tissue sample, by: positioning a bulk tissue sample between a pair of induction coils (or antennae); passing a spectrum of alternating current (or voltage) through a first of the induction coils (or antennae); measuring spectrum of alternating current (or voltage) produced in the second of the induction coils (or antennae); and comparing the phase shift between the spectrum of alternating currents (or voltages) in the first and second induction coils (or antennae), thereby determining the condition of the bulk tissue sample. An apparatus for determining the condition of a bulk tissue sample, having: a first induction coil (or antenna); a second induction coil (or antenna); an alternating current power supply connected to the first induction coil (or antenna), the alternating current power supply configured to generate a spectrum of currents (or voltage) in the first induction coil (or antenna); and a measurement system connected to the second induction coil (or antenna), wherein the measurement system is configured to measure a phase shift difference in the spectrum of currents (or voltages) between the first and second induction coils (or antennae) when the first and second induction coils (or antennae) are positioned on opposite sides of a tissue sample.
US07910373B2 H2O doped WO3, ultra-fast, high-sensitivity hydrogen sensors
An ultra-fast response, high sensitivity structure for optical detection of low concentrations of hydrogen gas, comprising: a substrate; a water-doped WO3 layer coated on the substrate; and a palladium layer coated on the water-doped WO3 layer.
US07910363B1 Lipophilic polyamines providing enhanced intracellular delivery of agents by a polyamine transport system
Polyamine cationic lipids have been synthesized that have the ability to be transported into cells having an active polyamine transport system. Accordingly, these lipids may be conjugated with various agents and, thereby, act as vectors for transporting the agent into the cell aided by the cell's own polyamine transport system. A method of delivering an agent into a cell includes associating the agent with a polyamine cationic lipid selected from compounds 25, 26, 27, 28, their pharmaceutically acceptable salts and combinations thereof and contacting the cell therewith.
US07910362B2 Reporter vector for use in evaluation of CYP1A2 induction
A reporter vector which can evaluate the ability of a drug to induce CYP1A2 or both of CYP1A1 and CYP1A2 and a method for evaluation of the ability of a drug to induce CYP1A2 or both of CYP1A1 and CYP1A2 by using the reporter vector. A reporter system which can evaluate the ability of a drug capable of inducing CYP1A2 or both of CYP1A1 and CYP1A2 is completed by constructing a reporter vector having a reporter gene linked to the 3′ end of a region between CYP1A1 and CYP1A2 or a reporter vector having different reporter genes linked to the both ends of the region, respectively, so as to sandwich the region, and a reporter vector having a deletion mutation in the region, and confirming that the expression of a reporter molecule is increased by the drug capable of inducing CYP1A2 or both of CYP1A1 and CYP1A2 in the reporter system using the reporter vector.
US07910361B2 Portable biological testing device and method
A device and method for providing portable biological testing capabilities free from biological contamination from an environment outside the device are provided. The device includes a portable housing. The device further includes a volume surrounded by the housing and sealed against passage of biological materials between the volume and the environment outside the device. The device further includes a culture medium within the volume. The device further includes one or more ports configured to provide access to the volume while avoiding biological contamination of the volume. The device further includes a valve in fluidic communication with the volume and the environment. The valve has an open state in which the valve allows gas to flow from within the volume to the environment outside the device and a closed state in which the valve inhibits gas from flowing between the volume and the environment. The valve switches from the closed state to the open state in response to a pressure within the volume larger than a pressure of the environment outside the device.
US07910360B2 Device and method for uniformly inoculating a substrate having three-dimensional surfaces
An apparatus and method for inoculating a biological substrate with cells include provision for rotating a container in which the substrate and a cell suspension are received about first and second axes of rotation. The apparatus comprises said container, first drive means for rotatably supporting the container about the first axis, and second drive means for rotatably supporting the first drive means about the second axis. The first and second axes of rotation do not lie in the same plane and extend in different directions so that the container revolves around the second axis while the container is rotating about the first axis. The inoculation method utilizes this apparatus.
US07910357B2 Correction method for the distribution of quantity of light and biochip-reader
The present invention is characterized by the following points:In a biochip reader used for reading a measurement sample image by light beam irradiation, a correction method for the distribution of quantity of light which is devised to remove the influence of shading for the whole image and such a biochip reader can be realized by correcting non-uniformity in said quantity of light in light beam irradiation by dividing the quantities of light of pixels in a measured image obtained from the measurement of a measurement sample by a distribution of quantity of light in an image obtained from the measurement of a uniform fluorescent plate that presents a uniform fluorescent light distribution, the positions of pixels in the measured image being correspondent to those in the image obtained through the above uniform fluorescent plate measurement.
US07910355B2 Culture observation apparatus
A culture observation apparatus, which is used for observing a cultured cell while culturing the cell, includes a culture device that cultures the cultured cell, and a microscope used for observing the cultured cell. The culture device includes a first space that is controlled to an environment suitable for culture of the cell, and a second space that is controlled to a lower humidity condition in comparison with the first space. The microscope includes an objective optical unit including an objective lens; the objective optical unit having at least one portion located in the second space, and another portion extending into the first space through an opening formed in a partition wall that separates the first space and the second space, with a gap between the objective optical unit and the partition wall being sealed by a sealing member, and the objective lens includes a plurality of lens groups, with mutual spaces among the lens groups vented to the second space.
US07910350B2 Adaptor-directed helper systems
The present invention provides adapter-directed display systems for expressing exogenous polypeptide within a host cell and/or displaying the exogenous polypeptide on the outer surface of a genetic package. This subject systems are particularly useful for displaying a genetically diverse repertoire of monomeric and multimeric polypeptides. The invention also provides both expression and helper vectors and kits containing components of the subject display systems. Also provided are genetic packages displaying the exogenous polypeptides of particular interest. Further provided by the invention are methods of using the subject display systems.
US07910348B2 Polypeptides of Alicyclobacillus sp. having glutamic peptidase activity
Disclosed are isolated polypeptides of Alicyclobacillus sp. having glutamic peptidase activity.
US07910342B2 Fermentive production of isobutanol using highly active ketol-acid reductoisomerase enzymes
Methods for the fermentative production of isobutanol is provided by the fermentative growth of a recombinant microorganism expressing a highly active ketol-acid reductoisomerase enzyme in addition to other enzymes required for conversion of glucose to isobutanol.
US07910341B1 Process for harvesting and processing sugar-producing crops
Present-day harvest methods for sweet sorghum are labor intensive, and/or require that large quantities of matter be removed to a central location for processing. A field harvesting process for harvesting and further processing sweet sorghum includes cutting the crop and reducing it to its separated juice and solids in the field. The juice is stored and fermented on the farm, followed by distillation by a mobile distillation process to separate the valuable alcohol from the stillage.
US07910337B2 Method for identifying drug-sensitizing antisense DNA fragments and use thereof
The invention provides a method for generating and selecting drug-sensitizing antisense DNA fragments. In one embodiment, the method includes identifying a gene of interest using knowledge of bacterial physiology, biochemistry, genetics, genomics, and other means. The method includes PCR amplification of a gene of interest using genomic DNA as a template; fragmentation of the DNA by sonication or other means; selecting DNA fragments no longer than 400 base pairs; ligating the DNA fragments into a suitable expression plasmid with a selectable marker; transforming the plasmids containing the DNA fragments into the organism from which the gene of interest originated; and selecting clones from transformed cells that show a phenotypic difference of the clone grown in the presence of the inducer relative to the phenotype in the absence of inducer.
US07910333B2 Antibodies to alpha-synuclein
The invention provides methods for detecting alpha-synuclein. The invention also identifies preferred epitopes of alpha synuclein for use in such detection, and provides antibodies specifically binding to such epitopes.
US07910329B2 Chlamydia trachomatis genomic sequence and polypeptides, fragments thereof and uses thereof, in particular for the diagnosis, prevention and treatment of infection
The subject of the invention is the genomic sequence and the nucleotide sequences encoding polypeptides of Chlamydia trachomatis, such as cellular envelope polypeptides, which are secreted or specific, or which are involved in metabolism, in the replication process or in virulence, polypeptides encoded by such sequences, as well as vectors including the said sequences and cells or animals transformed with these vectors. The invention also relates to transcriptional gene products of the Chlamydia trachomatis genome, such as, for example, antisense and ribozyme molecules, which can be used to control growth of the microorganism. The invention also relates to methods of detecting these nucleic acids or polypeptides and kits for diagnosing Chlamydia trachomatis infection. The invention also relates to a method of selecting compounds capable of modulating bacterial infection and a method for the biosynthesis or biodegradation of molecules of interest using the said nucleotide sequences or the said polypeptides. The invention finally comprises, pharmaceutical, in particular vaccine, compositions for the prevention and/or treatment of bacterial, in particular Chlamydia trachomatis, infections.
US07910327B2 Recombinant alpha-fetoprotein and method of preparing
The invention relates to the microbiological and medical industry, genetic engineering, biotechnology. A Saccharomyces cerevisiae yeast strain was obtained on the basis of constructing a recombinant plasmid DNA comprising a structural gene of a human alpha-fetoprotein (AFP) under the control of a regulatory promoter, providing the synthesis and production of AFP in a secreted soluble form, this AFP having activity identical or similar to the activity of a human AFP. The obtained recombinant AFP may be used as an active substance for the preparation of therapeutic agents for use in oncology, immunotherapy, cosmetology and also for the diagnosis of cancer and embryonic pathologies.
US07910326B2 Materials and methods for the modification of plant lignin content
Novel isolated polynucleotides and polypeptides associated with the lignin biosynthetic pathway are provided, together with genetic constructs including such sequences. Methods for the modulation of lignin content, lignin structure and lignin composition in target organisms are also disclosed, the methods comprising incorporating one or more of the polynucleotides of the present invention into the genome of a target organism.
US07910325B2 Method for determination and quantification of radiation or genotoxin exposure
The present invention discloses methods for detecting exposure of a living subject to genotoxic agents, testing sensitivity to a genotoxic agent, and determining DNA damage caused by exposure to an agent, comprising detecting the presence of FANCD2-containing foci from a sample collected from said subject. The presence of concentrated foci is indicative of DNA damage, and the degree of foci formation is correlated with degree of exposure. Diagnostic reagents contain a ligand that binds to human FANCD2 associated with a detectable label. Kits for detecting DNA damage in a biological sample contain such diagnostic reagents and signal detection components. The invention further discloses methods for identifying agents which modulate the ability of FANCD2-containing foci to form. Among other things, such agents are potentially useful chemosensitizing agents or may confer protection against damage caused by genotoxic agents.
US07910322B2 Methods for identifying compounds that modulate T1R1/T1R3 umami taste receptors
Methods for identifying compounds that modulate the T1R1/T1R3 umami taste receptors are provided. These methods comprise screening one or more compounds in a binding assay which identifies compounds that specifically bind to a T1R1/T1R3 taste receptor or which specifically modulate the specific binding of another compound to a T1R1/T1R3 taste receptor, and identifying compounds that elicit or modulate T1R1/T1R3 taste.
US07910319B2 Glycoproteomic probes for fluorescent imaging fucosylated glycans in vivo
The disclosure provides a method of labeling of cellular glycans bearing azide groups via a fluorescent labeling technique based on Cu(I)-catalyzed [3+2]cycloaddition (click activation) of a probe comprising an alkynyl group. The method entails generating a fluorescent probe from a nonfluorescent precursor, 4-ethynyl-N-ethyl-1,8-naphthalimide, by Cu(I)-catalyzed [3+2]cycloaddition of the alkyne group of the probe with an azido-modified sugar. The disclosure further provides a method of incorporating an azido-containing fucose analog into glycoconjugates via the fucose salvage pathway. The disclosure provides a method of fluorescent visualization of fucosylated cells by flow cytometry when cells treated with 6-azidofucose are labeled with the click-activated fluorogenic probe or biotinylated alkyne. A method of visualizing the intracellular localization of fucosylated glycoconjugates by fluorescence microscopy is also disclosed.
US07910309B2 Multiplexed lateral flow microarray assay for detection of citrus pathogens Xylella fastidiosa and Xanthomonas axonopodis pv citri
The invention provides highly sensitive and specific assays for the major citrus pathogens Xylella fastidiosa and Xanthomonas axonopodis, including a field deployable multiplexed assay capable of rapidly assaying for both pathogens simultaneously. The assays are directed at particular gene targets derived from pathogenic strains that specifically cause the major citrus diseases of citrus variegated chlorosis (Xylella fastidiosa 9a5c) and citrus canker (Xanthomonas axonopodis pv citri). The citrus pathogen assays of the invention offer femtomole sensitivity, excellent linear dynamic range, and rapid and specific detection.
US07910306B2 PCV2 immunogenic compositions and methods of producing such compositions
An improved method for recovering the protein expressed by open reading frame 2 from porcine circovirus type 2 is provided. The method generally involves the steps of transfecting recombinant virus containing open reading frame 2 coding sequences into cells contained in growth media, causing the virus to express open reading frame 2, and recovering the expressed protein in the supernate. This recovery should take place beginning approximately 5 days after infection of the cells in order to permit sufficient quantities of recombinant protein to be expressed and secreted from the cell into the growth media. Such methods avoid costly and time-consuming extraction procedures required to separate and recover the recombinant protein from within the cells.
US07910304B2 Random array DNA analysis by hybridization
The invention relates to methods and devices for analyzing single molecules, i.e. nucleic acids. Such single molecules may be derived from natural samples, such as cells, tissues, soil, air and water without separating or enriching individual components. In certain aspects of the invention, the methods and devices are useful in performing nucleic acid sequence analysis by probe hybridization.
US07910302B2 Efficient arrays of amplified polynucleotides
The present invention is related generally to analysis of polynucleotides, particularly polynucleotides derived from genomic DNA. The invention provides methods, compositions and systems for such analysis. Encompassed by the invention are arrays of polynucleotides in which the polynucleotides have undergone multiple rounds of amplification in order to increase the strength of signals associated with single polynucleotide molecules.
US07910301B2 Methods for drug discovery, disease treatment, and diagnosis using metabolomics
The small molecule profiles of cells are compared to identify small molecules which are modulated in altered states. Cellular small molecule libraries, methods of identifying tissue sources, methods for treating genetic and non-genetic diseases, and methods for predicting the efficacy of drugs are also discussed.
US07910297B2 Therapeutic nucleic acid-3' -conjugates
Methods are described for improvement of the serum half life of therapeutic nucleic acids by 3′ conjugation to useful target proteins, or other large molecules with useful function. In one embodiment, a 3′ A, C or G overhang is added to ds-DNA and the primary amines conjugated using biocompatible bifunctional linkers to proteins. The resulting nucleic acid-3′-conjugates are serum nuclease-resistant and retained in vivo for long periods without rapid kidney clearance. Further, the choice of conjugate imparts additional functionality to the nucleic acid-3-conjugate.For example, if the protein in the DNA-protein conjugate is the first component of the complement cascade (Clq or Clqrs) and the DNA aptamer has been developed against surface components of a target cell, it can be used to treat bacterial or parasitic infections and cancers. If the protein is serum albumin or another common (nonimmunogenic) blood protein and the aptamer is directed against a toxin or venom, the aptamer-protein conjugate can be used as an antidote that binds and neutralizes the toxin or venom. Similar DNA (aptamer)-nanotube, -enzyme, and -toxin conjugates could also be used to target and selectively kill bacteria, parasites, and cancer cells in vivo. If the protein is an Fc antibody fragment or C3b protein from the complement system and the aptamer is developed against a bacterial cell capsular material, other cell surface component or viral cell surface component, then the aptamer-3′-protein conjugate can aid in opsonization of the target cells or viruses by phagocytic leukocytes.
US07910296B2 Methods for quantitative determination of methylation density in a DNA locus
The present invention is a novel method of determining the average DNA methylation density of a locus of interest within a population of DNA fragments.
US07910295B2 Detection of micro metastasis of melanoma and breast cancer in paraffin-embedded tumor draining lymph nodes by multimarker quantitative RT-PCR
The invention provides a quantitative realtime RT-PCR assay for detection of metastatic breast, gastric, pancreas or colon cancer cells or metastatic melanoma. The assay allows to predict disease recurrence and survival in patients with AJCC stage I and II, and III disease using multimarker panels. The method for detecting metastatic melanoma cells utilizes panels of markers selected from a group consisting of MAGE-A3, GalNAcT, MART-1, PAX3, Mitf, TRP-2, and Tyrosinase. The method for detecting metastatic breast, gastric, pancreas or colon cancer cells in paraffin-embedded samples utilizes panels of markers selected from a group consisting of C-Met, MAGE-A3, Stanniocalcin-1, mammoglobin, HSP27, GalNAcT, CK20, and β-HCG.
US07910294B2 Ligand detection method
There is disclosed a sensitive method for specific detection of ligands comprising the steps of (a) contacting the sample with reagents capable of forming a reagent complex, which reagent complex comprises a receptor capable of specifically binding to said ligand and a nucleic acid molecule; and (b) detecting any complexes formed by binding of the receptor part of said reagent complex to ligand present in the sample by specifically detecting the presence of the nucleic acid molecule by amplifying a region of the nucleic acid and simultaneously detecting products of the amplification reaction in real-time.
US07910290B2 Photoresist topcoat for a photolithographic process
A method of forming an image using a topcoat composition. A composition that includes functionalized polyhedral oligomeric silsesquioxanes derivatives of the formulas TmR3 where m is equal to 8, 10 or 12 and QnMnR1,R2,R3 where n is equal to 8, 10 or 12 are provided. The functional groups include aqueous base soluble moieties. Mixtures of the functionalized polyhedral oligomeric silsesquioxanes derivatives are highly suitable as a topcoat for photoresist in photolithography and immersion photolithography applications.
US07910288B2 Mask material conversion
The dimensions of mask patterns, such as pitch-multiplied spacers, are controlled by controlled growth of features in the patterns after they are formed. To form a pattern of pitch-multiplied spacers, a pattern of mandrels is first formed overlying a semiconductor substrate. Spacers are then formed on sidewalls of the mandrels by depositing a blanket layer of material over the mandrels and preferentially removing spacer material from horizontal surfaces. The mandrels are then selectively removed, leaving behind a pattern of freestanding spacers. The spacers comprise a material, such as polysilicon and amorphous silicon, known to increase in size upon being oxidized. The spacers are oxidized to grow them to a desired width. After reaching the desired width, the spacers can be used as a mask to pattern underlying layers and the substrate. Advantageously, because the spacers are grown by oxidation, thinner blanket layers can be deposited over the mandrels, thereby allowing the deposition of more conformal blanket layers and widening the process window for spacer formation.
US07910287B2 Relief printing plate, and method for manufacturing electronic circuit pattern, organic electroluminescence device and organic electronic device by using the same
An embodiment of the present invention is a relief printing plate for forming a high-definition pattern by a printing method, having a convex part comprising a resin layer, a base material supporting the convex part and a light reflection controlling layer, the light wave length being in range of 400 nm-800 nm, and the layer being between the convex part and the base material.
US07910286B2 Lithographic printing plate precursor, lithographic printing method and packaged body of lithographic printing plate precursors
(1) A packaged body of lithographic printing plate precursors, wherein an image-recording layer or a protective layer of the outermost surface layer contains an inorganic layered compound. (2) A lithographic printing plate precursor having a protective layer containing an inorganic layered compound, and an image-recording layer containing a binder polymer. (3) A lithographic printing plate precursor having a protective layer containing an inorganic layered compound, and an image-recording layer containing an infrared absorber and an iodonium compound.
US07910284B2 Materials for photoresist, photoresist composition and method of forming resist pattern
To overcome the problem that a device performance is degraded by the edge roughness of a photoresist pattern, a mixture of polynuclear phenol compounds having, in one molecule, 0 to 6 functional groups which are chemically converted due to actions of an acid with the solubility in an alkaline developer reduced is used as a material for photoresist. In the mixture, two or more triphenyl methane structures are bonded to portions other than the functional group in the nonconjugated state. Furthermore, the mixture comprises polynuclear compounds with the average number of functional groups of 2.5 or below and includes the polynuclear compounds not having any functional group per molecule by 15% or less in the term of weight ratio, and the polynuclear phenol compounds having 3 or more functional groups per molecule by 40% or less.
US07910283B2 Silicon-containing antireflective coating forming composition, silicon-containing antireflective coating, substrate processing intermediate, and substrate processing method
A composition for forming an antireflective coating for use in a photolithography process using exposure light of up to 200 nm comprises a silicon-containing polymer obtained through hydrolytic condensation of a silicon-silicon bond-containing silane compound having formula: R(6-m)Si2Xm wherein R is a monovalent hydrocarbon group, X is alkoxy, alkanoyloxy or halogen, and m is 3 to 6. The composition allows the overlying photoresist film to be patterned to a satisfactory profile and has a high etching selectivity relative to organic material so that a substrate can be processed at a high accuracy.
US07910279B2 Method of manufacturing aggregate particles and toner
A method of manufacturing aggregate particles capable of obtaining aggregate particles having high mechanical strength, small particle size, with narrow particle size distribution width by preventing interfusion of bubbles in the aggregate particles during stirring is provided. Aggregate particles are manufactured by stirring a resin particle slurry including resin particles dispersed in an aqueous medium and contained in a stirring vessel by a stirring section having a impeller and two or more screen members disposed so as to surround the impeller in the stirring vessel and each formed with a plurality of slits and aggregating the resin particles.
US07910273B2 Image forming method
An electrophotographic image forming method is disclosed. In the method electrostatic latent image is developed by a non-magnetic single-component developing system, in which a non-magnetic single-component developer is conveyed by a developer carrying member, the developer carrying member is contacted with a developer layer regulation member for regulating an amount of the developer at the surface of the developer carrying member, and a binder resin of the developer comprises a vinyl polymer having an acid value of from about 5 to about 30 mgKOH/g and a ratio of hydroxyl group value/acid values from about 0.3 to about 0.8.
US07910271B2 Functional substrate
An organic EL device includes a substrate body, a plurality of organic functional layers arranged on the substrate body in a given pattern and a partition for partitioning the plural organic functional layers. The partition includes at least a first partition part with a substantially trapezoidal cross-section provided on the substrate body and a second partition part with a substantially trapezoidal cross-section provided on the first partition part. The partition is formed so that a lower base of the cross-section of the second partition part can be shorter than an upper base of the cross-section of the first partition part.
US07910269B2 Photomask with detector for optimizing an integrated circuit production process and method of manufacturing an integrated circuit using the same
A photomask for integrated circuit production comprising a substrate, one or more layers and a detector for monitoring a process parameter of the integrated circuit production in combination with one or more of the following: communication circuitry for communicating with external equipment for the integrated circuit production, a computational processor for analyzing the monitored process parameter, and a data storage component. In addition, a method of integrated circuit production comprising the steps of providing a photomask in the integrated circuit production process and monitoring a process parameter of the integrated circuit production using the photomask, in combination with one or more following steps: analyzing the monitored process parameter using the photomask; communicating the monitored process parameter from the photomask to external equipment involved in the integrated circuit production; and storing the monitored process parameter in the photomask. For example, the photomask embodying aspects of the present invention may monitor and track the process parameter of a stepper during the integrated circuit production. The monitored data may be analyzed against the production information to determine possible adjustment or alteration to the integrated circuit production steps.
US07910265B2 Reticle for use in a semiconductor lithographic system and method for modifying the same
A reticle for use in a semiconductor lithographic system includes at least two separated reticle parts. Each part includes a pattern to be transferred lithographically to a substrate. At least one of the two separated reticle parts is independently replaceable.
US07910262B2 Solid electrolyte type fuel cell and air electrode current collector used for the same
A solid electrolyte type fuel cell which incorporates a metal separator comprising a base material of a metal other than silver or a silver alloy which is plated with silver or a silver alloy. The fuel cell can achieve improved efficiency for electricity generation with no increase of the resistance of the metal separator, even when it is operated at a low temperature.
US07910260B2 Method for stabilizing polyelectrolyte membrane films used in fuel cells
A novel method of altering extruded membrane films for PEM (polymer electrolyte membrane) fuel cells in such a manner that the membrane films swell substantially uniformly in both the in-plane x and y directions when immersed in water or ionomer solution is disclosed. The invention includes cutting a membrane film from an extruded membrane sheet in a diagonal orientation with respect to the membrane process direction of the membrane sheet. The membrane film exhibits reduced internal stress as compared to conventionally-prepared membrane films and allows a more even distribution of pressure in a fuel cell stack, thereby reducing the incidence of swollen membrane-induced failure mechanisms in the fuel cell stack.
US07910256B2 Method for supplying fuel to fuel cell
The present invention provides a method for supplying fuel to a fuel cell, in which a monitoring period is determined for monitoring the fuel cell, and then a feeding amount of fuel is determined by integrating characteristic value generated from the fuel cell in the monitoring period. In another embodiment, it is further comprising a step of determining the variation profile associated with the characteristic value during the period so as to judge whether it is necessary to feed the fuel into the fuel cell or not. By means of the present invention, the supplying of fuel to the fuel cell under dynamic loadings can be effectively controlled for optimizing the performance of the fuel cell as well as reducing the cost without installing any fuel sensor.
US07910253B2 Reformer for fuel cell and fuel cell using the same
Disclosed is a reformer for a fuel cell. The reformer for a fuel cell includes a reforming reactor generating reformed gas having abundant hydrogen gas by reforming fuel and steam and a standing shape of a water gas shift reactor coupled to the reforming reactor for lowering the concentration of carbon monoxide contained in the reformed gas. The water gas shift reactor has an opening. A pipe is coupled to the opening and has a portion located below the opening. Liquid water which may stay in the inside at the time that the operation stops can be drained out of the water gas shift reactor to prevent the water gas shift catalyst from being submerged in liquid water.
US07910247B2 Nonaqueous electrolyte battery
A nonaqueous electrolyte battery includes a case, a nonaqueous electrolyte provided in the case, a positive electrode provided in the case, and a negative electrode provided in the case, including a negative electrode active material and an electronic conductor containing a carbonaceous material, wherein a negative electrode working potential is nobler at least 1 V than a lithium electrode potential, and the carbonaceous material has a spacing (d002) of (002) plane of 0.344 nm or more and 0.352 nm or less, and a crystallite size (Lc) in the C-axis direction of 10 nm or less.
US07910246B2 Positive electrode material, its manufacturing method and lithium secondary battery
The object of the invention is to provide positive electrode material in which a discharge rate characteristic and battery capacity are hardly deteriorated in the environment of low temperature of −30° C., its manufacturing method and a lithium secondary battery using the positive electrode material. The invention is characterized by the positive electrode material in which plural primary particles are flocculated and a secondary particle is formed, and the touch length of the primary particles is equivalent to 10 to 70% of the length of the whole periphery on the section of the touched primary particles.
US07910244B2 Expandable battery compartment for handheld electronic devices
In a portable electronic device having a battery compartment and a removeable cover therefor, the battery compartment and cover are configured to accommodate batteries of at least two different sizes.
US07910239B2 Rechargeable battery and battery module using the same
Disclosed are a battery module of which positive and negative electrodes can be readily discriminated from each other in a short time and a manufacturing process can be simplified, and a battery module using the same. A rechargeable battery includes: an electrode assembly including positive and negative electrodes, and a separator interposed between the positive and negative electrodes; a casing having an opened top face to store the electrode assembly; a cap assembly installed in an upper portion of the casing; an upper connection cap which has a female member and is fixed to the cap assembly; and a lower connection cap which has a male member and is fixed to a lower portion of the casing. The female member may be clinched to the upper connection cap, and the male member may be clinched to the lower connection cap.
US07910238B2 Alkaline battery
An alkaline battery of the present invention includes a battery case, a power generating element housed in the interior of the battery case, and an assembled sealing part for closing the opening of the battery case. The assembled sealing part includes a resin sealing member. The sealing member includes a central cylindrical portion having a through-hole for inserting a shank of a negative electrode current collector therethrough, a peripheral cylindrical portion interposed between the periphery of the negative electrode terminal plate and the end portion of the opening of the battery case, and a joint portion for joining the central cylindrical portion and the peripheral cylindrical portion. The end portion of the opening of the battery case is curved such that the top of the peripheral cylindrical portion of the sealing member is wrapped therein, and the curved portion is crimped inward so that the periphery of the negative electrode terminal plate is fixed tightly. The sealing member includes polyamide having an equilibrium moisture content at a relative humidity of 50% of 0.9 to 1.7%.
US07910230B2 High resistance gypsum parts and preparation method to obtain these parts
The preparation of gypsum parts (dihydrate calcium sulfate) with high mechanical strength is obtained with the aid of water layers with nanometric thickness. Calcium sulfate is used, dihydrate as well as hemihydrate, to obtain parts from these two materials, pure or mixed, especially to use in construction work, where the parts are prepared by compressing their slightly humidified powders.
US07910227B2 Light emitting device having dopants in a light emitting layer
A light emitting device having an anode and a cathode provided on a substrate, and an organic light emitting layer between the anode and the cathode, where the organic light emitting layer includes a light emitting material and first and second dopants for improving the dispersability thereof. As the first dopant, there is employed a phosphorescent dopant. The amount of the second dopant is greater than the amount of the first dopant.
US07910225B2 Low thermal expansion bondcoats for thermal barrier coatings
This invention relates to low thermal expansion bondcoats for thermal barrier coatings. The bondcoats comprise: (i) an inner layer comprising an inner layer alloy of MCrAlM′, and (ii) an outer layer comprising an outer layer alloy of MCrAlM′, wherein M is an element selected from nickel, cobalt, iron and mixtures thereof, and M′ is an element selected from yttrium, zirconium, hafnium, ytterbium and mixtures thereof. The inner layer alloy is thermally sprayed from a powder having a mean particle size of 50 percentile point in distribution of from about 5 microns to about 50 microns. The outer layer alloy is thermally sprayed from a powder having a mean particle size of 50 percentile point in distribution of from about 30 microns to about 100 microns. The bondcoat has a thermal expansion of about 6.5 millimeters per meter or less between a temperature of from about 25° C. to about 525° C.
US07910220B2 Surfaces and coatings for the removal of carbon dioxide
Apparatus suited for removing carbon dioxide from gases are disclosed. The apparatus may employ bodies having a photocatalytic film. Associated methods and compositions are also disclosed.
US07910219B1 Composite armor tile based on a continuously graded ceramic-metal composition and manufacture thereof
A cermet armor material for highly effective ballistic performance which is comprised of a layer of base metal in which is deposited a layer or layers of ceramic and a compatible metal such that the deposited metal in combination with the base metal forms a continuous matrix around the ceramic particles. The body has a structure which is continuously graded from a highest ceramic content at the outer surface (strike face) decreasing to zero within the base substrate, and contained no abrupt interfaces.
US07910218B2 Cleaning and refurbishing chamber components having metal coatings
A component of a process chamber is refurbished and cleaned to remove an intermetallic compound from the component. The component has a structure having a coating that includes a first metal layer over the intermetallic compound. To refurbish the component, the first metal layer is removed to form an exposed surface that at least partially includes the intermetallic compound. The exposed surface is bead blasted in a penetrative bead blasting step by propelling blasting beads having a bead diameter of less than about 180 micrometers with a gas that is pressurized to a pressure of less than about 310 kPa (45 psi), towards the exposed surface, thereby removing the intermetallic compound from the exposed surface of the structure to form a cleaned surface. A second metal layer is then formed over the cleaned surface.
US07910217B2 Wear resistant coatings for race land regions of bearing materials
Embodiments of a coated substrate (for example, a coated race land region of a roller bearing element) comprise a metallic substrate, a ceramic underlayer comprising a nitride, a carbide, a carbonitride, a boride, or combinations thereof disposed over the metallic substrate, and a mixed layer comprising titanium nitride and silver disposed over the ceramic underlayer; and an overlayer disposed over the mixed layer.
US07910210B2 Method of producing a layer arrangement, method of producing an electrical component, layer arrangement, and electrical component
In a method of producing a layer arrangement, a substantially carbon-comprising, electrically conductive carbon layer is formed. A protective layer is formed on the carbon layer. An electrically insulating layer is formed on the protective layer, the protective layer protecting the carbon layer from damage during the formation of the electrically insulating layer. Furthermore, a layer arrangement is provided, having a substantially carbon-comprising, electrically conductive carbon layer, a protective layer formed on the carbon layer, and an electrically insulating layer formed on the protective layer, the protective layer being used to avoid damage to the carbon layer by the electrically insulating layer.
US07910206B2 Self-rolling laminated sheet and self-rolling pressure-sensitive adhesive sheet
The present invention relates to a self-rolling pressure-sensitive adhesive sheet, including a shrinkable film layer; a restriction layer disposed on a side of the shrinkable film layer; and a pressure-sensitive adhesive layer disposed on the side of the restriction layer which is opposite to the side on which the shrinkable film layer is disposed. The self-rolling pressure-sensitive adhesive sheet is a releasable pressure-sensitive adhesive sheet, in which the pressure-sensitive adhesive layer or the pressure-sensitive adhesive layer after an adhesiveness decreasing treatment has a pressure-sensitive adhesive power (180° C. peeling, against a silicon mirror wafer, tensile speed: 300 mm/min) of 6.5 N/10 mm or less, and in which the self-rolling pressure-sensitive adhesive sheet rolls up in one direction from an end to form one tubular roll or rolls up from two opposing ends toward a center of the two opposing ends to form two tubular rolls, when the self-rolling pressure-sensitive sheet is stimulated to induce the contraction of the shrinkable film layer.
US07910191B1 Method for forming light-transmitting cover layer for optical recording medium
A method for manufacturing an optical recording medium includes forming a light-transmitting cover layer over an information layer by depositing a predetermined volume of a liquid resin on the information layer. The method may further include forming a disc rib over an outer periphery of the information bearing side of the substrate, to stop flow of the deposited resin beyond the outer disc periphery. An optical recording medium formed through such a method includes a disc rib delimiting an outer diameter of the light-transmitting cover layer.
US07910190B2 Thin film for reflection film or for semi-transparent reflection film, sputtering target and optical recording medium
A thin film for a reflection film or a semi-transparent reflection film, which has a compound phase comprising at least one selected from the group consisting of a nitride, an oxide, a complex oxide, a nitroxide, a carbide, a sulfide, a chloride, a silicide, a fluoride, a boride, a hydride, a phosphide, a selenide and a telluride of gallium, palladium or copper, dispersed in a matrix formed of silver or a silver alloy. The compound phase in the thin film may include at least one compound selected from the group consisting of nitride, oxide, complex oxide, nitroxide, carbide, sulfide, chloride, silicide, fluoride, boride, hydride, phosphide, selenide and telluride of silver. The thin film of the present invention minimizes the deterioration of the reflectance even after a long period of use, and can prolong the life of various devices which use the thin film as a reflection film, such as an optical recording medium and a display. The thin film can be also applied to a semi-reflective/semi-transparent film used in the optical recording medium.
US07910189B2 Photo sheet assembly with removable adhesive portions
A sheet assembly that includes a first facing sheet, a second facing sheet, and an adhesive material disposed between the first and second facing sheets. A plurality of shapes is cut within a remaining portion of the first facing sheet, and each of the plurality of shapes defines a removable portion disposed over a portion of the second facing sheet. Upon removal of the removable portion the adhesive material is exposed and a photograph or other item can be adhered to the sheet assembly. The remaining portion of the sheet assembly includes a printable area for writing notes or comments about the adhered photo.
US07910186B2 Multilayered volume hologram structure and label for making multilayered volume hologram structure
A multilayered volume hologram structure of the invention comprises a substrate 2 for a certificate or the like, and an adhesive layer 5, a volume hologram layer 5 and a surface protective film 7 laminated on the substrate in the described order. When a multilayer structure consisting of the volume hologram layer 5 and surface protective layer 5 is forcibly released from the substrate for the purpose of making a photograph replacement or falsifying a personal information area, the volume hologram image can break down certainly, thereby ensuring prevention of falsification. A multilayered volume hologram structure-making label is useful for making a multilayered volume hologram structure.
US07910185B2 Oxygen absorbing resin, oxygen absorbing resin composition and oxygen absorbing container
An oxygen absorbing resin comprising a carbon atom bonded to a carbon to carbon double bond group and further bonded to one hydrogen atom, the carbon atom contained in an alicyclic structure, which oxygen absorbing resin having been exposed to radiation. Further, there is provided an oxygen absorbing resin comprising a carbon atom bonded to both of the structure (a) carbon to carbon double bond group and (b) heteroatom-containing functional group or, as a bonding group derived from the functional group, carbon to carbon double bond group or aromatic ring, and further bonded to one or two hydrogen atoms, the carbon atom contained in an alicyclic structure.
US07910184B2 Printing plastic containers with digital images
A plastic container having curved surfaces and digital printing is disclosed. An embodiment of the container provides a hollow plastic container including a multiple color, single-cured digital image on a first curved surface having a non-constant radius of curvature, and a second curved surface having a non-constant radius of curvature that is spaced from the first curved surface, such that the first and second curved surfaces are on opposed surfaces.
US07910182B2 Ink-jet receiver having improved gloss
The use of a boric acid, borate or derivate and/or salt thereof in a subbing layer coated onto a non resin-coated support beneath an upper layer comprising a binder and an inorganic particulate material such as fumed silica and an under layer between the upper layer and the subbing layer, which under layer comprises a binder and an inorganic particulate material such as calcium carbonate, increases the gloss of an ink jet receiver formed thereby whilst maintaining good ink absorption, good image density and minimizing puddling and associated coalescence, and where the receiver otherwise suffers from surface-cracking, decreases the propensity to cracking.
US07910181B2 Method for preparation of anisotropic materials
Various embodiments of the present invention are directed to methods for manufacturing complex, anisotropic materials with desirable properties for information storage, processing, and display. Certain of these methods involve employing a magnetic field during manufacture to induce desired orientations of precursors, subunits, and/or molecular subassemblies. The applied magnetic field steers the precursors, subunits, and/or molecular subassemblies into desirable orientations while the precursors, subunits, and/or molecular subassemblies are assembled or self-assemble into a complex, anisotropic material. One embodiment of the present invention is a class of new, complex, well-ordered, network-like materials that include a ferromagnetic-material-based framework in which organic and/or organometallic compounds are organized. The ferromagnetic-molecule-based framework provides a scaffold for assembling the stable, precisely separated layers of organic and/or organometallic compounds and maintaining the layers of organic and/or organometallic compounds in well-ordered, anisotropic arrangements. Additional embodiments of the present invention are directed to methods for manufacturing the new ferromagnetic-molecule-based, network-like materials.
US07910180B2 Liquid crystal and liquid crystal display
A novel liquid crystal comprising a first liquid compound having bent structures and a second liquid crystal compound. A liquid crystal phase formed by the first liquid crystal compound exhibits ferroelectricity or antiferroelectricity while a liquid crystal phase formed by the second liquid crystal compound exhibits neither ferroelectricity nor antiferroelectricity. A smectic liquid crystal phase formed by the first liquid crystal compound has a tilted structure while a smectic liquid crystal phase formed by the second liquid crystal compound has no tilted structure. The first liquid crystal compound is represented by the following chemical formula (m=16) and the second liquid crystal compound is represented by the following chemical formula (m=4).
US07910179B2 Vinylsulfone derivative, liquid crystal composition comprising the same and compensation film using the same liquid crystal composition
Disclosed are a vinylsulfone derivative, a liquid crystal composition comprising the same, and a compensation film for a liquid crystal display device using the same liquid crystal composition. More particularly, the vinylsulfone derivative is a liquid crystal material of a high-quality view angle compensation film, which improves a contrast ratio measured at a tilt angle when compared to a contrast ratio measured from the front surface and minimizes color variations in a black state depending on view angles.
US07910178B2 Liquid crystal display device and method of producing the same
A liquid crystal display device which is capable of further decreasing the cost of production yet obtaining a good liquid crystal alignment, and a method of producing the same. The liquid crystal display device comprises a pair of substrates arranged facing each other; a liquid crystal sealed between the substrates; and an ultraviolet ray-cured product for controlling the alignment of the liquid crystal. The ultraviolet ray-cured product is formed near the interfaces to the substrates by polymerizing a polymerizable component mixed in the liquid crystal with light. The polymerizable component contains a polyfunctional monomer having a symmetrical structure, and the ultraviolet ray-cured product has a side-chain structure.
US07910176B2 Electrode for discharge surface treatment, manufacturing method and evaluation method for electrode for discharge surface treatment, discharge surface treatment apparatus, and discharge surface treatment method
An electrode is used to perform discharge surface treatment of a work piece. The electrode is made of a green compact obtained by compression-molding an electrode material including powder of any of a metal, a metallic compound, and ceramics. The discharge surface treatment includes generating an electric discharge between the electrode and the work piece in an atmosphere of a machining medium and forming a film consisting of a machining material on a surface of a work piece using energy produced by the electric discharge. The powder has an average particle diameter of 5 micrometer to 10 micrometers, and contains 40 volume percent or more of a component not forming or less easily forming carbide as a component for forming the film on the work piece. The electrode has a hardness in a range of B to 8B tested with a pencil scratch test for a coating film.
US07910167B2 Method of forming a film on a substrate
A film-forming method of forming a film on a substrate includes performing a surface-improving treatment on the substrate, determining whether a predetermined amount of time has passed since the surface-improving treatment has been performed on the substrate, applying a film-forming solution to the substrate when it is determined that the predetermined amount of time has not passed, and repeating the surface-improving treatment on the substrate when it is determined that the predetermined amount of time has passed.
US07910164B2 Bifunctional magnetic core-semiconductor shell nanoparticles and manufacturing method thereof
Provided are bifunctional magnetic core-semiconductor shell nanoparticles and a manufacturing method thereof. The method includes mixing magnetic core material precursors and a reducing agent for the core material precursors; preparing a first mixture solution; heating and cooling the first mixture solution and preparing magnetic core materials; mixing the magnetic core materials with semiconductor shell material precursors and a reducing agent for the semiconductor shell material precursors; preparing a second mixture solution; and heating and cooling the second mixture solution and coating the magnetic core materials with the semiconductor shell materials.
US07910162B2 Grout for laying paver stones and method of use
A dry, non-shrink composition which is admixed with water to cure into a hard but flexible and non-shrinking grout for laying paver stones, the dry composition comprising ingredients by percent weight of: 94-96% silica sand; 2-4% polymer powder mixture of vinyl acetate, and a vinyl ester in equal proportions, jointly polymerized using ethane; 0.5-1.5% cement; and 0.5-1.5% colorant. The dry composition is swept into joints between paver stones and water is then added to hydrate the dry mixture which forms a grouting material with interlocking physical joints to a porous material such as travertine.
US07910161B2 Manufacturing device and manufacturing method for optical disc
This manufacturing device for an optical disc includes: a disc-supporting base on which a disc substrate is mounted; a pin-shaped member arranged at a center of the disc-supporting base, and is movable in the vertical in a center hole of the disc substrate; and a capping member which is slidably placed on a top of the pin-shaped member, and closes the center hole of the disc substrate, wherein when the capping member placed on the top of the pin-shaped member is lowered such that a back face of the capping member contacts an around of the center hole of the disc substrate mounted on the disc-supporting base, the capping member slides on the top of the pin-shaped member.
US07910160B2 Thin-film magnetic head structure adapted to manufacture a thin-film head having a base magnetic pole part, a york magnetic pole part, and an intervening insulative film
A thin-film magnetic head structure has a configuration adapted to manufacture a thin-film magnetic head configured such that a main magnetic pole layer including a magnetic pole end part on a side of a medium-opposing surface opposing a recording medium, a write shield layer opposing the magnetic pole end part so as to form a recording gap layer on the medium-opposing surface side, and a thin-film coil wound about the write shield layer or main magnetic pole layer are laminated. The main magnetic pole layer includes a base magnetic pole part comprising the magnetic pole end part and a base depression distanced farther from the medium-opposing surface than the magnetic pole end part, and an embedded magnetic pole part buried in the base depression and joined to the base magnetic pole part. The thin-film magnetic head structure includes a yoke magnetic pole part joined to the base magnetic pole part and embedded magnetic pole part at a position distanced farther from the medium-opposing surface than the recording gap layer, and an intervening insulative film disposed between the embedded magnetic pole part and yoke magnetic pole part at a position distanced farther from the medium-opposing surface than the recording gap layer.
US07910155B2 Method for manufacturing high temperature superconducting conductor
A method for manufacturing a high-temperature superconducting conductor includes translating an elongated substrate through a reactor. Further, a high temperature superconducting layer is formed on the substrate translating through the reactor by deposition of a reaction product of metalorganic precursor materials onto the substrate. Further, partial pressure of oxygen is monitored to indirectly monitor supply of metalorganic precursors into the reactor.
US07910151B2 Method for making device for controlled reservoir opening by electrothermal ablation
Devices and methods for the controlled release or exposure of reservoir contents, and methods of manufacture thereof, are provided. The device includes a reservoir cap formed of an electrically conductive material, which prevents the reservoir contents from passing out from the device and prevents exposure of the reservoir contents to molecules outside of the device; an electrical input lead connected to said reservoir cap; and an electrical output lead connected to said reservoir cap, such that upon application of an electrical current through the reservoir cap, via the input lead and output lead, the reservoir cap ruptures to release or expose the reservoir contents. The reservoir contents can comprise a release system containing drug molecules for release or can comprise a secondary device, such as a sensor. The controlled release system may be incorporated into an implantable drug delivery or biosensing device.
US07910149B2 Liquid bread improver, the use and the process for producing thereof
The present invention relates to a water-free low viscous liquid bread improver, which comprises a liquid emulsifier based on esters of hydroxy polycarboxylic acid derivatives, a glyceride based stabilizer and additive(s) and less than about 20% oil, to the use thereof, and to a process for producing a liquid bread improver. The liquid bread improver according to the present invention may be used in, for example, a variety of products in the bakery industry or in extruded snacks.
US07910147B2 Preparation process of green tea extract
An object is to provide a preparation process of a green tea extract having a high non-polymer catechin concentration and tasting good with less bitterness and less astringency. The present invention relates to a preparation process of a green tea extract, which comprises subjecting an enzyme-inactivated raw tea leaves to CTC processing, drying the tea leaves until the water content thereof becomes 20 wt. % or less, and extracting the tea leaves while setting the weight ratio of an extraction solvent to the tea leaves to be extracted to 30 or less.
US07910144B2 Pet food composition for treating helicobacter species in pets
The present disclosure provides compositions intended for the prophylaxis or the treatment of disorders related to GHLO infections in pets, the compositions are prepared using at least one strain of lactic bacteria and/or one of its metabolites or a medium fermented by at least one lactic bacteria that has been isolated and selected for its ability to display a strong anti-Helicobacter bactericidal activity in vitro.
US07910141B2 Antiallergic composition
The present invention provides an antiallergic composition that is moderate for the human body and skin, and has reduced side-effects; the antiallergic composition comprises a cold-water extract from hop tissue or flavonoid glycosides separated from the cold-water extract.
US07910130B2 Starch derivatives and other derivatives, production processes therefor, and wound healing applications therefor
Novel phosphate starch derivatives are useful in wound healing. Novel phosphorylated cottons are useful in wound healing. Silver-derivatized wound care products are provided which survive washing and remain part of the wound care product. Silver-derivatized wound care products are provided that have favorable elastase-sequestering activity while advantageously appearing white-colored, without needing to darken to grey or black as usually would occur when working with silver. Also, starch products with outstanding water uptake are provided.
US07910124B2 Load bearing biocompatible device
Implantable biomaterials, particularly hydrogel substrates with porous surfaces, and methods for enhancing the compatibility of biomaterials with living tissue, and for causing physical attachment between biomaterials and living tissues are provided. Also provided are implants suitable for load-bearing surfaces in hard tissue repair, replacement, or augmentation, and to methods of their use. One embodiment of the invention relates to an implantable spinal disc prosthesis.
US07910120B2 Adhesive block ethylenic copolymers, cosmetic compositions containing them and cosmetic use of these copolymers
A cosmetic composition where a linear, block ethylenic copolymer is dissolved in a physiologically acceptable medium, wherein the linear, block ethylenic copolymer improves the hold of hair lacquer, increases the adhesion of a nail varnish and improves the hold and adhesion of makeup.
US07910118B2 Skin treatment
A solution for the treatment of human feet. The solution includes an amount of each of the following components: 1) magnesium sulfate, 2) water, 3) methylparaben, 4) isopropyl alcohol, 5) methyl salicylate, and 6) malic acid. In combination, these components form an aqueous solution, which can be used as part of a footbath for soaking, or which can be applied directly to the foot using an applicator, such as a wipe.
US07910114B2 Methods of treating infectious diseases
The present invention provides methods of treating a human or other mammal infected with a parasitic microorganism by administering an effective amount in unit dosage form of a C8-C16-alpha, beta-unsaturated aliphatic aldehyde, such as trans-2-dodecenal, to the human or other mammal. The parasitic microorganisms may include trypanosomes, bacteria, fungi and nematodes.
US07910113B2 Tolerizing agents
Described herein is the development of fusion proteins useful for inducing tolerance in a subject. In particular embodiments, the tolerizing agents are useful for influence autoimmune, inflammatory, and/or allergic reactions. Example tolerizing fusion proteins contain a targeting portion (which delivers the fusion protein) and a toleragen or allergen or other antigen to which tolerance is desired in a subject. In particular examples, it is demonstrated that a pσ1 fusion protein, when administered orally, facilitates systemic and mucosal tolerance. Also described is the nasal delivery of fusion proteins, for instance for restoring immunogenicity.
US07910110B2 Bacteriophage-mediated immunisation against hepatitis
The present invention relates to vaccines comprising a bacteriophage which has been engineered to express an immunogenic protein/peptide and wherein the surface of the bacteriophage has not been modified to contain proteins/peptides designed to target the phage to receptors on the surface of specific cell types.
US07910107B2 Antagonist antibodies against GDF-8 and uses in treatment of ALS and other GDF-8 associated disorders
The disclosure provides novel molecules related to growth and differentiation factor-8 (GDF-8), in particular mouse and humanized antibodies, and antibody fragments, including those that inhibit GDF-8 activity and signaling in vitro and/or in vivo. The disclosure also provides methods for diagnosing, treating, ameliorating, preventing, prognosing, or monitoring degenerative orders of muscle, bone, and insulin metabolism, etc., in particular amyotrophic lateral sclerosis (ALS). In addition, the disclosure provides pharmaceutical compositions for the treatment of such disorders by using the antibodies, polypeptides, polynucleotides, and vectors of the invention.
US07910106B2 Combinations of SAP depleting agents and anti-SAP antibodies
The invention describes the use of an antibody specific for serum amyloid P component, for the treatment or prophylaxis of amyloidosis, and the use of a compound which depletes serum amyloid P component from the circulation in combination with an antibody specific for serum amyloid P component.
US07910105B2 Methods for treating and preventing fibrosis
The present invention provides methods of screening for compositions useful for treating, ameliorating, or preventing fibrosis and/or fibrosis-associated conditions by measuring changes in the level(s) of IL-21 and/or IL-21 receptor (IL-21R) (e.g., the level of expression of IL-21 and/or IL-21R protein and/or mRNA, the level of activity of IL-21 and/or IL-21R, the level of interaction of IL-21 with IL-21R). The invention further provides antagonists of IL-21 or IL-21R for the treatment of fibrosis and/or fibrosis-associated conditions. Further provided herein are methods of diagnosing, prognosing, and monitoring the progress (e.g., the course of treatment) of fibrosis and/or fibrosis-associated conditions by measuring the level of IL-21 and/or IL-21R (i.e., the level of activity of IL-21 and/or IL-21R, the level of expression of IL-21 and/or IL-21R (e.g., the level of IL-21 and/or IL-21R gene products), and/or the level of interaction of IL-21 with IL-21R).
US07910100B2 Antibodies directed to the mammalian EAG1 ion channel protein
The present invention relates to a particularly advantageous antibody, antibody fragment or derivative thereof, which specifically binds to/interacts with at least one epitope of the extracellular or intracellular domain or the mammalian EAG1 ion channel and to nucleic acid molecules encoding these anti-EAG1 antibodies, antibody fragments or derivatives and to vectors comprising such nucleic acid molecules. The invention additionally relates to methods for the preparation of such anti-EAG1 antibodies, antibody fragments or derivatives thereof, pharmaceutical compositions comprising these antibodies, antibody fragments or derivatives, and methods of using the antibodies, antibody fragments or derivatives or the compositions for a variety of purposes, such as diagnosing disease, treating disease, assessing for the presence of EAG1-expressing cells, or blocking EAG1 function in cells.
US07910097B2 Common lymphatic endothelial and vascular endothelial receptor-1 (CLEVER-1) and uses thereof
A novel protein Common Lymphatic Endothelial and Vascular Endothelial Receptor-1 (CLEVER-1) is described. CLEVER-1 mediates leukocyte and malignant cell binding to vascular and lymphoid endothelial cells. CLEVER-1 is the first protein that has been reported to mediate both influx into and efflux from the lymph nodes. Also provided are methods of treating inflammation and preventing metastasis of malignant cells by providing an inhibitor of CLEVER-1 binding.
US07910096B2 Human neutralizing antibodies against hemolytic uremic syndrome
Human and humanized monoclonal antibodies which binds specifically to subunit A of Shiga like toxin II have been developed which are effective to prevent or ameliorate one or more symptoms of HUS in a human. Effective dosages for treatment or prevention range from approximately 0.1 to 5.0 mg of antibody/kg of patient weight. The examples demonstrate the preferred dosage ranges based on the pig model, and what is being tested in phase I clinical trials. Antibodies are preferably transfused over a period of two hours, although this will depend on the patient and the disease state at the time of treatment. Preferred dosages for treatment of humans are between 0.1 mg/kg-5.0 mg/kg of 5C120, or an equivalent dosage of another antibody to subunit A of STX2. In the most preferred embodiments, dosages of 0.1 mg/kg, 0.5 mg/kg, or 5.0 mg/kg of 5C12 (low dose, anticipated therapeutic dose based on animal data and high dose) are administered.
US07910095B2 Humanized neutralizing antibodies against hemolytic uremic syndrome
Novel human monoclonal antibodies derived from a transgenic mouse are disclosed as well as a process for the preparation of the novel monoclonals and a therapeutic method of treating an individual for hemolytic uremic syndrome or of protecting an individual against hemolytic uremic syndrome by administration of the monoclonals to the individual in need of treatment or protection.
US07910093B2 Method for detecting cancer cells and monitoring cancer therapy
Disclosed herein are methods for identifying cancer cells and monitoring anti-cancer therapy in the body of a mammal by systemically delivering Sindbis viral vectors. The vector can specifically target and identify tumor cells in mice growing subcutaneously, intraperitoneally, intrapancreatically, or in the lungs. These findings demonstrate the remarkable specificity of the Sindbis vector system that is relatively safe and can specifically target tumor cells throughout the body via the bloodstream.
US07910091B2 System for trapping flying insects with attractant lures
The present application discloses a system for trapping flying insects, utilizing carbon dioxide in conjunction with one or more biochemical lures, visual lures or both. Preferably, a biochemical lure such as lactic acid, a salt of lactic acid, or combinations thereof, are employed in particular geometric shapes contained in specifically designed housing to ensure an effective release rate over extended periods of time.
US07910088B2 Multivalent constructs for therapeutic and diagnostic applications
The invention provides compositions and methods for therapeutic and diagnostic applications.
US07910084B2 Compound oxide manufacturing method
A compound oxide manufacturing method includes: dispersing micelles, in each of which an aqueous phase is formed, in an oil phase; producing primary particles of a precursor of compound oxide in the aqueous phases in the micelles; synthesizing secondary particles by causing the primary particles to aggregate; and causing the secondary particles to aggregate by breaking the dispersion state of the micelles, or by causing the micelles to coalesce. In particular, polarization is produced in each of the micelles with the use of a cation having an ionic radius larger than that of a metal ion at least when the secondary particles are synthesized in the micelles.
US07910082B2 Synthesis of ordered mesoporous carbon-silicon nanocomposites
A method for preparing ordered mesoporous silicon carbide (OMSiC) nanocomposites uses an evaporation-induced self-assembly of a precursor composition that preferably includes a phenolic resin, pre-hydrolyzed tetraethyl orthosilicate, a surfactant, and butanol. The precursor mixture is dried, cross-linked and heated to form ordered mesoporous silicon carbide material having discrete domains of ordered, mesoscale pores.
US07910080B2 Phosphorous pentoxide producing methods
A phosphorous pentoxide producing method includes forming a kiln bed using feed agglomerates with a calcium-to-silica mole ratio of from 0.5 to 1.0 and maintaining a bed temperature at or above 1260° C. along a portion of the bed length without exceeding 1380° C. along the entire bed length. Less than 10% of the agglomerates' phosphate input to the kiln remains in the kiln residue as phosphate. Another method includes maintaining a bed temperature at or above 1180° C. along a portion of the bed length and establishing a bed surface-to-volume ratio multiplied by a time for bed heat up to 1180° C. of less than 50 minutes-ft2/ft3.
US07910079B2 Method and an apparatus for removing carbon dioxide from sulphur dioxide containing flue gases
A method and an apparatus for removing carbon dioxide (CO2) from sulphur dioxide containing (SO2) flue gases, wherein the flue gases are washed with washing solution in a scrubber, which comprises at least two washing stages. In the first washing stage the flue gases are washed for removing the sulphur dioxide in the flue gases and after that in the second washing stage for removing carbon dioxide (CO2). Sodium carbonate solution (Na2CO3) is used as washing solution in both the first and the second washing stages.
US07910078B2 Method of capturing carbon dioxide from gas streams
The present invention relates to a method for removing carbon dioxide (CO2) from a gas stream. Particularly, the present invention relates to a method for removing CO2 from a gas stream by a liquid absorbent having an amino alcohol derived from 4-amino-2-butanol. In comparison to conventional amines, the amino alcohols of the present invention have been found to provide a higher CO2 absorption capacity and a higher cyclic capacity for CO2 removal.
US07910076B2 Process for the purification of combustion fumes
A process for the removal of heavy metals from flue gas deriving from combustion processes comprising the following steps: a) optional removal by filtration of solid particles or of particulate of heavy metals or their compounds, having an average diameter higher than 10 micron; b) flue gas washing performed with an aqueous phase and transfer of the heavy metals or their compounds to the aqueous phase; c) flue gas cooling and partial condensation of the steam contained in the flue gas and separation of a condensed phase; d) discharge of the flue gases substantially free from heavy metals or their compounds into the environment; f) discharge of the aqueous phase substantially free from heavy metals or their compounds into the environment.
US07910075B2 System and method of protecting a NOx reducing catalyst
A method of protecting a NOx reducing catalyst 140 from fouling, where the NOx reducing catalyst 140 is downstream of a circulating fluidized bed (CFB) boiler 120 and upstream of a particulate removal device 150. The method includes introducing a SOx removing reagent 212 in a calcium to sulfur molar ratio greater than that required for SO2 removal from a flue gas 122 generated by a CFB 120, thereby preventing SO3 formation and fouling of the NOx reducing catalyst 140.
US07910074B2 System and method for continuously transferring and processing liquids
A liquid transfer system for transferring liquid from a plurality of containers to a plurality of destinations comprises a plurality of inlet valves. Each inlet valve is operable between an open position allowing liquid from a container to be drawn into the system and a closed position blocking liquid from a container from being drawn into the system. Liquid drawn from each of the liquid containers is delivered to a buffer chamber designed to degas the liquid in the buffer chamber. The buffer chamber leads to a vented feeder chamber that is also adapted to retain a volume of liquid. A chamber connection valve is provided between the buffer chamber and the feeder chamber to allow or block the flow of liquid between the buffer chamber and the feeder chamber. The feeder chamber is connected to a plurality of distribution valves operable to deliver liquid to a plurality of destinations.
US07910068B2 Method of making alcohols
Methods and systems for the synthesis of alcohol are described herein. The methods and systems incorporate the novel use of a high shear device to promote dispersion and solubility of olefins in water. The high shear device may allow for lower reaction temperatures and pressures and may also reduce reaction time. In an embodiment, a method of making an alcohol comprises introducing an olefin into a water stream to form a gas-liquid stream. The method further comprises flowing the gas-liquid stream through a high shear device so as to form a dispersion with gas bubbles having a mean diameter less than about 1 micron. In addition, the method comprises contacting the gas-liquid stream with a catalyst in a reactor to hydrate the olefin gas and form an alcohol.
US07910064B2 Nanowire-based sensor configurations
This invention provides nanowire based molecular sensors and methods for detecting analytes in a microfluidic system. Methods for sensing analytes include detecting changed electrical parameters associated with contact of a nanowire with the analyte in a microfluidic system. Sensors of the invention include nanowires mounted in microchambers of a microfluidic system in electrical contact with the detector, whereby electrical parameter changes induced in the nanowire by the analyte can be monitored by the detector.
US07910061B2 Colorimetric absorbance measurement apparatus
In a colorimetric absorbance measurement apparatus, a filter assembly on which a plurality of filters are arranged in a circular pattern is continuously rotated by a motor at a regular speed based on a motor drive signal fed from a motor drive circuit, each of the filters being characterized by passing only such light components that have wavelengths falling within a range centering on a specific wavelength. The motor drive signal produced by the motor drive circuit is also fed into a timing generator circuit. The timing generator circuit supplies an A/D conversion start signal to an A/D converter in synchronism with the timing at which a selected one of the multiple filters is positioned on the optical axis of a measuring light beam.
US07910060B2 Apparatus for treating prepared specimens
An apparatus is described for treating prepared specimens. The apparatus comprises at least two container rows arranged in parallel to each other, each comprising a plurality of reagent containers and a transport mechanism for transporting at least one transport container that receives at least one carrier holding at least one prepared specimen. The transport mechanism is movable along an X and Z axis. A rotation unit is provided comprising holding arms and at least one holding element. The container rows are received rotatably at the ends of the holding arms of the at least one holding element that is connected to a rotation shaft such that it co-rotates with the rotation shaft that extends parallel to the X axis. The rotation unit is adapted to assume at least one working position allowing the transport mechanism to have access to the reagent container.
US07910057B2 Process for treating animal habitats
This invention deals with a process for treating and sanitizing animal habitats. In addition to sanitizing the habitat the production of ammonia and odor from fecal matter and urine is inhibited or terminated. In the process an animal habitat is cleaned and subsequently treated with trichloromelamine (TCM). The TCM may be applied by spraying the habitat with a solution of TCM, by dusting the habitat with powdered TCM or by treating bedding/litter with TCM. This process produces healthier animals and as such the productivity of a given grow out is increased. The process of this invention is particularly suited to animal habitats which are used to raise batches of hogs, cattle, turkeys and chickens on a continuing basis. The process of this invention further reduces the bacteria count of the animal habitat.
US07910055B2 Method for recycling sterilant gas
A system for recovery and reutilization of sterilant gas mixture from a sterilizer chamber includes a storage tank in gaseous communication with a sterilizer chamber via a gas recovery assembly, wherein after sterilization the gas recovery assembly evacuates the sterilant gas mixture to the storage recovery tank. The system also includes an inert purge gas supply adapted to supply a purge gas to the sterilizer chamber after the sterilant gas mixture is withdrawn to the storage recovery tank, wherein the gas recovery assembly evacuates an additional portion of the purge gas from the sterilizer chamber to the storage tank to enrich the gas mixture for later reutilization in subsequent sterilization cycles.
US07910043B2 Tire building and cure station coupling apparatus and method
A tire building and cure station coupling apparatus and method for molding a green tire into a finished tire having a tread pattern. The green tire is constructed on a toroidally shaped core formed by a plurality of core segments each having an outer surface portion which together define a toroidal outer surface surrounding a central axis. The core is adapted to hold a green tire on said toroidal outer surface. A first spindle section is configured to be placed on a first side of the core along the central axis and a second spindle section is configured to be placed on a second side of the core along the central axis and opposite to the first side. At least one electrically operated heating element is coupled with each said core segment and at least one spindle connector is configured to mechanically couple the first and second spindle sections with said plurality of core segments located therebetween and electrically connect with the electrically operated heating elements for supplying electrical power thereto during a tire curing operation. One or more curing line docking stations are provided, each having docking apparatus for mechanically coupling with a spindle end of a spindle sections for a docking time interval, the docking apparatus including a docking connector configured to mechanically and electrically couple with the spindle connector for supplying electrical power to the electrically operated heating elements for at least a portion of the docking time interval.
US07910040B2 Manufacturing process of conductive polymer composite bipolar plate for fuel cell having high gas permeability-resistance and heat-resistance
A composite bipolar plate for a polymer electrolyte membrane fuel cell (PEMFC) is prepared as follows: a) compounding vinyl ester and graphite powder to form bulk molding compound (BMC) material, the graphite powder content ranging from 60 wt % to 95 wt % based on the total weight of the graphite powder and vinyl ester, wherein carbon fiber 1-20 wt %, modified organo clay or noble metal plated modified organo clay 0.5-10 wt %, and one or more conductive fillers selected form: carbon nanotube (CNT) 0.1-5 wt %, nickel plated carbon fiber 0.5-10 wt %, nickel plated graphite 2.5-40 wt %, and carbon black 2-30 wt %, based on the weight of the vinyl ester resin, are added during the compounding; b) molding the BMC material from step a) to form a bipolar plate having a desired shaped at 80-200° C. and 500-4000 psi.
US07910039B2 Rapid cycling press for forming a composite article
A press includes a pressure chamber filled with a substantially incompressible medium that at least partially encloses an elastomeric vessel filled with a substantially incompressible fluid that is in fluid communication with a pressurized source of the same fluid. Method and systems employing the press are also disclosed.
US07910038B2 Preforms and methods of making the same
A method for making a preform includes creating a pressure differential between an inside and an outside of a perforated shape memory polymer mandrel in its temporary shape. The pressure differential is such that pressure outside the perforated shape memory polymer mandrel is greater than pressure inside the perforated shape memory polymer mandrel. The perforated shape memory polymer mandrel has i) a predetermined preform shape as the temporary shape and ii) a shrunken shape as its permanent shape, wherein the shrunken shape is configured such that it is removable from the predetermined preform shape. The method further includes depositing, as the pressure differential is maintained, at least one material onto a surface of the perforated shape memory polymer mandrel. The at least one material is set in the predetermined preform shape, thereby forming the preform.
US07910036B2 Method of molding object of indefinite length using an injection mold apparatus
A method of moulding an object of indefinite length. A first portion of molten material is injected into a mould comprising a stationary platen and a moving platen and solidifies to form a first portion of solid material at a first position. The moving platen is moved in a given direction to open the mould and move the material in said given direction to a second position in communication with the mould. The moving platen is then moved in the opposite direction to close the mould. A further portion of molten material is injected into the mould and solidifies to form a further portion of solid material intimately bonded to said first portion. The steps are repeated to form an object comprising the first portion and further portions of material bonded together as a linear array of indefinite length.
US07910033B2 Method for manufacturing image display device
A method for manufacturing an image display device includes the step of forming a cured resin layer 15 by interposing a photo-curable resin composition between a base 2 including an image display unit such as a liquid crystal display panel 8 and a light-transmitting protection member 3 including a light-shielding member 5 and then photo-curing the photo-curable resin composition. In this method, a resin composition having a curing shrinkage ratio of 5% or less, yielding a cured product having a storage elastic modulus at 25° C. of 1.0×107 Pa or less, and forming the cured resin layer having a light transmittance of 90% or more in the visible range is used as the photo-curable resin composition.
US07910029B2 Control method of injection molding and control apparatus of injection molding
A control method of injection molding includes the steps of: filling molten resin in an injection molding die by velocity control until a detection value of a filling pressure of the molten resin reaches a first set pressure value; filling the molten resin by switching control from the velocity control to pressure control by which the control is performed at the first set pressure value at a time point when the detection value of the filling pressure reaches or exceeds the first set pressure value; and switching the control to holding pressure control by which the control is performed at a second set pressure value at a time point when the filling velocity drops to or below a set velocity while filling is performed by the pressure control.
US07910025B2 Solution composition and polymer light-emitting device
To provide a solution composition having a significantly high viscosity comprising one or more solvent(s) and one or more polymer(s) having a polystyrene-reduced Z-average molecular weight of 1.0×105 to 5.0×106, and the solution composition allows to easily obtain a film having a favorable film formability and high uniformity.
US07910012B2 Composition, membrane, and associated method
A composition is provided. The composition may include a reaction product of a first composition having two or more anhydride moieties, a second composition having two or more hydroxyl moieties, and a third composition having at least one aziridine moiety. A method for forming a membrane from the composition is provided. A membrane formed from the composition is provided. Devices that include the membrane are provided, also.
US07910003B2 Polysulfone and poly(N-vinyl lactam) polymer alloy and fiber and filter materials made of the alloy
A polymer alloy has been developed comprising a polysulfone and a vinyl lactam polymer. The resulting alloy has excellent thermal characteristics and even in the presence of substantial quantities in vinyl lactam polymers, has solvent resistance to both organic and aqueous solvent materials. The materials, when dissolved in solvents, can be spun from a variety of solvents into a variety of useful fiber materials. The resulting fine fiber, microfiber and nanofiber materials have excellent thermal and chemical resistance for a variety of fiber applications. The polymer alloys of the invention can be spun into nanofiber mats that can act as a filtration media and can also be combined into conventional substrate materials for fabrication into filter structures.
US07909999B2 Filter assembly
Disclosed is a filter assembly including a filter cartridge including a housing, a filter provided inside the housing, and a cartridge connection portion provided at an upper portion of the housing, a head portion including a head connection portion to be coupled to the cartridge connection portion so that the filter cartridge can be attached and detached, a water inlet which introduces water to the filter cartridge, and a water outlet which discharges the water filtered by the filter cartridge, the head portion including a filter attaching and detaching lever which is movable between a first position, at which the head connection portion and the filter cartridge connection portion are coupled to each other, and a second position, at which the head connection portion and the filter cartridge connection portion are separated from each other, and can be supported by the head portion.
US07909995B2 Combined nutrient recovery and biogas scrubbing system integrated in series with animal manure anaerobic digester
An economical, integrated system works in series with anaerobic digestion of animal waste to recover nitrogen and phosphorous, while also scrubbing the produced biogas.
US07909993B2 Centrifugal fraction collection system and method
A centrifugal fraction collection system including a rotating carrier for holding at least one sample collection container and inducing a centrifugal force, a guide disposed on the rotating carrier, and a flexible eluant tube disposed over the rotating carrier and through the guide for receiving a flow of eluant having volatile and non-volatile components and directing the flow of eluant into at least one sample collection container wherein the centrifugal force separates the non-volatile and volatile components based on their respective densities and collects the non-volatile components in at least one sample collection container.
US07909991B2 Swimming pool skimmer and debris cleaning device
A device for skimming the surface of the water in a swimming pool or the like, in a single, generally sweeping motion and thereby collecting most all of the debris floating on or near the surface of the pool into one spot where it may be lifted and removed from the pool. The system incorporates a long rectangular section of netting that is wound onto a cylindrical reel and contained on a vertically oriented cylindrical axel. The cylindrical axel and reel are mounted by brackets or weights to the side of the pool. The cylindrically wound netting may be drawn out from the fixed cylinder mounted on the side of the pool through a slot in a pivoting debris deflector positioned on the same cylindrical axel. One end of the long rectangular section of netting may be fixed to a second smaller cylinder or pipe which serves to keep the net from being drawn completely into the enclosure comprising the cylindrical reel. A handle may be inserted into the smaller cylinder or pipe to allow the user to withdraw the end of the net from the cylinder and direct the extension of the net out around the edge of the pool in a progressive fashion. As the long rectangular net is extended and the end is carried about the edge of the pool, debris collects to a single point as the end of the net is finally brought back to the fixed cylindrical reel location. The cylindrical device is positioned so as to extend vertically across the horizontal water line in the pool in such a manner that some part of the net extends below the water while some part of the net extends above. The cylindrical reel is preferably spring loaded, such that as the net is withdrawn, spring tension tends to hold and draw the net back as the end progresses about the edge of the pool. Various stops and cleaning mechanisms positioned on the debris deflector component of the cylindrical reel facilitate the collection of debris and prevent the debris from being pulled into the cylindrical reel upon retraction of the net.
US07909983B2 System and methods for automatically recognizing a control solution
Methods and devices for automatically distinguishing between a control solution and an actual patient/user sample in a biosensor are provided. The solution is introduced into an electrochemical cell having a working and counter electrode. Electric pulses are applied to the cell and resultant signals are measured. Based on a comparison of the measured signals, a meter can determine whether the sample is a control solution or an actual patient/user sample.
US07909981B2 Method and apparatus for improving the performance of an electrochemical sensor
The present teachings relate to systems and methods for oxidizing a sensor having a substrate, an electrode in contact with the substrate, and an electrolytic material in contact with the electrode, where oxidizing includes applying an oxidizing potential (between approximately 350 mV and 700 mV) to the electrode. In some embodiments, the methods and systems include hydrating the sensor by applying a hydrating potential (between approximately 0 mV and −500 mV) to the electrode. In some embodiments, the applied potential can vary cyclically between an oxidizing and hydrating potential.
US07909979B2 Water photolysis system and process
The present invention provides a water photolysis system comprising: a casing 1 into which incident sunlight L can enter from the outside and a photolytic layer 5 which is disposed inside the casing 1; wherein the photolytic layer 5 has a light-transmissive porous material 51 and photocatalyst particles 52 supported thereon; a water layer 4 containing water in its liquid state is disposed below the photolytic layer 5 with a first space 6 disposed between the water layer and the photolytic layer; a sealed second space 7 is formed above the photolytic layer 5 in the casing 1; vapor generated from the water layer 4 is introduced into the photolytic layer 5 via the first space 6; and the vapor is decomposed into hydrogen and oxygen by the photocatalyst particles 52, which are excited by the sunlight L.
US07909976B2 Method for filling through hole
It is characterized in that in the case of filling a through hole formed in a substrate with a plated metal by electrolytic plating, the electrolytic plating is started by a high current density higher than Constant Current Density capable of fully filling the through hole when the electrolytic plating is performed with a current density held constant as a current density of the electrolytic plating, and the electrolytic plating is continued by being changed to a current density lower than the high current density by the time of reaching formation of a seam diameter in which an inside diameter does not decrease even when the electrolytic plating is continued after the electrolytic plating at the high current density is started.
US07909970B2 Controller for gas concentration sensor
An oxygen sensor is employed for determining whether the exhaust air-fuel ratio is rich or lean. A voltage is applied to the oxygen sensor at device impedance calculation intervals to calculate device impedance. After device impedance calculation, a reverse voltage is applied to the oxygen sensor with a view toward promptly negating the influence of voltage application on the sensor output. Subsequently, the sensor output of the oxygen sensor is sampled at sampling time intervals until it is concluded that the device impedance calculation period is over.
US07909969B2 Corrosion resistant gas diffusion layer with a micro protective layer for electrochemical cells
A gas diffusion layer with a micro protective layer is utilized in the electrochemical cells. The cell mainly includes end plates, current collectors, flow field plates, gas diffusion layers, catalyst layers, a proton exchange membrane and a circuit unit. When the cell functions as a fuel cell, hydrogen reacts with oxygen to generate electricity and water. Reversely, when the cell functions as a water electrolysis cell, water was decomposed electrolytically to produce hydrogen and oxygen gases. In this manner, the present invention particularly has the gas diffusion layer to be coated with a micro protective layer so as to prevent the gas diffusion layer from being corroded by active oxygen species generated within the oxygen electrode under the catalysis during water electrolysis operation.
US07909967B2 Electro-chemical processor
An electro-chemical processor for making porous silicon or processing other substrates has first and second chamber assemblies. The first and second chamber assemblies include first and second seals for sealing against a wafer, and first and second electrodes, respectively. The first seal is moveable towards and away from a wafer in the processor, to move between a wafer load/unload position, and a wafer process position. The first electrode may move along with the first seal. The processor may be pivotable from a substantially horizontal orientation, for loading and unloading a wafer, to a substantially vertical orientation, for processing a wafer.
US07909963B2 Method for measuring hydrophobic contaminants in paper pulp
A method is provided for measuring hydrophobic contaminants distributed in paper pulp suspension. The method comprises: adding a fluorescent dye (18) to the paper pulp suspension (12) including fibers and hydrophobic contaminants, the dye (18) interacting with the hydrophobic contaminants of the paper pulp suspension (12); submitting the paper pulp suspension (12) including the fibers, the hydrophobic contaminants, and the dye (18) to light characterized by a wavelength exciting the dye (18) to produce light emission signals; and detecting the light emission signals and evaluating the signals to measure the hydrophobic contaminants.
US07909959B2 Method for releasing adhered article
It is an object of the invention to provide a separation method of an adherend capable of easily and reliably separating an adherend stuck using an adhesive, a method for recovering an electronic part from an electronic part laminate, and a separation method of laminate glass.The invention provides a separation method of an adherend, which is a method of separating an adherend stuck by using an adhesive, and comprises the steps of: air-tightly sealing the adherend together with a fluid being a gas at a normal temperature and normal pressure in a pressure resistant container, making the inside of the pressure resistant container in a high pressure state, and releasing the pressure of the inside of the pressure resistant container.
US07909958B2 Apparatus and method for optical switching with liquid crystals and birefringent wedges
An optical switch includes an optical waveguide to route an input optical beam. At least one polarization switch receives the input optical beam from the optical waveguide. At least one birefringent wedge is associated with the at least one polarization switch. The at least one polarization switch and at least one birefringent wedge operate to direct the input optical beam to two or more output locations through control of the polarization switch.
US07909955B2 Printed planar radio frequency identification elements
Each printed sheet product includes a core of flexible, microvoided polymer sheet material and a planar RFID assembly encoded with a unique electro/magnetic code permanently and integrally joined together with the core. The microvoided sheet material collapses around the core so the sheet product remains planar. Scoring defines one or more individual identification elements removable from a remainder of the sheet product that include at least a first element with RFID assembly but only part of the core. A separate magnetic strip storing its own unique data magnetically can be provided on the first removable element even at least partially overlying the RFID assembly for independent identification operation.
US07909952B2 Method for assembling main wing made of aluminum alloy
A method for assembling a main wing having an upper skin and plurality of spars is disclosed. The front spar and rear spar are fastened to a back surface of the upper skin using an adhesive agent without using rivets, whereby the front surface of the upper skin becomes smooth.
US07909951B2 Method of assembling light scanning apparatus
The method of assembling a light scanning apparatus is capable of fine-adjusting a scanning angle and resonance frequency of a mirror section. The method comprises: a step of measuring the scanning angle and resonance frequency of the mirror section, with actuating a vibration source, in a state where a substrate holding member is temporarily fixed on a base member; a step of moving the substrate holding member, in the longitudinal direction of a substrate after releasing the temporarily-fixed state of the substrate holding member; a step of fine-adjusting a substrate-holding position by repeating the step of moving the substrate holding member; and a step of fixing the substrate holding member after the substrate-holding position is set. The substrate-holding position of the substrate holding member provided on the base member can be varied, without varying the position of the mirror section with respect to the base member in the fine-adjusting step and said fixing step, when the light scanning apparatus is assembled.
US07909950B2 Method for manufacturing an ultra soft high carbon hot-rolled steel sheet
The present invention provides an ultra soft high carbon hot-rolled steel sheet. The ultra soft high carbon hot-rolled steel sheet contains 0.2% to 0.7% of C, 0.01% to 1.0% of Si, 0.1% to 1.0% of Mn, 0.03% or less of P, 0.035% or less of S, 0.08% or less of Al, 0.01% or less of N, and the balance being Fe and incidental impurities and further contains 0.0010% to 0.0050% of B and 0.05% to 0.30% of Cr in some cases. In the texture of the steel sheet, an average ferrite grain diameter is 20 μm or more, a volume ratio of ferrite grains having a grain diameter of 10 μm or more is 80% or more, and an average carbide grain diameter is in the range of 0.10 to less than 2.0 μm. In addition, the steel sheet is manufactured by the steps, after rough rolling, performing finish rolling at a reduction ratio of 10% or more and at a finish temperature of (Ar3−20° C.) or more in a final pass, then performing first cooling within 2 seconds after the finish rolling to a cooling stop temperature of 600° C. or less at a cooling rate of more than 120° C./sec, then performing second cooling so that the steel thus processed is held at 600° C. or less, then performing coiling at 580° C. or less, followed by pickling, and then performing spheroidizing annealing at a temperature in the range of 680° C. to the Ac1 transformation point.
US07909949B2 Sputtering target with few surface defects, and surface processing method thereof
Provided is a surface processing method of a sputtering target, wherein a target surface in which intermetallic compounds, oxides, carbides, carbonitrides and other substances without ductility exist in a highly ductile matrix phase at a volume ratio of 1 to 50% is preliminarily subject to the primary processing of cutting work, then subsequently subject to finish processing via polishing. The sputtering target subject to this surface processing method is able to improve the target surface having numerous substances without ductility, and prevent or suppress the generation of nodules and particles upon sputtering.
US07909946B2 Copper alloy
A copper alloy consists essentially of Cu: 69 to 88 mass %, Si: 2 to 5 mass %, Zr: 0.0005 to 0.04 mass %, P: 0.01 to 0.25 mass %, and Zn: balance; has relation of, in terms of content of element a, [a] mass %, f0=[Cu]−3.5[Si]−3[P]=61 to 71, f1=[P]/[Zr]=0.7 to 200, f2=[Si]/[Zr]=75 to 5000, and f3=[Si]/[P]=12 to 240; has a metal structure containing α phase and, K phase and/or γ phase, and has relation of, in terms of a content of phase b, [b]%, in an area rate, f4=[α]+[γ]+[K]≧85 and f5=[γ]+[K]+0.3[μ]−[β]=5 to 95; and has an average grain diameter of 200 μm or less in a macrostructure when melted and solidified.
US07909940B2 Dish washing machine with steam generator and method of controlling same
Dish washing machine including a steam generator, which supplies steam during the washing procedure to aid in the washing of items in the dish washer, and a method for controlling the same. The dish washing machine capable of discharging residual water from the steam generator to remove impurities in the water contained therein. Discharging the residual water in the steam generator may be performed prior to, during or after the washing procedure in such a manner so as to protect other components from damage due to the high temperatures associated with the residual water.
US07909938B2 Washing agent dispenser for a household washing machine, in particular a dishwasher, and process for manufacturing said dispenser
A washing-agent dispenser for a household washing machine having a main casing, defining at least a container for a washing agent, and a member for closing the container. The closing member includes a substantially rigid body made of a first material, associated to which there are sealing means, made at least in part with a second substantially elastic or soft material. Associated to the rigid body of the closing member there are at least partially elastic or yielding complements, which are functionally distinct from the sealing means and are able to undergo deformation in the course of the use of the closing member. The complements are made at least in part of an elastic, soft, or yielding material, in particular of a synthetic type, which can be overmoulded directly on the rigid body of the closing member. Preferably, a plurality of complements is formed in a single component, which can include also said sealing means.
US07909931B2 Silica glass crucible
The present invention provides a silica glass crucible for manufacturing a silicon single crystal, in which melt vibration can be controlled more certainly and a high yield of single crystal can be realized. A first substantially bubble-free layer 10a having a thickness of 100 μm-450 μm is formed on the inner periphery side of an initial melt line zone 10 which has a height of 10 mm-30 mm, of a transparent layer, a bubble-containing layer 10b having a thickness of 100 μm or more and bubbles with an average diameter of 20 μm-60 μm is formed outside the above-mentioned first substantially bubble-free layer 10a, and a second substantially bubble-free layer 10c having a thickness of 300 μm or more is formed on the inner periphery side in the whole region lower than the above-mentioned initial melt line zone 10.
US07909925B2 Penetration inhibitor, method for preventing penetration of solvent ink, solvent ink for injket printer and method for producing the solvent ink
A penetration inhibitor includes a rosin-series resin having weight-average molecular weight of at least about 16,000 and at most about 50,000. The penetration inhibitor is to be added to a solvent ink for an inkjet printer.
US07909922B2 Dehumidifier
A dehumidifier is provided. A dehumidifier includes a cabinet defining an outer appearance, a barrier installed in the cabinet to collect condensed water removed from air, a bucket assembly for storing the condensed water directed from the barrier, and a condensed water detecting unit for detecting an amount or level of the condensed water stored in the bucket assembly.
US07909918B2 Air filtration system
An intense field dielectric air filtration system includes a perimeter frame for wall or ceiling mounting at the inlet of a return air duct for an air conditioning apparatus. A door including a grille disposed thereon is mounted for movement on the frame between working and non-working positions for access to an air filter unit, a pre-filter unit and an intense field particle charging unit. Contacts on the filter unit and the field charging unit engage cooperating contacts on an enclosure mounted on the frame when the door is closed to supply electrical power. An interlock is provided to interrupt power when the door is moved to an open position or the grille is removed. A control system disposed in the enclosure includes user control features accessible when the door is in an open position. An alternate embodiment includes a support frame for positioning the filtration system in ductwork or the like other than a return air inlet.
US07909914B2 Method of removing acid gases contained in gaseous effluents
The present invention relates to a method of removing acid gases, notably carbonyl sulfide, contained in gaseous effluents, comprising: an acid gas absorption stage by contacting the gaseous effluent with an aqueous solution comprising 2-[2-amino-1-(aminomethyl)ethoxy]ethanol, and possibly another alkanolamine and an organic compound, and at least one aqueous solution regeneration stage. The method can comprise a second aqueous solution regeneration stage. The regeneration stages are carried out by expansion and/or thermal regeneration.
US07909910B2 Vacuum line clean-out separator system
A vacuum clean-out system including a separator chamber and associated collection chamber for removing liquid material and debris from a vacuum output and providing a vacuum return line free of contaminants. A vacuum exhaust line is coupled to a cyclonic separator chamber that induces a circular rotation within the incoming vacuum stream, causing the liquid and debris to impinge the chamber's surfaces and fall to the bottom thereof while the “clean” vacuum is drawn upwards into a return line. The collection chamber is maintained at the same negative pressure as the separator chamber so that the accumulating liquid and debris easily drains into the collection chamber. A sensor associated with the collection chamber may be used to determine when the collection chamber is full and needs to be discharged. At that point, the separator chamber is isolated from the collection chamber, the collection chamber is vented and the accumulated material is discharged and/or analyzed. Advantageously, the separator chamber remains under negative pressure and continues the vacuum clean-out process uninterrupted.
US07909909B2 Method of production of secondary steel based on scrap
With the production of the secondary steel based on scrap, wherein the scrap (10) is fed in a scrap preheater (2) through a charging device (1), is preheated there and, finally, is brought into a smelting unit (3) and is melted there with primary energy only, the process gases (19), which leave the smelting unit (3), are not used for directly preheating the scrap (10) but are rather used indirectly by heating a gaseous preheatable medium, e.g., air (18) or inert gas, so that energetic, fluidic, and spatial decoupling of preheating and melting and of post-combustion and preheating is achieved.
US07909908B2 Method of improving the weatherability of copper powder
A copper powder that is excellent in weatherability and adapted for use in conductive paste is provided that contains 10-20,000 ppm, preferably 100-2,000 ppm, of Sn. The copper powder is particularly preferably one having an average particle diameter DM of 0.1-2 μm and, further, one wherein the particle diameter of at least 80% of all particles is in the range of 0.5 DM-1.5 DM. This copper powder can be produced, for example, by precipitating Cu metal by reduction of Cu ions in the presence of Sn ions.
US07909906B2 Cold work steel and manufacturing method thereof
A cold work steel has the following chemical composition in weight-%: 1.25-1.75% (C+N), however at least 0.5% C 0.1-1.5% Si 0.1-1.5% Mn 4.0-5.5% Cr 2.5-4.5% (Mo+W/2), however max. 0.5% W 3.0-4.5% (V+Nb/2), however max. 0.5% Nb max 0.3% S balance iron and unavoidable impurities, and a microstructure which in the hardened and tempered condition of the steel contains 6-13 vol-% of vanadium-rich MX-carbides, -nitrides and/or carbonitrides which are evenly distributed in the matrix of the steel, where X is carbon and/or nitrogen, at least 90 vol-% of said carbides, nitrides and/or carbonitrides having an equivalent diameter, Deq, which is smaller than 3.0 μm; and totally max. 1 vol-% of other, possibly existing carbides, nitrides or carbonitrides.
US07909901B2 Liquid cartridge
A liquid cartridge includes a vessel body for containing liquid. The vessel body includes a plurality of surfaces. An oxygen outlet is provided on one surface among the plurality of surfaces of the vessel body. And an oxygen input is provided on a surface other than a furthest surface from the surface on which the oxygen outlet is provided among the plurality of surfaces of the vessel body.
US07909897B2 Droplet impingement chemical reactors and methods of processing fuel
Fuel processors, methods of using fuel processors, and the like, are disclosed.
US07909886B2 Tissue repair fabric
This invention is directed to prosthesis, which, when implanted into a mammalian patient, serves as a functioning replacement for a body part, or tissue structure, and will undergo controlled biodegradation occurring concomitantly with bioremodeling by the patient's living cells. The prosthesis is treated so that it is rendered non-antigenic so as not to elicit a significant humoral immune response. The prosthesis of this invention, in its various embodiments, thus has dual properties. First, it functions as a substitute body part, and second, it functions as bioremodeling template for the ingrowth of host cells.
US07909884B2 Suspension liner system with seal
A suspension liner system adapted to provide an interface between a residual limb and a prosthetic socket, the liner sleeve including an elongate generally conical liner sleeve including proximal and distal end areas, and a sealing member arranged to removably fit onto the distal end area of the liner sleeve. The sealing member has proximal and distal end areas, and defines a resilient seal element located at the proximal end area and a receiving portion formed from the distal end area. The seal element outwardly protrudes relative to the receiving portion of the liner sleeve and is arranged for deflection against the liner sleeve.
US07909883B2 Percutaneous implant for limb salvage
A percutaneous implant device is biologically attached to bone and soft tissue of a broken limb or amputated limb without longitudinal or lateral movement. Bone and soft tissue in-growth are promoted. The percutaneous implant device has a bio-compatible metal alloy stem member, having an integral tapered fluted section designed to fit into a taper reamed bone canal. A precisely dimensioned cylindrical central core cooperates with a suture ring and an external extension member, facilitating attachment of the percutaneous implant device to a hand or foot prosthesis. A porous metal outer body having a female taper at one end and the capacity to promote growth of bone and soft tissue, is press fitted on the central core contacting suture ring. The assembled implant is inserted into a taper reamed bone cavity until the male taper-reamed bone free end contacts the female taper. Soft skin is extended over the outer surface of the porous metal outer body and the surrounding suture ring.
US07909882B2 Socket and prosthesis for joint replacement
A joint replacement prosthesis and procedure reduce the number of steps to complete a joint replacement. The joint replacement prosthesis comprises a ball and socket unit that fixes the ball in the socket prior to surgery. The unit is coupled to a bone structure in the patient and is coupled with a prosthesis that is fixed to another bone of the patient, such as a femoral implant fixed in a femur and providing a coupling at the end of a neck portion that is easily fit into a femoral head and acetabulum unit. A tether may be used to retain the ball in the socket and/or the ball may be retained by extension in the socket that do not restrict patient motion.
US07909880B1 Toe cap implant
Toe cap implant for correction of Hallux Rigidus has a cap having a head with a convex articular surface and opposite the articular surface an attaching feature that can attach the cap to a bone screw. The cap and screw can provide for an ensemble or a kit.
US07909878B2 Artificial spinal disc, insertion tool, and method of insertion
An artificial spinal disc is provided for unilateral insertion from the posterior side of the patient and includes a pair of plate members with a bearing associated with one plate member and a depression associated with the other for permitting limited flexibility of patient movement. An outrigger is provided which includes rods extending through the pedicles on one side of each of two adjacent vertebrae and posts connected to the rods which provide an artificial facet joint. A method of insertion of the artificial spinal disc hereof includes cutting channels for receiving longitudinally extending ribs on the plate members and removing the natural facet joint in order to permit insertion of the artificial spinal disc. A tool for insertion of the artificial spinal disc acts as a drill guide for creating a passage through the pedicles.
US07909877B2 Spinal disc implant with complimentary members between vertebral engaging plates
Embodiments disclosed herein provide a disc implant for maintaining intervertebral spacing and stability within the human spine. In some embodiments, the disc implant includes complementary members between vertebral engaging plates. A first engaging plate may have a convex portion that allows anteroposterior translation of a first member relative to the first engaging plate. Movement of the first member relative to the first engaging plate allows lateral movement of vertebrae adjacent to the engaging plates. The first member may be coupled to a second member via complementary shapes such as a projection and a recess. The second member may have a convex portion that complements a recess of a second engaging plate. The recess may be concave with an arcuate cross-sectional shape in an anteroposterior plane. Movement of the second engaging plate relative to the second member allows for anteroposterior movement of vertebrae adjacent to the engaging plates.
US07909873B2 Delivery apparatus and methods for vertebrostenting
The invention relates to a method of delivering and deploying a stent into a curvilinear cavity within a vertebral body or other bony or body structure. The invention also relates to devices that may be used to perform the steps to deliver and deploy a stent.
US07909872B2 Minimally invasive apparatus to manipulate and revitalize spinal column disc
A method and apparatus are provided to manipulate and revitalize a spinal column disc while minimizing or preventing the removal of material comprising the disc. The method allows a device to be inserted in the disc either through a pre-existing rupture or through an opening formed in the front, back, or sides of the disc. Increasing the space between the vertebra bounding the disc or removing disc material often is not necessary to insert the device in the disc. The device generates internal traction or other forces acting on the disc to alter the shape of the disc. The shape of the disc is altered to relieve pressure on nerves adjacent the disc. The shape of the disc is also altered to draw nuclear hernias back into the interior of the disc and to produce a disc shape that improves functioning of the disc.
US07909870B2 Height-adjustable spinal implant and operating instrument for the implant
A height-adjustable implant for insertion between vertebrae, includes first and second sleeve parts which are rotationally fixed in coaxial alignment and interconnected in an axially displaceable manner. The first sleeve part has an internal thread. A nut is positioned coaxially in an inner space surrounded by the sleeve parts and is fixed to the second sleeve part so that it can rotate but is axially fixed. The nut has an external thread which engages in the internal thread of the first sleeve part. A toothed ring extends coaxially with the central longitudinal axis of the sleeve parts and is located on the nut. The second sleeve part has a radial access opening in the vicinity of the toothed ring.
US07909867B2 Interpenetrating polymer network hydrogel corneal prosthesis
The present invention provides materials that have high glucose and oxygen permeability, strength, water content, and resistance to protein adsorption. The materials include an interpenetrating polymer network (IPN) hydrogel that is coated with biomolecules. The IPN hydrogels include two interpenetrating polymer networks. The first polymer network is based on a hydrophilic telechelic macromonomer. The second polymer network is based on a hydrophilic monomer. The hydrophilic monomer is polymerized and cross-linked to form the second polymer network in the presence of the first polymer network. In a preferred embodiment, the hydrophilic telechelic macromonomer is PEG-diacrylate or PEG-dimethacrylate and the hydrophilic monomer is an acrylic-based monomer. Any biomolecules may be linked to the IPN hydrogels, but are preferably biomolecules that support the growth of cornea-derived cells. The material is designed to serve as a corneal prosthesis.
US07909862B2 Delivery systems and methods for deploying expandable intraluminal medical devices
Methods and devices for delivering and deploying expandable intraluminal medical devices at a desired point of treatment within a body vessel are provided. A delivery device is provided, inserted into a body vessel, and navigated through the vessel to place an associated expandable intraluminal medical device at a desired point of treatment. Once the desired location is reached, an elongate member on which the expandable intraluminal medical device is disposed is spaced from a wall surface of the body vessel using an expandable wire basket, balloon, or other suitable structure. The expandable intraluminal medical device is deployed from the delivery system, which can ultimately be withdrawn from the body vessel.
US07909861B2 Critical care thermal therapy method and system
A critical care thermal therapy system incorporating a remote temperature sensor in association with a thermal module adapted for delivering a fluid to a patient in response to the sensed temperature thereof.
US07909858B2 Bone plate systems using provisional fixation
Bone plates with an upper surface, a bone contacting surface, and a plurality of holes extending through the upper and bone contact surfaces for receiving bone screws are disclosed. Each hole interchangeably accepts a compression screw for compression of a fracture and a locking screw that threads into the bone plate. Provisional fixation of a bone plate to a bone may be accomplished using provisional fixation pins through the screw-receiving holes of the bone plate.
US07909857B2 Devices and methods for correcting spinal deformities
The present application discloses methods for treating a spinal deformity. In one embodiment, an elongated member is attached to vertebral members with fasteners. A separate fastener may attach the elongated member to each of the vertebral members. The elongated member may apply a force to limit growth on one side of the vertebral members, such as a convex side of a scoliotic spine. Each fastener may be constructed to limit a direction of growth of the vertebral member thereby applying a specific, corrective force to the vertebral member. The fasteners may allow relative motion between adjacent vertebral members without losing the attachment between the vertebral members and the fasteners. The net result may be controlled modulation of growth to reduce and/or eliminate the spinal deformity through redirection of growth potential.
US07909855B2 Orthopedic implant assembly
Embodiments of an orthopedic implant assembly include an apparatus having a receiver member and a fixation member. The receiver member includes first and second branches which define a channel extending along a longitudinal axis. The channel is configured to receive an elongated member. Additionally, the fixation member can include a threaded portion configured to engage bone. The orthopedic implant system can further include a one-step locking mechanism operably connected with the receiver member, the locking mechanism being configured to lock an elongated member in the channel. The locking mechanism includes a first closure device operably connected with the first branch and a second closure device operably connected with the second branch. The first and second closure devices are configured to cooperate with each other along a lateral axis to lock an elongated member in the channel. In certain embodiments, the lateral axis does not intersect the longitudinal axis.
US07909850B2 Forceps for medical use
Forceps having two-half shells are disclosed. Each half-shell includes a front rim and a base wall and is mounted on a support element in a manner such that the half-shells can adopt a first opened-out configuration and a second closed configuration. The forceps include at least one container element having a cavity for receiving a plurality of biopsy samples. The container element is preferably associated with one of the half-shells.
US07909849B2 Matching limb protection sleeve for tourniquet cuff
Apparatus is provided for protecting a patient's limb from tourniquet-related injury, comprising: a tourniquet cuff having a length sufficient for encircling a limb protection sleeve applied to a limb at a location having a limb circumference of not less than a predetermined minimum limb circumference and not more than a predetermined maximum limb circumference; limb protection sleeve adapted for applying to the limb at the location, wherein the sleeve has a tubular shape and a tubular circumference predetermined to be less than the predetermined minimum and wherein the sleeve is formed to allow elastic stretching of the tubular shape sufficient to increase the tubular circumference to be substantially equivalent to the limb circumference at the location when the sleeve is applied to the limb, thereby applying a pressure to the limb that is greater than a predetermined minimum pressure and less than a predetermined maximum pressure; and identification means perceptible to a user for providing an indication to the user of the predetermined minimum limb circumference and the predetermined maximum limb circumference.
US07909845B2 Epistaxis apparatus and method
An epistaxis apparatus for use with a sphygmomanometer having an arm cuff comprising: i) an air filled compressible rubber reservoir bulb sized to be received within the arm cuff for compression therein; ii) a flexible hose having one end connected to an end portion of the compressible bulb; and, iii) an inflatable nasal bladder having a front end portion connected to another end potion of the hose having an exterior shape generally sized to fill a nasal cavity. When the sphygmomanometer is pumped to a selected pressure, the nasal bladder expands within the nasal cavity to the selected pressure stopping a nose bleed. In a preferred aspect of this invention the nasal bladder comprises two similar side portions so that each nasal cavity of a user may receive one of the nasal bladders so that when the apparatus is pumped to the selected pressure each side portion will be similarly pressured and septal deviation with its associated discomfort will be avoided. Each side portion of the bladder further comprises a breathing tube extending through the bladder from a front to a rear side portion thereof so that after the side portions of the bladder are positioned and pressurized within the nasal cavity the user will be able to breath through the breathing tubes therein.
US07909843B2 Elongateable surgical port and dilator
A surgical port and dilator are useful for creating access to surgical sites having tortuous geometries and/or requiring relatively long and narrow access to the surgical site. The surgical port or dilator can be provided with an oblique distal end to facilitate placement and the displace tissue near angled surfaces. The surgical port can be inserted into the operative site following dilation in the same fashion as a conventional port. Once inserted, however, the surgical port may then be elongated to provide relatively long and narrow, elliptically- shaped access to the surgical site. The elongation of the port allows the surgeon access to long and narrow surgical sites, such as the spine, without having to expand radially. This reduces trauma to the patient. A locking means is also provided to hold the surgical port in the open position until a release mechanism is activated.
US07909841B1 Co-axial actuated scissors
Co-axial actuated scissors for slidable positioning along a nerve for cutting the nerve or other anatomical surgical structure in a plane normal to the longitudinal axis of the scissors and away from the surgery site without harming adjacent tissues includes a first scissor portion having an offset handle attached to a slotted cylinder and a second scissor portion having an offset handle attached to an inner cylindrical member with the inner member telescoped within the slotted cylinder for concentric rotation therewith and the slotted cylinder and rotating member defining the longitudinal axis such that the cylinder and the rotating member include a loop end comprising a pair of cutting jaws whose cutting action is normal to the longitudinal axis and which are movable from an open position to a gripping position to a fully closed position for cutting the nerve through rotatable concentric actuation of the rotating member relative to the slotted cylinder and with detents positioned on an arcuate arm of the first scissor portion successively engaging a receiving notch located on the handle of the second scissor portion for holding the cutting jaws in each position as needed in order to effectuate the surgical operation.
US07909836B2 Multi-actuating trigger anchor delivery system
A single trigger system and associated method for manipulating tissues and anatomical or other structures in medical applications for the purpose of treating diseases or disorders or other purposes. In one aspect, the system includes a delivery device configured to deploy and implant anchor devices for such purposes.
US07909834B2 Self retaining set screw inserter
A self retaining screw inserter for inserting, positioning and removing a set screw of a spinal fixation system, includes an active reverse-collet retainer. The active reverse-collet retainer has fingers configured to move outward to engage and retain a set screw. When engaged, the fingers flare outward to engage the set screw.
US07909828B2 Contoured reamer teeth and method of manufacture
An acetabular reamer (10′) for cutting a required cut shape. The reamer has a cutting shell (12′) having a series of doubly curved cutting teeth (16′) thereon of a quantity to substantially reduce a cutting pressure on each tooth as well as to reduce a size of a typical chip generated upon cutting. Substantially all the teeth each have a matched arc cutting edge (20′) of substantial length that has a cutting profile which substantially matches a profile of a shape to be cut. The apertures in which the cutting edges are formed has at least one flat edge by which a punch, used in the process of forming the edge may be oriented. Such a configuration reduces the number of teeth required to cut the shape.
US07909825B2 Fracture fixation device, tools and methods
A bone fixation device is provided with an elongate body having a longitudinal axis and having a flexible state and a rigid state, a plurality of grippers disposed at longitudinally spaced locations of the elongated body, a curved rigid hub connected to the elongated body, and an actuator operably connected to the grippers to deploy the grippers from a first shape to an expanded second shape. Methods of repairing a fracture of a bone are also disclosed. One such method comprising inserting a bone fixation device into an intramedullary space of the bone to place at least a portion of an elongate body of the fixation device in a flexible state on one side of the fracture and at least a portion of a curved rigid hub on another side of the fracture, and operating an actuator to deploy a plurality of grippers of the fixation device to engage an inner surface of the intramedullary space to anchor the fixation device to the bone.
US07909822B2 Bipolar tissue debrider and method
A debrider apparatus is disclosed. The debrider includes a first tubular member that is adapted to connect to a first potential of an electrosurgical generator. A second tubular member is at least partially disposed within the first tubular member and is adapted to connect to a second potential of the electrosurgical generator. At least one tubular member is selectively movable relative to the other. A first set of teeth is disposed around at least a portion of a distal periphery of the first tubular member. A second set of teeth is disposed around at least a portion of a distal periphery of the second tubular member. At least one switch is operably coupled to at least one of the tubular members and activates movement of one tubular member and supplies respective electrical potentials to the tubular members.
US07909819B2 Monopolar electrosurgical return electrode
A monopolar electrosurgical return electrode to prevent unwanted thermal effects in monopolar electrosurgery, accomplished in one aspect by volumetric incorporation of temperature-resistive material of positive nature into a flexible and adhesive return electrode pad is provided. The incorporation of positive temperature coefficient resistance with low resistance at room temperature will increase the local electrical resistance of the pad with an increase of the local return electrode temperature corresponding to a switching of the resistance from low to high value which in turn will lead to a reduction of the local current density. The switching temperature of the positive temperature coefficient return electrode is low enough to prevent significant thermal heating of the patient's tissue.
US07909815B2 Instrument guide for use with needles and catheters
A guide is provided for use with an imaging instrument, the guide including a first portion, a second portion proximate the first portion, and a cavity at least partially formed by the first and second portions, the cavity having a cavity width and configured to retain an instrument therein. The cavity width is selectively changeable to accommodate a plurality of diameters by sliding the second portion along a path with respect to the first portion.
US07909813B2 Method and apparatus for curving a catheter
An apparatus and method for curving a catheter after deployment include a catheter having a primary lumen, a secondary lumen, and a resilient fiber contained within the secondary lumen. The resilient fiber and the secondary lumen have corresponding, preformed curve shapes when the catheter is in a straight, unstressed condition. The resilient fiber is slidable within the secondary lumen to create a desired curve shape in the catheter as the curved portion of the resilient fiber slides into an originally straight portion of the secondary lumen. In another embodiment, the preformed curve shape of the resilient fiber is held in a straight condition within a stiff, marker ring segment of the catheter until after the catheter is deployed. Once deployed, the resilient fiber is slid out of the marker ring segment, and the preformed curve shape of the resilient fiber creates a corresponding curve shape in the catheter.
US07909812B2 Catheter with composite stiffener
A catheter comprising an elongate tubular member having a proximal end, a distal end, and a passageway defining a lumen extending between the proximal and distal ends. The elongate tubular member comprises a relatively stiff proximal section and a relatively flexible distal section. The proximal section includes an inner tubular liner, a first stiffener comprising a metal alloy, and a second stiffener comprising a non-metal alloy. The first and second stiffeners are coaxially wound exterior to the proximal inner liner. The distal section includes a distal inner tubular liner and the second stiffener coaxially wound exterior to the distal inner liner. The first stiffener terminates before reaching the distal section.
US07909811B2 Single operator exchange biliary catheter
Catheter for use in biliary procedures, including a shaft having a proximal end and a distal end. A guidewire lumen is carried by the shaft extending from a location proximal the distal end of the shaft to a location proximate the distal end of the shaft. An opening is included for accessing the guidewire lumen from a location exterior the catheter shaft located distal the proximal end of the shaft. The guidewire lumen may be formed integral the catheter shaft. The catheter may be used in rapid exchange catheter procedures. The catheter may further include a port and channel design including a first opening into the guidewire lumen located proximal the distal end of the shaft, a second opening located proximal the first opening, and a channel extending longitudinally between the first opening and the second opening.
US07909810B2 Guiding catheter with resiliently compressible occluder
A guiding catheter for providing proximal occlusion while intubating a branch vessel lumen in a patient. The catheter comprises an elongate hollow shaft having open proximal and distal ends and a resiliently compressible occluder fixed about the shaft adjacent the distal end, the occluder having a relaxed shape that tapers distally from a major diameter greater than a diameter of the vessel lumen. The occluder may comprise elastic foam material or an impermeable flexible cover clingingly enclosing a resilient support member.
US07909807B2 Pants-type disposable wearing article
A pants-type disposable wearing article includes leg-circumferential first elastic members attached to crotch region's lateral margins of an outer sheet and waist-circumferential second elastic members attached to waist regions' upper margins of the outer sheet. The elastic members are joined to the sheet while the sheet and the second elastic members are stretched at given extension ratios, respectively, in a transverse direction and the first elastic members is stretched at a given extension ratio in the leg-circumferential direction so that the sheet and the first elastic members may have substantially the same leg-circumferential dimensions after these sheet and first elastic members have been relaxed and the sheet and the second elastic members may have substantially the same transverse dimensions after these sheet and second elastic members have been relaxed. In addition, the waist regions' upper margins and the crotch region's lateral margins are substantially planar.
US07909806B2 Cord blood and placenta collection kit
The present invention provides an improved kit for the collection of umbilical cord blood and placental blood, and collection of the placenta from which such blood is obtained. The kit improves upon existing kits in that it provides for improved user convenience, provides for the collection of the placenta itself, and better maintains the internal temperature of the container in which the collected blood and placenta are shipped to a blood bank or registry. The invention further provides a method of collecting umbilical cord and placental blood, and the placenta from which such blood is obtained, comprising using the kit described herein.
US07909801B2 Double balloon thrombectomy catheter
A catheter has a lumen for a thrombectomy device and at least two balloons of different compliancies. In one embodiment, the catheter is adapted to clear dialysis grafts. One balloon is adapted to expand a stenosis at a venous junction of a dialysis graft and another balloon is adapted to pull a stenosis at an arterial junction of a dialysis graft. A single catheter may be used to perform a dialysis thrombectomy procedure.
US07909796B2 Injection device
An injection device for a syringe, having a syringe body, a cannula with a needle, a plunger with a plunger rod, and an injection carriage for displacing the syringe body and the plunger, comprises at least one actuating element that acts on the injection carriage to carry out the injection procedure. The actuating element (120, 220, 320) cooperates with components which withdraw the needle (108, 208, 308) from the puncture site once the injection procedure has been completed, using a return stroke (H3) that is applied to the injection carriage. A single, targeted linear movement inserts the needle to a defined depth, injects the medicament and, once the injection has been completed, produces a return stroke which allows the needle to be withdrawn into the housing and thus out from the puncture site.
US07909793B2 Silencing device and method for needleless syringe
The invention provides a silencer and silencing method which ensures adequate silencing with no deleterious increase in back pressure (which creates a lift-off force) or decrease in device performance. Pressurized gas is supplied to a driver chamber by a bleed-hole having an effective bleed-hole area and, during use of the device for particle delivery, gas is vented to the atmosphere via a silencer having an effective venting area. The bleed-hole area and venting area are chosen to ensure that the mass flow rate of gas through the effective venting area is substantially equal to or greater than the mass flow rate of gas through the effective bleed-hole area. This ensures that there is no build up of gas in the silencer device which tends to increase back pressure and hence lift-off force. Preferred embodiments of the device comprise a silencer having a large volume and a small particle exit opening. Further, there is disclosed an embodiment using one or more transfer ducts to assist particle mixing.
US07909789B2 Intraocular implants and methods and kits therefor
Devices, methods and kits are described for reducing intraocular pressure. The devices include a support that is implantable within Schlemm's canal and maintains the patency of the canal without substantially interfering with transmural fluid flow across the canal. The devices utilize the natural drainage process of the eye and can be implanted with minimal trauma to the eye. Kits include a support and an introducer for implanting the support within Schlemm's canal. Methods include implanting a support within Schlemm's canal, wherein the support is capable of maintaining the patency of the canal without substantial interference with transmural fluid flow across the canal.
US07909787B2 Reconfigurable heel elevator
A method and apparatus for eliminating or otherwise reducing or mitigating pressure on an individual's heel while the leg is in an extended position, while allowing for ambulation without completely removing the device. An apparatus comprises a support member configured to be attached to a lower leg portion of an individual between the calf and heel region, the support member having an inner surface portion to be disposed facing the leg when attached thereto, and having an opposite outer surface; and a reconfigurable elevation member configured (i) to be disposed at the outer surface of the support member such that when the support member is attached to the lower leg portion of the individual the elevation member is selectively capable of providing elevation of the heel from an underlying surface in the event that the lower leg portion is extended above the underlying surface, and (ii) to be reconfigured for ambulation without removing said support member from the lower leg. In some implementations, the reconfigurable elevation member is integral with the support member and is inflatable and deflatable. In other implementations, the reconfigurable elevation member is removably attachable to the support member, and may be removed for ambulation while the support member remains securely in place such that the elevation member may be reattached thereto.
US07909785B2 Method and apparatus for improving local blood and lymph circulation using low and high frequency vibration sweeps
A processor (10) controls the operation of the device and preferably provides for a plurality of operational algorithms or modes. A program switch (18) allows the user to select which algorithm will be used. The processor drives an inverter (12), which drives a power amplifier or bridge (13). The output of the bridge 13 is connected to one or more transducers 16. When the user presses the switch (19A), the processor begins the algorithm. One or more of the transducers are placed on the patient's body in the area to be treated. The algorithms provide for lower-frequency and higher-frequency sweeps, which the transducers convert to microvibrations which, in turn, massage not only the muscles and the larger blood vessels, but also the smaller blood vessels and capillaries, and provide for improved blood circulation in the affected area, thereby relieving pain and enhancing recovery.
US07909779B2 Catheter and method of producing the same
A catheter body includes a coil body (10) which is formed by winding or stranding metal wires (12) and having a distal portion (16) and a proximal portion. The distance between coils (12) adjacent to each other in the distal portion (16) is greater than the distance between coils (12) adjacent to each other in the proximal portion (18 or 14). The pitch in the distal portion (16) of the coil body (10) is substantially or nearly the same as the pitch in the proximal portion (18 or 14).
US07909776B2 Lancets for bodily fluid sampling supplied on a tape
A supply of lancets for a multi-use lancing device are carried by a tape and sequentially brought from a storage position to an activating position by advancing the tape around a bend. The lancets are non-circular in cross-section along their longitudinal lengths, and in their activating position they extend from the tape such that their sharp tips are available for lancing tissue. The carrying tape can be arranged in reel-to-reel format in a housing having a lancing opening. The lancets can be integral with the tape and activated to move the lancet through the lancing opening by moving the tape along its tape path or by translating a dedicated service loop of the tape. The lancets can also be independent from the tape and activated through the lancing opening by a separate lancing actuator. A test media can be included on the carrying tape and the housing can contain a sensor to yield an integrated lancing and testing device.
US07909774B2 Method and apparatus for penetrating tissue
These and other objects of the present invention are achieved in a body fluid sampling system for use on a tissue site that includes an electrically powered drive force generator. A penetrating member is operatively coupled to the force generator. The force generator moves the member along a path out of a housing having a penetrating member exit, into the tissue site, stops in the tissue site, and withdraws out of the tissue site. A cartridge houses the penetrating member. The cartridge has first and second seals coupled to the penetrating member to maintain a sterile environment around a portion of the penetration member prior to penetrating member actuation. A user interface is configured to relay at least one of, penetrating member performance or a penetrating member setting.
US07909772B2 Non-invasive measurement of second heart sound components
A method and apparatus for estimating a location of pulmonary and aortic components of second heart sounds of a patient over an interval. The method comprises the steps of producing an electronic representation of heart sounds of the patient over the interval, identifying at least one second heart sound in the interval using the electronic representation, for each identified second heart sound generating an estimated value for a location of the aortic component and the pulmonary component. There is also included a method for using the estimated location of the aortic component and the pulmonary component for estimation of the blood pressure in the pulmonary artery of a patient.
US07909771B2 Diagnosis of sleep apnea
Methods and apparatuses for detecting sleep apnea by analyzing characteristic physiological oscillations of the heart rate variability (HRV). Starting from recorded ECG data of the patient, for example, as a long-term sequence of the changing RR intervals, the heart rate variability is examined using autocorrelation calculations for the occurrence of rhythmic oscillations of various frequencies. If oscillations typical for apnea occur having very long period durations in the range of 20 to 80 seconds, these are detected as a maximum of the autocorrelation function. If a pathological sleep apnea accordingly exists, individual apnea events may be identified by prompt analysis of short recorded RR sequences, e.g., in the minute interval.
US07909760B2 Split hoop wound retractor with gel pad
An incrementally adjustable wound retractor, which provides access to a body cavity, includes an inner ring having a diameter greater than the desired diameter of the wound incision, an outer ring having an annular axis and a diameter greater than the desired diameter of the wound incision, and a flexible sleeve disposed in a generally cylindrical form between the inner and outer rings. The outer ring includes first and second circular tubes spaced apart axially with each including a lumen having a rigid, noncompliant split hoop placed therein. The outer ring may be rolled over itself and around the annular axis to retract the sleeve with sufficient force to stretch the incision to the desired diameter. A gel cap seal may be coupled to the outer ring outside of the biological body to seal the opening produced by the wound retractor between the body cavity and outside the body cavity.
US07909758B2 Apparatus for orotracheal intubation
This invention provides a laryngoscope blade, wherein the blade comprises a tube having an opening along one side. This invention further provides a laryngoscope blade comprising at its front portion a first surface for lifting the epiglottis of a patient and a second surface for fixing the portion of the posterior part of the vocal cords of the patient.
US07909757B2 Laryngoscope blade
A blade for a laryngoscope having a handle, the blade having an elongated body having a central axis, and having a distal tip symmetric about the central axis; a downwardly convex arcuate central portion including a first flange and a second flange is disclosed herein. Each flange has a distal flange tip and a proximal base, the first flange tip being a mirror image of the second flange about the central axis. The outer edge of the base of the first flange is convex and the outer edge of the base of the second flange is concave with respect to the central axis. A light attachment area is provided along the central axis. The distal tip may have any one of a variety of shapes, including having outer rounded lobes, being generally rectangular, or having a spoon shape.
US07909756B2 Illumination system for variable direction of view instruments
A illumination system for variable direction of view instruments is disclosed generally comprising an endoscope having a longitudinal axis and a variable view vector that pivots about a pivot axis angularly offset from the longitudinal axis. The view vector has an attendant viewing field that travels along a path as the view vector pivots, defining a viewing range. A source of illumination is arranged in a plane offset from the plane in which the view vector pivots and provides an annular, solid angle of illumination that covers the viewing range. In certain embodiments, the pivot axis is perpendicular to the longitudinal axis and the illumination plane is parallel to the pivot plane. In some embodiments, the source of illumination is a plurality of light emitting diodes arranged around the pivot axis.
US07909754B2 Non-invasive measurement of fluid pressure in an adjustable gastric band
A food intake restriction device for forming a restriction in a patient's gastro-intestinal tract and non-invasively communicating pressure data regarding the restriction to an external monitor. The device includes a food intake restriction device implanted substantially about a patient's gastro-intestinal tract to form a restricted opening in the tract. A port is connected to the restriction device. The port contains a working fluid for affecting the size of the restricted opening. A pressure sensing system communicates with the working fluid to measure the pressure of the working fluid. A transmitter communicates the measured fluid pressure to the external monitor.
US07909753B1 Connector for mesh support insertion
Disclosed is a surgical system for positioning an implant in a patient. The surgical system includes an insertion needle and a connector. The insertion needle has a distal end with a channel formed therein. The channel has a first end and a second end. The first end extends to the distal end. The second is laterally offset from the first end and does not extend to the distal end. The connector has a first end and a second end. The first end is attached to the implant that is to be positioned in the patient. The second end has a recess formed therein. The recess generally conforms to the distal end of the insertion needle. The connector has an inwardly directed extension that extends into the recess. The inwardly directed extension engages the insertion needle through the channel.
US07909752B2 Behaviour modification
The use of stimuli in order to create association between training regimes subconsciously is known. Unfortunately, in highly noisy and other polluted environments it is difficult to provide ongoing reinforcement of previous behavior modification and training. By providing a stimulus phrase which comprises two or more stimuli in known combinations it is possible to provide keyed association with previous training regimes.
US07909751B2 Method for sterilizing a centrifugal separator
A centrifugal separator includes a sample line and a rotating apparatus portion including a rotor that has a rotor chamber. The centrifugal separator centrifugally separates a sample by supplying the sample from the sample line into the rotor and driving to rotate the rotor in the rotor chamber and discharges the centrifugally separated sample from the rotor via the sample line. The centrifugal separator includes a sterilizing apparatus for sterilizing at least a portion with which the sample is brought into contact by making a sterilizing fluid flow through the sample line.
US07909750B2 Method and apparatus for feeding large packages or bags into a reclosable zipper profile attaching device
A device is provided for feeding horizontally oriented packages or bags at a regular interval to a zipper profile attaching device. The loose packages or bags are placed onto a servo-driven lugged chain conveyor wherein the lugs are evenly spaced at the nominal desired center-to-center spacing of the packages or bags. The servo-driven lugged chain conveyor feeds the packages or bags to be captured between upper and lower secondary constant velocity conveyors. The lower secondary constant velocity conveyor includes an encoder which is used to slave the servo of the servo-driven lugged chain conveyor, with an adjustable programmable ratio. This achieves a consistent center-to-center distance of the horizontally oriented packages or bags which are then fed to a zipper profile attaching device.
US07909748B2 Exercise apparatus
An exercise apparatus may include a swivel and one or more elongated members, such as ropes or cords, suspended from the swivel with a support, such as a bar, for the user suspended between either ends of a single elongated member, or between two elongated members. The height of the support can be adjusted by placing ends of the support bar in loops formed along the elongated member. Hand holds and foot holds may also be mounted on the loops. In addition, the support may be prevented from rotating along its longitudinal axis.
US07909743B1 Dual action weightlifting machine
A dual action weightlifting machine has a stationary frame including a racking portion and spaced vertical guides slidably mounted on opposite sides of the frame for horizontal movement relative to the frame. A horizontally extending exercise bar assembly has spaced first and second vertical slides slidably mounted on the vertical guides, a user engaging portion for gripping by a user, at least one rack engaging portion having a smooth cylindrical surface which directly engages a selected support portion of the rack assembly in a racked position of the exercise bar assembly, and opposite first and second end portions for receiving one or more selected weights. The user engaging portion is located between the opposite sides of the frame and has a central longitudinal axis which is aligned and coaxial with the cylindrical surface of the rack engaging portion.
US07909740B2 Elliptical exercise machine with integrated aerobic exercise system
A combined anaerobic and aerobic exercise system comprises a multi-part frame, for example a telescoping frame, or a pivoting frame. The aerobic system may include an elliptical exercise device, while the anaerobic system may include a cable-based system wherein resistance is adjustable. An electronic console system at the exercise system allows a user to view progress in both anaerobic and aerobic workouts, and to send input signals that adjust anaerobic and aerobic resistance mechanisms.
US07909733B2 Clutch end-of-fill detection strategy
A system and method for controlling a hydraulic transmission uses a solenoid valve having a pressure sensor linked to the valve body operable to sense a hydraulic fluid pressure within a cavity of the valve body and to transmit an electrical signal based on the sensed pressure. The transmitted signal is used to identify the end of fill time, and thus to end a clutch fill phase and commence a clutch modulation or lock-up phase.
US07909722B2 Structure of swing part of industrial robot
Surface abrasion in an eccentric part of a crank pin or breakdown of the crank pin of an eccentric oscillation speed reducer is effectively prevented. A value N obtained by dividing reduction ratio M in an eccentric oscillation speed reducer by a diameter D passing a center of pin teeth is set to be smaller than 0.20. As the results, temperature of lubricant in the eccentric oscillation speed reducer can be depressed below 60° C., even though an output rotation speed at a rated torque of the eccentric oscillation speed reducer has become 28 rpm or more as required from a tact time or so in a factory, whereby a region between the eccentric part of the crank pin and a needle-shaped roller bearing is always lubricated with the lubricant which exerts required lubricating function.
US07909719B2 Belt
A belt assembly is provided for use as an endless belt traveling relative to a frame structure. The belt assembly comprises a first fabric layer and a second fabric layer having an outer surface that at least partially forms a bottom surface of the belt. A composition comprising polyvinyl chloride is adhered to the inner surface of the first fabric layer. A composition comprising polyurethane is adhered to the inner surface of the second fabric layer. A coating composition comprising polyvinyl chloride is disposed between the inner surfaces of the first fabric layer and the second fabric layer for joining the first fabric layer and the second fabric layer. An at least substantially solid lubricant composition is impregnated in the second fabric layer and at least partially forms the bottom surface of the belt.
US07909716B2 Dual-ratchet wheel transmission apparatus
A dual-ratchet wheel transmission apparatus includes: a drive wheel set, having a first drive wheel and a second drive wheel coaxially integrated with a drive shaft; a driven wheel set, being coaxially set with a driven shaft in which the driven shaft is connected to an output for transmission, the driven wheel set including: a first driven wheel being centrally integrated with a unidirectional ratchet wheel, in which the first driven wheel engages with the first drive wheel for transmission, a second driven wheel being centrally integrated with another unidirectional ratchet wheel, in which the second driven wheel is connected to the second drive wheel via a transmission element. By the aforementioned configuration, whether the drive wheel set rotates forward or backward, the transmission set rotates in only one direction.
US07909715B2 Game ball having optimally positioned grooves
A basketball having an outer surface and including a first set of channels formed into the outer surface of the basketball. The basketball further includes a bladder, a carcass and at least one cover panel. The carcass covers the bladder and has an outer surface that defines a second set of channels. The cover panel(s) is positioned over the carcass and over at least one of the channels of the second set of channels. The cover panel(s) generally conforms to the shape of the outer surface of the carcass such that the cover panel defines at least one groove in the outer surface of the basketball corresponding to the channels of the second set of channels. The depth of the groove is greater than or equal to 0.7 mm and less than or equal to 10 mm.
US07909712B2 Method of laying out a baseball diamond
A method of laying out a baseball diamond includes providing home, first, and third bases. A second base includes a measuring tape coupled to a reel. The method includes withdrawing an amount of tape corresponding to a desired distance between second and home bases. A first stake is placed in a home plate hole and the tape is hooked thereto. A second stake is inserted into a second base hole and the tape is extended between the bases. The tape is partially withdrawn into the second base, leaving a desired distance corresponding between the second and first bases. The second base is then rotated about the second stake and the first base is placed at a distance indicated by corner base indicia on the tape. The second base is rotated about the second stake and the third base is placed at a distance indicated by the corner base indicia.
US07909711B2 High performance golf ball having a reduced-distance
A golf ball including a core and a cover layer, wherein the golf ball has a weight of about 1.39 oz to about 1.62 oz, and at a Reynolds number of about 138,000 and a non-dimensional spin ratio of about 0.142, the golf ball has a lift-to-weight ratio of greater than about 0.9 and a drag-to-weight ratio of greater than about 1.2.
US07909709B2 Multi-layer core golf ball having opposing hardness gradient with steep gradient inner core layer
A golf ball comprising an inner core having an outer surface and a geometric center and being formed from a substantially homogenous rubber composition, the rubber composition comprising a base rubber and a resorcinol such that the geometric center has a hardness of 40 to 60 Shore C and the outer surface has a hardness of 70 to 95 Shore C. An outer core layer is disposed about the inner core and has an outer surface having a hardness of 50 to 75 Shore C, an inner surface having a hardness of 51 to 76 Shore C, and is formed from a substantially homogenous composition. A cover layer is disposed about the outer core layer. The hardness of the inner core outer surface is greater than the hardness of the geometric center to define a positive hardness gradient of greater than 20 Shore C, and the hardness of the outer core outer surface is lower than the hardness of its inner surface to define a negative hardness gradient of −1 to −5 Shore C.
US07909703B1 Child's bounce toy with safety net
A trampoline apparatus with an attached safety net for use by young children of toddler age that provides them with the ability to stand on the apparatus and bounce up and down on their feet is herein disclosed. The apparatus does not allow a child to flip or jump through the air as do conventional trampolines. The safety bounce apparatus is approximately two (2) to three (3) feet in diameter and is supported with a bouncing surface six (6) inches from the floor. The apparatus provides the child with grasping handles and safety net sides being affixed thereto a plurality of vertical extension rods attached to a padded upper ring. An entry/exit opening is provided in the vertical configuration and is secured with hook-and-loop fastening means. The complete apparatus may be disassembled for storage and transporting and includes a handled carrying case.
US07909697B2 Hand-held interactive game
A game apparatus has a plurality of actuation devices in which at least two of the actuation devices require different mechanical actions for actuation. The apparatus further includes a speaker, a display screen, and a processor coupled to each of the actuation devices and to the display screen and the speaker. The processor outputs a first command signal to the speaker relating to a first actuation device, then outputs a second command signal to the speaker when the first actuation device is actuated, with the second command signal relating to a second actuation device. The processor outputs image signals to the display screen that are representative of the mechanical actions being taken.
US07909696B2 Game interaction in 3-D gaming environments
A gaming machine is described having a gaming controller operable to control one or more games of chance played on the gaming machine. A memory stores 3-D data corresponding to a 3-D gaming environment. Gaming logic renders a plurality of images of the 3-D gaming environment for presentation on the machine's display. The images depict manipulation of one or more aspects of the 3-D gaming environment by a user. A user interface provides user input to facilitate the manipulation of the one or more aspects of the 3-D gaming environment by the user.
US07909691B1 Method and system for selecting winning numbers in a lottery game
Use of the present invention provides a method and system for generating the winning combination of numbers in a lottery game. The method determines a winning number combination from among a plurality of number combination entries submitted by a plurality of players, each of which could submit their entries from a plurality of locations. A unique algorithm assigns significance to the position of the numbers in each number combination entry by presenting the players with a template comprised of a plurality of sections. After all number combination entries have been received, the winning numbers are generated by a hybrid process. In at least one of the sections, the winning selection is the selection in that section that received the least bets among all the possible selections in the current game cycle. The winning numbers for the remaining sections are generated by a traditional random drawing. Concatenating the winning selections in all sections thus forms the winning number combination.
US07909689B2 Methods and apparatus for remote gaming
A gaming apparatus may include a display unit capable of generating video images, a first value input device, and a controller operatively coupled to the display unit and the value input device. The first value input device may be located at a first geographic location. The controller may comprise a processor and a memory, and may be programmed to allow a person to make a wager, to cause a first video image to be generated on the display unit, and to determine a first value payout associated with an outcome of a game. The first video image may represent a first game wagered on the first value input device. The controller may also cause a second video image to be generated on the display unit. The second video image may represent a second game wagered on at a second value input device located at a second geographic location different from the first geographic location.
US07909687B2 Device and method for stripping leaves from herbs and plants
A device and method for stripping leaves from the stems of herbs and plants and a method of stripping leaves using the device. The device includes a container that supports a lower plate carrying a number of closely spaced flexible tines. An upper plate is pivotally attached to the lower plate and is movable toward and away from the tines. A scalper blade, that is attached to the upper plate at an angle, moves with the upper plate such that when the upper plate engages the tines the scalper blade is spaced below the tines, and when the upper plate is spaced above the tines the scalper blade is adjacent the tines. The method of stripping leaves from the stems of plants is practiced with the device.
US07909685B2 Flexible service cart
Service carts are provided that can be advantageously used to hold both diagnostic tools and repair tools and can be flexibly configured or customized for different work environments or worker preferences. The service carts include a cabinet having two side outer-walls, a back outer-wall, a bottom, and a top. The cabinet can include a plurality of configurable storage spaces with each storage space having a height that is approximately an integer multiple of a minimum storage space height. The cabinet can also be configured to provide electrical power into storage spaces in the cabinet. The cabinet top can comprise a plurality of slots adapted to hold mounting brackets adjustable along a length of the slots. The cabinet may include a fan that pulls air into the cabinet through a filter.
US07909681B2 Chainsaw setting machine
A chainsaw sharpener mounted on and forward of the electric motor of a sharpener body (2) via a mounting portion (9) having a guide body (8) with an upper plate face (80) and wall faces (81a to 81e) which have a substantial X-shape as seen in plan view, and which are fit into and along an upper part of the saw chain in alignment with a sharpening angle of either a left or right cutter blade (31, 32) of a saw chain (30). Formed at a substantially central surface of the guide body (8) is an exposure portion made of openings (85, 86) which make it possible to watch, from above, the grinding tool (7) and a cutting edge of a cutter blade to be sharpened. A guide portion (87) presses, from above, the cutter blade to be sharpened so as to prevent the cutter blade from wobbling or tilting, and further to define an accurate cutting edge angle.
US07909671B2 System and method for differentiating pictures and texts
A dual emitting device includes a transparent substrate and an array of pixels. The array of pixels is disposed on the transparent, and each pixel of the array includes at least one first sub-pixel and at least one second sub-pixel. The first sub-pixel includes a first OLED driven by a first TFT, and a first sheltering layer on the first OLED. The second sub-pixel includes a second OLED driven by a second TFT, and a second sheltering layer formed between the transparent substrate and the second OLED.
US07909662B2 Battery clamp for use with top post and side post batteries and methods for using the same
A battery clamp for use with (a) top post terminal connections and (b) batteries with side post terminal connections includes a first and a second jaw handle. The first and second jaw handles each have a handle portion and a clamping portion. The first and second jaw handles are pivotally coupled to each other and are biased with the clamping portions in a closed position. The battery clamp further includes a side post adapter. The side post adapter is coupled to the handle portion of one of the jaw handles. The side post adapter includes a load pad and a volt rod. The load pad has an aperture, through which a portion of the volt rod protrudes.
US07909659B2 Anti-mismating electrical connector and method for manufacting same
An electrical connector (100) includes an insulative housing (20), a plurality of conductive contacts retained in the insulative housing, a shielding member (3) covering the insulative housing and an insulative cover (50) molded outside of the shielding member. The insulative cover has a lever portion (53) extending inside the shielding member and being sandwiched between a portion of the shielding member and the insulative housing. The insulative cover defines a slit (510) on an outer surface (51) thereof for anti-mismating. The insulative cover has a floor piece (511) located below the slit. The lever portion is connected to the floor piece for preventing the floor piece from being cracked.
US07909658B2 Plug for photovoltaic connector cable
The invention relates to a plug connector (1c) with a plug (11) which has a handle element (13) which has at least one snap-in unit (19) and one contact support (14) oriented in the plug-in direction (X), and with a bushing (12) whose handle element (40) forms a contact support holder (45) and an opposing snap-in means (44) corresponding to the snap-in means, said opposing snap-in means cooperates with the snap-in means to secure the plug and the bushing in the connected state, wherein the contact support (14) and the contact carrier holder (45) form mutually corresponding positioning means (23, 49) which allow insertion of the plug into the bushing only with the snap-in means facing the opposing snap-in means. The problem of the invention is to create a plug connector which improves in particular the blind insertion of plug and bushing and thus improves the ease of assembly. This problem is solved by a plug connector with the characterizing features, such that one of the positioning means of the plug or bushing has a guide section (27) which cooperates with an opposing guide section (57) of the bushing or plug, so that during the plugging process, both plug and bushing are forced to move relatively toward each other to attain the corresponding position of the positioning means (23, 49).
US07909657B1 Electrical connector with low-stress, reduced-electrical-length contacts
An electrical connector adapted to receive a mating plug utilizes low-profile jack terminal contacts that can flex in their PCB-anchored base portions, which are substantially parallel to the PCB. Any bend in the distal connecting portion or in the intermediate transition portion of each terminal contact is gradual and forms an obtuse angle, thus minimizing stress concentrations. The contacts preferably are arranged in two oppositely facing and interdigitating rows of four contacts each. In one embodiment, the terminal contacts are anchored to the PCB by a contact cradle that constrains the base portion of each terminal contact at two spaced anchoring locations, allowing the base portion to flex therebetween. In another embodiment, the base portions of the terminal contacts are embedded in at least one elastomeric member, which is fitted to the PCB.
US07909655B2 Connector jack with reduced host PCB footprint assembly-thereof and fabrication method of the same
A connector jack with reduced host PCB footprint and an assembly of the same are provided. The provided connector jack is constructed based on a housing defining at least a front face with a plug receiving cavity on the surface thereof and with a plurality of electrical contacts positioned within the cavity, and a bottom face having a recess area on the surface thereof and adapted for mounting on a circuit board. In the present invention, at least a portion of the housing is made of a transparent or translucent material so that the status indicators located within the recess will be visible through the transparent portion of the front face of the jack housing, and the recess area occupies a substantial portion of the bottom face and allows to place at least one status indicator and at least one additional component on the circuit board and at least partially within the recess area.
US07909653B1 High-speed plug connector with a mounting bracket holding terminals
A high-speed plug connector has an insulating housing, a mounting bracket, multiple first terminals, multiple second terminals and a shell. The first terminals are mounted on the insulating housing. The second terminals are mounted on the mounting bracket. Each terminal has a mounting section, a soldering section and a contacting section. The soldering sections are arranged in a transverse row instead of two rows to make the high-speed plug connector compact and reduce mounting surface areas of a PCB on which the soldering sections is soldered.
US07909649B2 Connection device for local area network
This device includes two connection units and a compensation circuit provided with tracks (4D, 6D) for connecting these units and with capacitive coupling between at least two of the tracks, including a conductor element (36) exhibiting a surface (49) including a portion opposite a surface of a section of a first of the tracks (4D) and another opposite a section of the second of the tracks (6D) with the conductor element (36) which is electrically insulated from the two tracks (4D, 6D).
US07909645B2 Coaxial cable connector housing
A housing is provided for a coaxial cable connector that terminates a coaxial cable. The housing includes a cable-receiving end portion configured to engage an insulating cover of the coaxial cable, a mating end portion configured to engage another coaxial connector, and a base extending between the cable-receiving end portion and the mating end portion. The base is configured to engage an outer electrical conductor of the coaxial cable. The housing also includes an electrical contact. The electrical contact includes an extension extending outwardly from the electrical contact, wherein the extension is configured to engage the outer electrical conductor of the coaxial cable.
US07909643B2 Cassette for a cable interconnect system
A cassette includes a housing having a front and a rear. The housing has a plurality of plug cavities open at the front for receiving plugs therein, and the housing has a rear chamber open to the plug cavities. The cassette also includes a contact subassembly having a circuit board and a plurality of contacts arranged in contact sets coupled to the circuit board. Each contact set is configured to mate with a corresponding plug, where the contact subassembly is loaded into the rear chamber such that the contact sets are received in different corresponding plug cavities. The circuit board is oriented generally parallel to the front of the housing when the contact subassembly is loaded into the rear chamber.
US07909642B1 Outlet center for connecting multiple loads to an auxiliary power source
The present invention is directed to an outlet center for use with a power inlet box to electrically connect one or more loads to an auxiliary power supply connected to the power inlet box. The outlet center is designed to be mounted to an interior surface of a wall with the power inlet box mounted to an exterior surface of the wall. Electrical conductors extend through the wall between the outlet center and the power inlet box. The outlet center includes sockets adapted to receive the plug of an electrical load so that auxiliary power may be fed to the electrical load during primary power unavailability. The outlet center may illuminate when auxiliary power is available to assist a user in locating the outlet center during blackout conditions and may also include an indicator lamp that illuminates when auxiliary power is being provided to an electrical load connected to the outlet center.
US07909641B1 Cable management systems for product display
A cable retraction mechanism for displaying merchandise mounted on a display post in a retail location. The cable retraction mechanism includes a cable assembly having a coiled or equivalent elastically stretchable section. The mechanism also includes a coaxial housing that is connected to a portion of the cable assembly. The reciprocating motion or action of the shuttle within the housing facilitates extension and retraction of the cable assembly in a manner that is independent of the coiled section.
US07909640B2 Console with a storage space
A console (1), particularly for the hospital sector, with a support device having, in particular, two vertical support tubes (2), has an assembly device (25), which is used to mount at least one tray (5) to the support device. The tray (5) has an upper wall (15) and a lower wall (16). There is at least one storage space (17) between the walls (15,16), and the storage space (17) is provided to accommodate electric cable (18), preferably along with a power plug (19), or another line. In this manner, interfering sections of cable or lines are avoided in the work area.
US07909638B2 Electrical connector assembly having connector position assurance device
An electrical connector assembly includes a first and a second connectors to be mated together, and a Connector Position Assurance (CPA) device installed on one of the first and second connectors. The CPA device is fixed to a pre-lock position when shipped together with said one of the connectors and before the first and second connectors are mated. At the pre-lock position, a locking lever on the second connector can be deformed so that primary locking members of the first and second connectors can be engaged. When the first and second connectors are mated, a rib formed on the first connector acts on a release member provided on the CPA device, to allow the CPA device to move to a final lock position at which, a secondary locking member restricts the movement of the primary locking member of the second connector to prevent the primary locking members from being disengaged.
US07909637B2 Coaxial connector with integrated mating force sensor and method of use thereof
A mating force sensing coaxial cable connector is provided, the connector comprising: a connector body; a sensing circuit positioned on a face of an sensor insulator, the sensor insulator located at least partially within the connector body; a capacitive space in immediate proximity with the face of the sensor insulator upon which the sensing circuit is positioned; and a flexible abutment member having a portion thereof forming at least one boundary surface of the capacitive space, said flexible abutment member being movable due to mating forces.
US07909633B1 Wire connection apparatus
A terminal wire block includes a spring clamp and a screw clamp to hold an electrical wire in a fixed position using one or both of the clamps. The spring clamp includes a cage and a spring to push the wire against one of the walls of the cage. The screw clamp includes an externally threaded fastener such as a screw to hold the wire in place when the fastener is tightened. One or both of the spring clamp and the screw clamp may be operated independently of the other one of the spring clamp and the screw clamp. When operated together, the spring clamp and screw clamp provide additional integrity and security to the contact.
US07909626B2 Two-part connector-cover for trailer hitch electrical connectors
The invention is a 2-piece electrical connector cover for protecting electrical connectors having a plug and a socket. A first piece has an internal diameter and an external diameter. The internal diameter is frictionally equivalent to the external diameter of the plug, so that the first piece fits snugly on the plug and protects it. The second piece of the electrical connector cover also has an internal and an external diameter. The external diameter of the second piece is frictionally equivalent to the internal diameter of the socket so that the second piece fits snugly into the socket and protects it. The external diameter of the first piece is frictionally equivalent to the external diameter of the second piece, so that the first piece fits snugly into the second piece, thereby holding the two pieces together when they are not being used to protect the electrical connector.
US07909625B2 Plug locking assembly and system
A system comprises a plug locking assembly and a key. The plug locking assembly comprises a cover for receiving and holding a plug comprising a latch and a latch support surface positionable under the latch. A rotatable cam comprising a stop surface and a slot may carry the latch support surface and may interact with a cam latch comprising an arm. The arm is alternately positionable to abut the stop surface and to lie within the slot. The key may comprise a shaft, a key tab and a limit tab. The key mates with a key receiving member associated with the cover. The limit tab is positioned on a top surface of the shaft and helps prevent over-rotation of the latch support member.
US07909618B2 Board to board connector with an offset mounting profile
A board to board connector (1) includes an insulating housing (2) and a plurality of terminals (3) formed on said insulating housing (2), the connector (1) forms two mating faces (251,252) relatively offset from each other inside of the insulating housing (2). Each terminal (3) has two elastic-ends, each end (31,32) protruding from the respective mating faces (251,252). The board to board connector (1) receives a circuit board on the first mating face (251) and a circuit board on the second mating face (252). Because of this offset of the board to board connector (1) design, the two boards are arranged such that the total height of board mounting profile is reduced.
US07909616B2 Hybrid connector having different contacts for engaging with different types of packages
A hybrid connector used for connecting different package modules includes an insulative housing, a plurality of first type of contacts, and a plurality of second type of contacts. The insulative housing has a first area with a plurality of first openings and a second area with a plurality of second openings. The first contacts and the second contacts are received in the first and the second openings respectively. The first and the second contacts both have a base received in the opening, a spring portion upward extending from the base. A lower portion downwardly extends from the first contact base, and a soldering pad downwardly extends from the second contact base. The first contacts connect with one package module and the second contacts connect with another package module.
US07909615B1 Torsionally-induced contact-force conductors for electrical connector systems
An electrical connector. An electrical connector comprising a connector body having a first channel and a first conductive element extending through the first channel in a first tip section. The first tip section having a first moment arm that, when forced in contact with a first conductive surface, twists the first conductive element to produce a torsion force. The torsion force holds the first tip section in contact with the first conductive surface.
US07909612B2 RF connector mounting means
A mounting means (1) for RF connectors (6) to be connected to a substrate (20) comprises a base plate (2) having an upper surface (2a) and a lower surface (2b). The base plate exhibits electrically leading material at least on part of its surfaces. Ground pads (3) are provided on the upper surface (2a) of the base plate (2) and arranged for support and electrical contacting to the substrate. A plurality of through holes (4) for electrically isolated reception of inner conductors (5) of RF connectors. By means of this mounting means, quick, secure, and space-saving mounting of RF connectors is achieved.
US07909611B2 Method for preventing damage to a memory card
A memory card connector, within a slot of a host device, for receiving a first memory card having a first row of contact fingers and a second row of contact fingers and a second memory card having only a single row of contact fingers. The memory card connector includes a first row of contact pins, a second row of contact pins and a protrusion. The first row of contact pins are configured to mate with the first row of contact fingers of the first memory card. The second row of contact pins are configured to mate with the second row of contact fingers of the first memory card. The protrusion is received within a contact finger in the second row of contact fingers of the first memory card to allow full insertion of the first memory card into the connector, and abuts against a distal end of one of the contact fingers of the second memory card to prevent full insertion of the second memory card into the connector.
US07909610B1 Computer-aided system of orthopedic surgery
A computer aided system of orthopedic surgery is disclosed and omnidirectional osteogenesis is provided as an example thereof. To perform this surgery a craniofacial anatomic surgical simulator (CASS) is described, in which simulator a stereolithographic medical model is mounted. The medical model hereof is modified for this purpose so that pre-operative intra-oral devices, including custom-fitted fixation plates, can be crafted. An occlusal splint formed on the stereolithographic model acts as an armature for a docking bar which is, during the surgical operation, rigidly affixed to the fixation plate(s). The CASS, in one embodiment hereof, includes an indexing means for alignment of the stereolithographic model. The CASS also simulates the temporomandibular joint and fixedly mounts segments of the model in a post-operative condition.
US07909608B2 Word problem solving apparatus
The present invention provides an apparatus that assists a student with learning how to solve word problems, including cash flow type problems, by using the sense of touch to establish a greater pattern of formula recognition and usage. The word problems include a finite set of constant values for a predetermined number of variables. The apparatus includes a preliminary equation that is presolved for a particular set of values. A final equation is also presolved for the particular set of values. The preliminary equation and final equation are movably placed onto a work area which preferably includes a measurement line.
US07909603B2 Self-ligating orthodontic bracket
An orthodontic bracket having a bracket body configured to be mounted to a tooth includes an archwire slot having a base surface defining a base plane and a slide engagement track defining a translation plane. The translation plane is angled with respect to the base plane. A ligating slide is engaged with the slide engagement track of the bracket body and movable along the slide engagement track and parallel to the translation plae between an opened position, in which an archwire is insertable into the archwire slot, and a closed position, in which the archwire is retained within the archwire slot. The translation plane is angled with respect to the base plane so as to prevent the ligating slide from contacting the gingiva surrounding the tooth when the ligating slide is moved to the opened position.
US07909597B2 Cure growth control apparatus
In one embodiment, an apparatus for curing a composite assembly may include a base tool, a growth control apparatus, and at least one engagement filling. The base tool may have a different thermal expansion growth rate than a composite assembly to be cured using the apparatus. The growth control apparatus may have a different thermal expansion growth rate than the base tool. The engagement fitting may be movably disposed relative to the base tool and connected to the growth control apparatus. The engagement filling may hold a composite assembly being cured against the engagement filling. The growth control apparatus may grow a pre-determined amount during curing of a composite assembly to produce a cured composite assembly having a pre-determined design dimension.
US07909590B2 Reciprocating component-free kinematic motion apparatus for transforming pressure variations of a fluid operating in cyclically variable volume toroidal chambers into a mechanical work on a rotary axis and engine including said apparatus
In a cat and mouse type apparatus for transforming volume variations of a plurality of chambers into a rotary motion of an axis, all of the driving mechanism is housed in a cylindric cavity coaxial with the driving axis, between the cylindric cavity, a smaller diameter inner coaxial cylinder and two discs perpendicular to the axis, a toroidal cavity in which are housed rotary pistons, each longitudinally traversed by an axis and having a circular sector in cross-section shape, the rotary pistons rotating to form cavities defined therebetween, also having a substantially circular shape in cross section, where the cavities cyclically change their volumes and an apparatus driving shaft is rotatively driven.
US07909589B2 Downhole pumps with sand snare
A downhole pump has a barrel and a plunger which reciprocate with respect to each other. The plunger has a first portion with a first seal, a second portion and a third portion with a second seal. The second portion is intermediate the first and second portions. A balancing chamber is formed between the plunger second portion and the barrel. The balancing chamber communicates with the plunger interior by way of an opening. A sand snare chamber is provided with respect to the opening so as to prevent sand from contacting the seals in the balancing chamber.
US07909580B2 Vanes for exposure to vibratory loading
Disclosed are methods for designing vanes that, in use, are expected to be exposed to vibratory loading, in particular rotor or stator vanes for aero engines or turbomachinery. A quantitative characteristic (modeshape) is used which is a measure of correlation between the excitation force to which the vane is to be subjected in use and a vibrational mode of the vane, corresponding to a characteristic frequency of the excitation. The modeshape of the first design is determined. Then the first design is modified to give a second design, by one or more of leaning, sweeping or twisting the blade design, or by altering the local shape of the design, or by altering the material of the design. Then the modeshape of the second design is determined. The design modification gives rise to a reduction in the forced response levels.
US07909579B2 Rotor head for a twin-rotor helicopter
A rotor head includes a hub and two joint members. The hub has opposite tubular end portions, each formed with a socket that extends inwardly from an end face thereof along a tube axis and that has inner and outer socket sections. Each of the joint members includes a link and a vibration absorbing component. The link has a hub coupling segment retained rotatably in the inner socket section of a respective socket, an intermediate segment extending from the hub coupling segment and disposed movably in the outer socket section of the respective socket, and a blade coupling segment extending from the intermediate segment and outwardly of the outer socket section. The vibration absorbing component is mounted on the intermediate segment, and is disposed in the outer socket section.
US07909573B2 Casing cover in a jet engine
A casing cover for enclosing a casing in a jet engine is disclosed. The casing cover includes two coaxial shells arranged one inside the other and radial envelopes which connect the two coaxial shells. Radial arms of the casing extend inside the radial envelopes. The cover is fastened at its downstream end to a first element of the casing and axially abuts at its upstream end on a second element of the casing. In a free state, an axial dimension of the cover of less than the axial distance of the casing between the point where the first element of the casing is fastened to the downstream end of the cover and the point where the second element of the casing axially abuts the upstream end of the cover such that the cover is tensioned axially when it is mounted on and fastened to the casing.
US07909570B2 Interturbine duct with integrated baffle and seal
An integrated duct, baffle and knife edge seal arrangement employing the radially inner distal edge of the baffle for sealing the radially inner stator/rotor interface of an inter-stage cavity.
US07909569B2 Turbine support case and method of manufacturing
The turbine support case comprises a first annular portion, a second annular portion, and a third annular portion connected between the first and the second portion. The third portion has an average wall thickness smaller than an average wall thickness of the first and the second portion.
US07909568B2 Counter-rotating axial-flow fan
A first motor rotates, in one of two rotating directions, the first impeller including a plurality of front blades in a suction opening portion of a housing. The second motor rotates, in the other rotating direction opposite to the one rotating direction, the second impeller including a plurality of rear blades in a discharge opening portion of the housing. A plurality of stationary blades are arranged between the first impeller and the second impeller in the housing. When the number of the front blades is N, that of the stationary blades is M, and that of the rear blades is P, their relationship is defined as N>P>M. A length L1 of the front blades measured in an axial direction is set longer than a length L2 of the rear blades measured in the axial direction.
US07909564B2 Gas turbine and gas turbine cooling method
A gas turbine includes a nozzle vane and a sealing unit engaged with the nozzle vane inside a turbine supplied with combustion gases produced by mixing and burning air for combustion and fuel. The nozzle vane and the sealing unit are disposed in a channel of the downward flowing combustion gases on the outlet side of a gas path. A plurality of engagement portions between the sealing unit and the nozzle vane are provided successively from the upstream side toward the downstream side in a direction of flow of the combustion gases, and a downstream one of the plurality of engagement portions has a contact interface formed in a direction across a turbine rotary shaft. A reduction in the thermal efficiency of the gas turbine can be suppressed.
US07909562B2 Material handling apparatus
A material handling apparatus for use with a material carrier board including an aperture, the material handling apparatus being configured to couple to a lifting portion of a lift truck that includes forks, the material handling apparatus including a mount configured to be coupled to the lifting portion of the lift truck, a backrest having a bottom edge and a front face, a scissor structure coupled to the mount and to the backrest, the scissor structure being configured to operate between extended and retracted states, wherein when the scissor structure is operated from the retracted state to the extended state, the backrest is extended from a proximal end of the forks towards a distal end of the forks, the stop plate being attached to the backrest such that the horizontal planar portion is substantially perpendicular to the front face of the backrest, the vertical planar portion is substantially parallel to and offset from the front face of the backrest, the horizontal planar portion of the stop plate forming an aperture, a first actuator coupled to the mount and the scissor structure being configured to actuate the scissor structure between the extended and retracted states, a second actuator coupled to the backrest, a stud and configured to operate between extended and retracted states, the stud being configured to extend through the aperture formed in the carrier board when the stud is actuated from the retracted to the extended state, wherein the material handling apparatus is configured to pull the carrier board onto the forks by, when the stud is in the extended state, actuating the scissor portion from an extended state to a retracted state.
US07909558B2 Overhead vehicle storage system
A system and method for the safe storage of vehicles wherein a storage structure is provided having a first section in which vehicles are stored in vertically oriented cells with each vehicle being carried within a vehicle storage unit such that the units may be stacked one upon another and wherein at least one transfer vehicle is provided for selectively engaging and conveying the vehicle storage units along an overhead grid track system between the first section and a second section wherein the grid track system is at least partially oriented over a roadway such that the at least one transfer vehicle may be used to either lift or lower a vehicle contained within a vehicle storage unit relative to the roadway and move the vehicle storage unit to and from a storage position in one of the vertical storage cells of the first section of the storage structure.
US07909555B2 Tool for making easy open can end with high pressure venting
An improved convenience closure that is adapted for sealing an end of a can includes an end panel having a peripheral score, a rivet formation, a mustache score and a flex line score defined therein. The mustache score may include a central portion that is positioned radially inwardly on the end panel relative to the rivet formation. The central portion is preferably curved, with a concave side of the curvature facing the rivet formation. The mustache score also may include first and second preferably symmetrical end portions, each of which is continuous with the central portion. Most of the total length of each of the end portions may be curved away from a nearest portion of the peripheral score, with a convex side of curvature facing the nearest portion of the peripheral score. Tooling for making the convenience closure and a method of making such a convenience disclosure are also disclosed.
US07909554B2 Inflatable bag-in-bag cargo dunnage bag fabricated from polywoven material
An inflatable cargo dunnage bag comprises an inflatable bladder, a first inner bag, and a second outer bag, wherein the inner and outer bags are fabricated from polywoven thermoplastic material so as to render the dunnage bag light in weight and moisture resistant whereby the dunnage bag can be used in the maritime industry. The first inner and second outer bags are also provided with exterior coating so as to enhance the impact resistance, perforation resistance, and abrasion resistance of the dunnage bag. The dunnage bag is also provided with handles which not only facilitate the manipulation and handling of the dunnage bag, but in addition, permits the dunnage bag to be suspendingly attached to cargo loads so as not to be displaced from the cargo loads.
US07909552B2 Rotatable partition system for a freight carrying enclosure
A flexible system is provided for preventing freight damage during shipment. The system has adjustable and interlocking hinged panels that are attached to the side walls of a shipping enclosure, so that the system can be readily adapted to secure different types and sizes of loads. One embodiment of the invention, provided for use with a freight carrying enclosure that has an internal wall, includes first and second panel segments that each have an edge. A first mounting structure supports the first panel segment for rotation about a vertical axis, between a first position wherein the first panel segment is in perpendicular relationship with the wall, and a second position wherein the first panel segment is in abutting relationship with the wall. A second mounting structure joins the first and second panel segments together along their respective edges, and enables rotation of the second panel between vertical and horizontal orientations. A support structure selectively maintains the second panel segment in its horizontal orientation.
US07909543B2 Insert clamping wedge and insert-detachable type cutter
An insert clamping wedge for clamp-fixing a cutting insert, seated in an insert attachment seat formed in a cutter body, to a recessed portion formed in the insert attachment seat is provided, the insert clamping wedge including: a wedge body, wherein the insert clamping wedge is pressed into the cutter body, wherein the wedge body includes a clamp surface which comes into close contact with the cutting insert and a wedge surface of which a gap between itself and the clamp surface becomes smaller in a press-insertion direction of the wedge body, and wherein the section perpendicular to the press-insertion direction of the wedge body from the wedge surface to the clamp surface being formed in a bell shape.
US07909542B2 Mine support
A mine support having a ductile metal sleeve, an interior of which is filled with a first aerated cementitious material of a first density which extends over at least 60% of the axial length of the sleeve. The interior of the sleeve is also filled with a second aerated cementitious material of a second density, which is less than the first density, and which fills the remainder of the interior of the sleeve.
US07909541B1 Apparatus and method for improved grout containment in post-grouting applications
A structural assembly and a method are disclosed for an improved foundation element post-grouting technique incorporating a piston arrangement that consists of a barrel at the base of a pier or pile. Grout is pumped into the barrel via one or more conduits and the pressure from the grout exerts downward pressure on the barrel forcing it into the geomaterial below the foundation element and increasing the load bearing capacity of the foundation element. This assembly and method functions to contain the grout within the target grout area beneath the foundation element while simultaneously providing a means for measuring the strength of the geomaterial below the foundation element and the strain and movement associated with the geomaterial and the pier or pile.
US07909539B2 Rod pulling and pushing machine for pipe bursting
A rod pushing and pulling machine includes at least one hydraulic cylinder having a front end thereof engagable with a reaction surface at an entry opening of a existing pipeline or borehole, a spindle assembly, and a dual vise assembly. The spindle assembly includes a frame, a spindle shaft rotatably mounted in the frame, a distal end of the spindle shaft being threaded for engagement with a mating thread of a rod, a drive system for rotating the spindle shaft in threading and unthreading directions, the spindle frame being secured to a rear end of the hydraulic cylinder for pushing or pulling of a rod string engaged to the spindle shaft upon extension or retraction of the hydraulic cylinder, and a support assembly for the spindle shaft. The support assembly includes a set of roller bearings rotatably supporting the spindle shaft, a radial flange on the spindle shaft, and a load flange secured to the spindle frame positioned to engage the radial flange, whereby the radial flange comes into engagement with the load flange during pulling operation to prevent rotation of the spindle shaft during pulling operation, and leaves engagement with the load flange during pushing operation so that the spindle shaft may rotate during pushing operation supported by the roller bearings. The dual vise assembly has two pairs of separately actuable jaws positioned to grip a rod nearest the spindle shaft and a rod adjacent the rod nearest the spindle shaft.
US07909538B2 Pipe laying vessel and methods of operation thereof
A pipelaying vessel comprises storage (502) for a number of pipe units to be assembled into a pipeline and S-lay apparatus (312, 314, 316) for fabricating and paying out of a pipeline by repeated addition of new sections (506). The end of said pipeline being laid is held aligned with a fabrication axis closer to horizontal than vertical, the S-lay apparatus including a stinger (312) for supporting the pipeline in an arcuate path to a departure axis which is more vertical than horizontal. Auxiliary apparatus (318/810) is provided for use in fitting singular items to one end of the pipeline. The supporting structure (320/802) of said auxiliary apparatus is located above a lower end of the stinger of the S-lay apparatus, substantially aligned with said departure axis, so as to facilitate handing over of the suspended pipeline and singular item from one of said apparatuses to the other. The auxiliary apparatus may be an A-frame (810) or a complete J-Lay apparatus (318-322) for additional laying tasks. The fabrication axis may be located above and to one side of the main deck, permitting a large radius stinger to be carried. The vessel and/or its design may be adapted from a standard container vessel.
US07909536B2 Wave energy converter
A point absorber wave energy apparatus is provided. The point absorber wave energy apparatus includes first and second devices. The first device being a surface float. The second device a submerged body. Linkages are provided between the first and second devices so that energy resulting from relative motion between the two devices is extracted. The apparatus may be tuned to the prevailing sea conditions.
US07909533B2 Apparatus for screeding uncured concrete surfaces
A wheeled screeding device includes a wheeled support having a frame portion supported by at least one wheel, with the at least one wheel defining an axis of rotation. A screed head is mounted to the frame portion and is balanced such that the screed head is at least partially supportable on an uncured concrete surface. The screed head is adapted to impart a force onto the uncured concrete surface. An adjustment device may be operable to adjust a balance of the wheeled support about the axis of rotation to adjust the force imparted by the screed head. The screed head may be pivotable about an axis generally normal to the axis of rotation of the wheel and relative to the at least one wheel to adjust an angle of the screed head relative to the axis of rotation of the wheel.
US07909532B2 Mounting apparatus for infrared heating device
A mounting apparatus for an infrared heating device comprising a platform attached to a frame of an infrared heating chamber, at least one telescoping member affixed to said platform, and at least one attachment component which fixedly attaches said at least one telescoping members to said truck frame and allows said support bar to be moved along a horizontal axis at varying positions from the back of a vehicle.
US07909529B2 Painting tool having adjustable masking guide
A hand-held paint tool has an integral adjustable masking guide adjacent to at least one edge of a painting material such that paint being applied to a surface is not simultaneously applied to adjoining or abutting surfaces. The paint mask guide is adjustable through the action of a lever or trigger connected thereto and positioned under a handle of the painting tool.
US07909528B2 Support for coating instrument
A support for a coating instrument includes an advertising image. The support is movable between a non-extended position and an extended position in which the support projects outward away from the shaft for contact with a surface on which the instrument is placed. The advertising image may be formed on a substrate that is adhered on an outer surface of the support. Alternatively, the support may be configured as a three-dimensional image.
US07909527B2 Fluid dispenser
A dispensing container for application of a fluid film forming substance to a surface, particularly a therapeutic film to a user's skin comprising a reservoir of a fluid film forming substance, a dispensing nozzle for the film forming substance, and a pump to send the substance from the reservoir through the dispensing nozzle. The dispensing nozzle comprises an elongate slot shaped aperture, and the dispensing container is adapted, particularly by a support extending from the side of the dispenser, so the nozzle can move adjacent to the surface in a direction transverse to the length direction of the slot whilst the substance is flowing through the aperture.
US07909526B2 Grill brush
A grill brush having a brush handle with a handle end and a brush end, the brush head having a fluid reservoir, a brush handle conduit and a valve located therein; a reservoir cap removeably attached to the handle end of the brush handle; the valve being in fluid communication with the reservoir; the brush handle conduit being in fluid communication with the valve; a brush head; a bristle holding member; a brush bristle surface located on the bristle holding member; a brush head conduit located in the brush head, and in fluid communication with the brush handle conduit; a plurality of groups of bristles emanating from the brush bristle surface; a plurality of orifices located on the brush bristle surface, and in communication with the brush head conduit; and a scraper is provided herein.
US07909525B2 Article, in particular a writing implement, having a gripping zone with raised structures
An article, in particular a writing implement, has a gripping zone with raised structures. In which case, in a region of the gripping zone, the article is coated with a film which has the raised structures on its surface. In particular, the adherence of the raised structures to the surface of the article is improved.
US07909522B2 Portable printer with adjustable media tray
A portable printer includes a housing having a cavity defined therein which supports a roll of stock material for printing indicia thereon. The housing has a drive motor assembly configured to move the stock material through a paper path defined in the housing. A battery is loadable within a battery compartment disposed in the cavity and a cover assembly is pivotably supported on the housing and moveable from an open configuration for loading the roll of stock material to a closed configuration to enable printing. A selectively adjustable media support assembly supports the roll of stock material and includes a paper tray having a cam-shaped stanchion which is slideable within a media support tray from a first position for supporting a roll of stock material of a first size to a second position for supporting a roll of stock material of a second size. The cam-shaped stanchion is also rotatable from a first orientation which allows selective, sliding adjustment of the paper tray and cam-shaped stanchion to a second orientation which locks the cam-shaped stanchion against the media support tray.
US07909515B2 Double row ball bearing and differential gear device
The difference between a revolution period of larger diameter-side balls and a revolution period of smaller diameter-side balls is smaller as compared with a conventional bearing. The relation, (β−10)≦α<β, is established, where α(°) represents a contact angle of the larger diameter-side ball, and β(°) represents a contact angle of the smaller diameter-side ball.
US07909513B2 Rolling bearing device
A groove is formed on one end face side of a cage including a plurality of pockets for holding individually rolling elements which extends circumferentially along a full circumference of the cage about a rotational center thereof and has a bottom portion which communicates individually with the plurality of pockets, and a distal end portion of a nozzle of an oil supply unit is inserted into the groove, whereby the generation of disturbance to airflow and negative pressure in the vicinity of an opening of the nozzle is suppressed when a rolling bearing rotates, so that lubricating oil supplied from the nozzle is allowed to reach the rolling elements in an ensured fashion.
US07909510B2 Radiation photographing apparatus
A radiation photographing apparatus includes: a housing in which an opening portion for allowing ventilation of the inside of the housing with ambient air is formed at a side surface of the housing; a covering member provided at the side surface of the housing, that is made of an elastic material which absorbs impact acting from the outside of the housing, and covers the opening portion; and an opening and closing mechanism that opens and closes the covering member with respect to the opening portion is provided so deterioration of constituent components caused by external impact and heat is suppressed with a small number of components.
US07909509B2 Sensor configuration for temperature measurement
A sensor configuration (1) for measuring the temperature of a surface, in particular of a screen (2), comprises a temperature sensor (3) which is disposed on a circuit board (4) and is positioned in the area of a front face (4′) of the circuit board (4) in the vicinity of the surface to be measured, and a further circuit board (6). The circuit boards (4) and (6) are connected by means of at least two connecting elements (5), at least one of which is designed in a resilient fashion.
US07909506B2 Virtual temperature measuring point
The invention relates to a method for determining a temperature profile and the integral mean temperature and/or axis temperature in a thick wall or shaft. In order to determine a mean integral wall temperature during heating or cooling processes in a multilayer model, the mean integral wall temperature is calculated from the mean temperature of each layer. A multilayer model is used for determining the mean integral wall temperature during heating or cooling processes and draws upon the mean temperature of each layer.
US07909504B2 Open-loop vertical drywell gradient correction system and method
A system and method are disclosed for controlling a drywell including a receiver having upper and lower ends with the lower end being more insulated than the upper and having a temperature sensor in thermal contact therewith. Upper and lower heaters are in thermal contact with the upper and lower ends respectively. A controller includes an integrated circuit having a temperature sensor. A reading from the integrated circuit is used to control power to the upper heater and reduce a temperature gradient between the upper and lower ends of the receiver.
US07909499B2 LED track lighting module
A track light module for use with a low-voltage track carrying a pair of elongated conductors transversely spaced from each other and accessible through a longitudinal slot in the track. The module includes a first housing that contains a printed circuit board carrying an LED and forming an aperture permitting light from the LED to be radiated beyond the first housing. A second housing carries a pair of electrical conductors adapted to extend into the low-voltage track to make contact with the conductors within the track. The second housing also includes surfaces for fastening the second housing to the track when the second housing and the track are moved relative to each other. A pair of electrical contacts on the printed circuit board contact the conductors carried by the second housing and thereby receive electrical power for the LED. A connector attaches the first and second housings together.
US07909496B2 Lighting system for creating an illuminated surface
The present invention combines point light sources in combination with a light guide element and light redirecting elements into a system which can emit light across one or more extended surfaces of the light guide and may be designed to be able to emit light uniformly across the extended surfaces. The system comprises one or more light-emitting elements and a light guide in which are defined one or more voids. The light-emitting elements are optically coupled to the light guide by positioning them adjacent to a surface of the light guide, or by positioning them proximal to one or more of the voids. One or more light-emitting elements can be optically coupled to one void such that they emit light into the light guide substantially through that void and not through any other void of the one or more voids. Optically coupled to the light guide are a plurality of light redirecting elements for altering of the propagation of light through the light guide, thereby enabling light to be emitted from the surface of the light guide in a desired pattern, for example in a uniform illumination pattern.
US07909495B2 Vehicle headlight having component inlaying portions and radiator body
A vehicle headlight is arranged to prevent moisture from entering into a lighting circuit and a lighting space. A back cover has a cover main body 19 fitted into a fitting portion 5 of a lamp body 2 and made of resin material and connection terminals 20 embedded in the cover main body (except a part of the terminals) and made of metal material and in which the cover main body and the connection terminals are molded integrally. Component inlaying portions in which electronic components that constitute a lighting circuit of a discharge lamp and are connected electrically to the connection terminals are inlaid respectively are provided to the cover main body. A part of the connection terminals protruding from the cover main body is formed as connector terminal portions of a power supply connector for supplying power to the discharge lamp.
US07909494B2 Side directional signal for vehicle
A side directional signal includes a base and a cover that together define a compartment receiving a circuit board on which first and second groups of light-emitting diodes (LEDs) are mounted. Mounted between the LEDs and the cover is a light-guiding plate including an inner face with a plurality of semispheres aligned with the LEDs. The light-guiding plate further includes an outer face with grooves. A portion of the semispheres concentrates the light beams emitted from the first group of LEDs to provide enhanced brightness. The concentrated light beams transmit through the outer face and then the cover after being reflected by sidewalls of the grooves. A reflective plate is mounted between the first and second groups of LEDs. The light beams emitted from the second group of LEDs are concentrated by a remaining portion of the semispheres, reflected by the reflective plate, and transmitted through the cover.
US07909491B2 Chain support
A chain support (10) is provided which includes a substantially planar body (12) which defines a plurality of seats (14) spaced apart in a predetermined configuration, and a plurality of passages (20) from the seats (14) to the edges (18) of the body (12). A plurality of chains (22) may be suspended from the body (12), one from each seat (14), so as to create a curtain (36) of chains (22). The support (10) with chains (22) suspended therefrom may be used as a lampshade (42), curtain, or the like.
US07909490B2 Lighting apparatus
The present invention provides a lighting apparatus with an elongated housing which accommodates a light source. The housing may be pivoted to rotate between a stored position and a deployed position. The housing may be maintained at a plurality of desired positions within its range of rotation. In addition, according to a feature of the invention, the elongated housing may be relatively rigid, yet also articulated, so that it can flex transversely in response to an applied force. In an embodiment, the housing may be provided with a swivel portion that can be used to change the direction of the light. Various electrical features may be provided as well, such as a brightness control feature and an auto-off feature that switches off the light in the stowed position.
US07909486B2 Light emitting device package and backlight unit and liquid crystal display device using the same
A light emitting device package and other devices using the light emitting device package are discussed. According to an embodiment, the light emitting device package includes a package body; at least one light emitting device disposed in the package body; at least one pair of leads electrically connected with the light emitting device; and a lens over the light emitting device and having at least one recess at an upper portion of the lens, the shortest distance from the light emitting device to a lowest portion of the recess being greater than approximately D1/7 and smaller than approximately D1/2.4, wherein D1 is a diameter of the lens.
US07909479B2 Lighting arrangement and solid-state light source
The invention relates to a lighting arrangement for illuminating a surface. The lighting arrangement has a supporting element and a lighting unit (1) which is supported by the supporting element. The lighting unit (1) has a housing (2) which is designed to accommodate a solid-state light source (4). The housing is also transparent on at least one side. The solid-state light source (4) is suitable for generating light having wavelengths from a first wavelength region and a second wavelength region. The first wavelength region comprises wavelengths of 500-550 nm. The second wavelength region comprises wavelengths of 560-610 nm. The lighting unit (1) is designed to generate light having a dominant wavelength from the first wavelength region in such a way that the eye sensitivity of the human eye is dominated by rods.
US07909473B2 Method for operating a high-intensity discharge lamp, lamp driver and projection system
There is described a method for operating a high-intensity discharge lamp (2), in which the high-intensity discharge lamp (2) is acted upon by an AC current (I) which commutates between positive pulses (Ip) and negative pulses (In) with a predefined current amplitude (IA), wherein a pulse width ratio between the pulse width of a positive pulse (Ip) and the pulse width of an adjacent negative pulse (In) is modulated in such a way that the mean current value (I) of two successive positive and negative pulses (Ip, In) fluctuates periodically between positive and negative values. In addition, the value of the current amplitude (IA) is modulated in accordance with a predefined modulation function (M). A description is also given of a corresponding lamp driver (10) for a high-intensity discharge lamp (2) and of a projection system (1) comprising such a lamp driver (10).
US07909469B2 Image projecting apparatus and image projecting method for use in the same
Disclosed herein is an image projecting apparatus, including: a one-dimension type light modulating device for modulating a light in accordance with image information; a projection optical system; and a light deflecting device for deflecting an image light in a direction approximately perpendicular to an extension direction of a one-dimensional image light emitted from the one-dimensional light modulating device; wherein the light deflecting device is composed of a first light deflecting device arranged between the projection optical system and the one-dimension type light modulating device, and a second light deflecting device arranged on an emission side of the projection optical system; the second light deflecting device is detachably mounted.
US07909465B2 Characterising eye-related optical systems
An instrument and method for characterizing eye-related optical systems, including the live human eye involves scanning an illuminating light beam from a light source and light detector unit from element to element of a beam deflector array of elements arranged laterally across the optical axis of eye. At each successive element the illuminating beam is deflected to form an interrogating beam that is directed into the eye at a peripheral angle that depends upon the lateral location of the deflector element. A return beam is reflected or back-scattered from the cornea and returned via the same deflector element to the light source and detector unit. This allows the interrogating beams to be scanned sufficiently rapidly into the eye to greatly reduce the variation of eye fixation and gaze that accompany other methods of measuring peripheral refraction or aberration of a natural eye. In addition to or instead of scanning the illuminating beam over each element of the array, all or multiple elements of the array can be illuminated simultaneously and the multiple interrogating rays thus generated can be gated by the use of an LCD aperture plate. Alternatively, an LCD aperture plate can be interposed between a wide illuminating beam and operated to selectively illuminate the beam deflector.
US07909463B2 Method for determining vision defects and for collecting data for correcting vision defects of the eye by interaction of a patient with an examiner and apparatus therefor
Apparatus for determining defects of the retina of the eye by interaction between a patient and an examiner, which apparatus comprises an electronically-controlled, adaptive optical system configured to form an image in the eye of the patient.
US07909458B2 UV-absorbers for ophthalmic lens materials
1,4-disubstituted-1,2,3-triazole UV absorbing monomers are disclosed. The UV absorbers are particularly suitable for use in intraocular lens materials.
US07909454B2 Inkjet printing press
An inkjet printing press is provided which can hold sheets extremely stably. In an inkjet printing press, an inkjet head 3 is provided at the outer periphery of a printing drum 1 rotated in one direction. The printing drum 1 is provided with holding claws 15 which are opened and closed to hold the leading end of the sheet S, and clamps 21 which can be opened and closed for clamping the trailing end of the sheet S. When the clamps 21 are moved from their open positions to their closed positions, the clamps 21 are moved backward with respect to the rotating direction of the printing drum 1 to impart a tensile force to the trailing end of the sheet S and tighten the sheet so that the trailing end of the sheet is held by a clamp 21 with the sheet in its tight state. Also, the clamp 21 is brought into contact with the periphery of the printing drum 1 by an attracting device to assure the holding of the sheet.
US07909446B2 Water-based inks for ink-jet printing
There are provided a water dispersion for ink-jet printing which contains a water dispersion of particles of a vinyl polymer or a polyester-based polymer which contain a colorant (B), and a water-insoluble organic compound (A) except for aliphatic acid derivatives; a water-based ink containing the water dispersion; a print obtainable by printing images or characters with the water-based ink; and a process for producing the water dispersion. Also, there are provided an ink set for ink-jet printing containing two or more kinds of inks having different colors from each other wherein the inks each contain a water dispersion of particles of a vinyl polymer or a polyester-based polymer which contain a colorant (B), and a water-insoluble organic compound (A) except for aliphatic acid derivatives; and a process for producing a print using the ink set. The water-based ink can provide multi-order color images or composite black images which exhibits a high optical density and is excellent in gloss and image clarity.
US07909444B2 Liquid discharge cartridge and liquid discharge apparatus
A head cartridge has a print head which discharges ink, and an ink tank which contains ink which is supplied to the print head. A sensor which detects residual ink amount in the ink tank is provided in the ink tank. The print head includes a detection circuit which detects the presence of residual ink amount using the sensor, a judgment circuit which judges the presence of residual ink amount on the basis of an output from the detection circuit and outputs ink zero information when judging that there is no residual ink amount, and nonvolatile memory which stores ink zero information when the ink zero information is outputted from the judgment circuit.
US07909436B2 Inkjet head, manufacturing method for the same, and inkjet recording apparatus
An inkjet head has a first substrate having first groove portions formed on one surface of the first substrate and an ink supply path connected to the first groove portions and opening toward another surface of the first substrate. A second substrate has second groove portions and is connected to the first substrate so that the first and second groove portions jointly form ink chambers. First drive electrodes are formed on sidewalls of the first groove portions. Second drive electrodes are formed on sidewalls of the second groove portions. Conduction members are formed only adjacent to the ink supply path of the first substrate and electrically connect the respective first and second drive electrodes to one another.
US07909430B2 Liquid ejection apparatus and wax gap sealing member
A liquid ejection apparatus has liquid ejection heads. Each liquid ejection head has a liquid ejection surface, including nozzles, and a gap is formed between the liquid ejection heads. A sealing member is positioned in the gap, and the sealing member forms a surface. The sealing member joins the liquid ejection surface of a liquid ejection head to an adjacent liquid ejection surface of another liquid ejection head. A wiper wipes each liquid ejection surface and the surface formed by the sealing member.
US07909429B2 Liquid ejection apparatus
A liquid ejection apparatus includes: an ejection head that ejects a liquid from a nozzle; a cap that can seal an opening of the nozzle; an absorber that is disposed in the inside of the cap; a first ejection unit that allows to perform a first ejection toward the cap for maintenance of the nozzle; a suction unit that sucks the liquid from the cap; a second ejection unit that allows to perform a second ejection toward the cap for supplementing the liquid to the inside of the cap, before the suction; and a history managing unit that manages information on an accumulated ejection amount of a moisturizing component of the first ejection, wherein the second ejection unit allows to perform the second ejection on a condition based on the information.
US07909423B2 Ink jet recording head
An ink jet recording head includes an ejection outlet array including a plurality of ejection outlets; an ink flow path portion in fluid communication with the ejection outlets to supply ink to the ejection outlets; a recording element substrate provided with the ejection outlet array, the ink flow path portion and a plurality of ejection heat generating resistors, provided correspondingly to the ejection outlets, for generating thermal energy for ejecting ink; a first warming heat generating resistor which is provided in lower layers of the ejecting heat generating resistors and which is extended below the ink flow path portion; and a second warming heat generating resistor provided in an outer peripheral portion of the recording element substrate.
US07909422B2 Printing method, printing system, and print control apparatus
A printing method includes: (A) creating print data by rearranging an order in which a plurality of pieces of pixel data which make up image data are arranged; and (B) based on the print data, alternately repeating a dot-forming process for forming, on a medium, a row of dots along a movement direction by ejecting ink from a plurality of nozzles which move in the movement direction and a carrying process for carrying the medium in a carrying direction with respect to the nozzles, to form, on the medium, a plurality of the rows of dots arranged in the carrying direction. Every time the print data for performing a dot-forming process is to be created, positions, on the medium in the carrying direction, of the rows of dots to be formed by the nozzles during that dot-forming process are calculated and stored in a table, and the pixel data corresponding to the positions in the table are extracted from the image data, to create the print data.
US07909420B2 Pull-out guide for dish rack of a dishwasher
A pull-out guide for a dish rack of a dishwasher includes a guide rail which can be fixed to the body of a dishwasher, and a running rail which can be connected to a grid-like dish rack which is composed of wires, and a central rail which increases the pull-out length. The running rail is provided with two rows of clamp-like holders which lie one above the other and in which two wires of a dish rack which lie one above the other and run horizontally can be fixed, with the result that the dish rack is held in a dimensionally stable manner.
US07909418B2 Dispensing system for tools
A tool dispensing system has one or more drawers, each drawer having one or more bins. Each bin occupies at least one cell of a drawer cell array which has an integer number M cell columns and an integer number N cell rows. The bins are provided with lockable lids and are selectively transferable between a bin released position and a bin locked position. The bins are transferred between these position through the use of no greater than M actuators for each drawer.
US07909412B2 Cycle wheel mounting system
A cycle wheel mounting system wherein a cycle wheel hub is rotatably mounted on an axle rod having threaded portions. Structure associated with the cycle wheel hub and the axle rod, including projections on the hub casing of the cycle wheel hub, and receivers for the projections on the cycle frame ensures proper alignment of the cycle wheel when mounted.
US07909402B2 Back support for a seat
An self adjusting back support assembly for a seat is provided comprising a plurality of pivotally adjustable back support members juxtaposed one another such that each of said back support members is able to pivot about an axis contained wholly within an adjacent back support member allowing the back support assembly to adopt a serpentine contour substantially following a contour of an occupant's back.
US07909401B2 Device for adjusting the seat depth of a motor vehicle seat
A device for adjusting the seat depth of a motor vehicle seat includes a seat depth adjustment part which is movable relative to a seat lower part of the motor vehicle seat substantially in the direction of travel, an adjusting unit for the seat depth adjustment part as well as a guide device for cushion material covering the seat depth adjustment part. The adjustment unit for the seat depth adjustment part as well as the guide device for the cushion material form one unit.
US07909400B1 Modular child safety seat for vehicles
A child safety seat system and the corresponding method of using a modular child safety seat assembly to compensate for the stature changes of a growing child. The child safety seat system utilizes a base shell that is strapped into an automobile using the automobile seat belt restraints. A plurality of interchangeable seating inserts are provided. Each of the seating inserts has a cushioned seat. The thickness of the cushioning used depends upon the targeted age of a child and is engineered to maintain the child's shoulders within a specific range of heights relative to the base shell. An attachment mechanism is used to attach a selected seating insert to the base shell. As a child outgrows a seating insert, that seating insert is removed from the base shell and is replaced with a seating insert sized for a larger child.
US07909397B2 In-vehicle docking station for a portable media player
An entertainment system for a vehicle includes an entertainment console which includes a display and at least one docking station. The at least one docking station receives a portable media player at least partially therein and operatively connects the media player to the entertainment console. The entertainment system may be mounted within a vehicle for use therein or used at a location outside of a vehicle (e.g. home or office) based upon the preference of the user.
US07909396B2 Automobile entertainment system
An automobile entertainment system includes a video system mounted within an automobile headrest. The headrest includes a headrest body and a first downwardly extending headrest extension arm having a passage therethrough. The video system includes a multi-wire cable extending therefrom and the multi-wire cable passes through the first extension arm, wherein the multi-wire cable includes a connection assembly at a distal end thereof. The connection assembly is coupled to distal ends of wires making up the multi-wire cable and the distal ends of the wires are staggered facilitating passage of the multi-wire cable through the headrest extension arm.
US07909394B2 Foldable/stowable roof system
The present invention is a foldable and stowable roof system which can be selectively detached from the vehicle and stored within a short amount of time. The foldable and stowable roof system of the present invention includes a first frame member connected to a roof member, a second frame member connected to a roof member, and a third frame member selectively connected to the first frame member and the second frame member. Also included is a fourth frame member selectively connected to the first frame member and the second frame member such that when the third frame member is connected to the first frame member and the second frame member, and the fourth frame member is connected to the first frame member and the second frame member, the first frame member, second frame member, third frame member, and fourth frame member, form a frame for supporting the roof member.
US07909393B2 Reclosable fastener riser/spacer, and methods of constructing and utilizing same
A plastic spacer/riser to increase useable height of a reclosable fastening system, such as 3M™ Dual Lock™ or Velcro® hook and loop products. The spacer/riser is adapted to be held in place on an external substrate with hot-melt glue, sonic welds, pressure-sensitive adhesives, acrylic foam tape, or screws.
US07909391B2 Motor vehicle front-end panel, series of front-end panels and assembly method
This front-end panel (10) includes an upper transverse cross member (18) to be fixed to body elements (12) and a frame (20). The frame (20) is fixed beneath the cross member (18) and includes a lower transverse beam (50) and two side-struts (52) connecting the lower beam (50) to the cross member (18). Each strut (52) includes a lower portion (54) which is integral with the beam (50), and an upper portion (56) which is integral with the cross-member (18). The upper portion (56) and the lower portion (54) are mobile relative to one another between a disassembled configuration in which the upper portion (56) is arranged at a distance from the lower portion (54), and an assembled configuration in which the upper portion (56) and the lower portion (54) are fitted together.
US07909377B2 Lawn and garden debris scoop
The lawn scoop is designed to make picking up any type of small, loose debris a cleaner and easier task for the user. In general terms, the device is a scoop type shovel with the addition of a multipurpose hook/guide at the top end of the handle. After raking or sweeping the debris into a pile, the user stands in front of the debris and attaches the hook/guide part of the scoop to their front pant pocket or belt. This keeps the scoop at the proper angle while enabling the user to use both hands to rake or sweep the debris onto the scoop. Then, by placing the rake or broom over the top of the scoop, aligning the handles of both the scoop and rake using the hook/guide, the user holds both handles together for lifting and disposal. The hook/guide is also designed as part of the hanging system which provides for convenient storage of the combination of scoop and rake/broom.
US07909364B2 Indicator for indicating authenticity
An object of the present invention is to provide an authenticity indicator that cannot be easily forged, that is clearly distinguishable, and that can be elaborately designed. An authenticity indicator 101 is in the form of a sheet and can be checked for its authenticity by observing the light reflected from it. The authenticity indicator comprises a first reflective layer 12 comprising a reflective area 12a that reflects specified light, and a second reflective layer 15 that reflects specified light. The reflective area of the first reflective layer has a cholesteric liquid crystalline structure. The second reflective layer comprises a volume hologram.
US07909361B2 Vehicular steering wheel and column assembly including torsional damper device
A steering wheel and column assembly is provided for deployment on a vehicle. In one embodiment, the steering wheel and column assemblies comprises: (i) a steering column housing, (ii) a rotatable steering assembly, and (iii) a torsional damper device. The rotatable steering assembly includes a steering column shaft, which is rotatably coupled to the steering column housing, and a steering wheel, which is fixedly coupled to the steering column shaft. The torsional damper device includes a stator body, which is fixedly coupled to the steering column housing, and a rotor body, which is fixedly coupled to the rotatable steering assembly. The rotor body frictionally engages the stator body to produce a predetermined coulomb torque frictional resistance.
US07909359B2 Side airbag apparatus
A side airbag apparatus is provided with an inflator, and an airbag. The airbag is stored in a vehicle seat, is inflated and deployed by gas from the inflator, breaks the vehicle seat so as to jump out, and is inflated and deployed between a body side portion of a vehicle and the vehicle seat. In this side airbag apparatus, a controller predicts a side collision of the vehicle, and makes the inflator start an actuation in advance of the side collision in response to the prediction. Further, in the airbag inflated and deployed in accordance with the actuation start of the inflator, a deploying speed of the airbag is lowered in comparison with the deploying speed at a time of starting the actuation of the inflator after the side collision, at least outside the vehicle seat.
US07909358B2 Air-bag
An air-bag in the form of an air-bag is disclosed, having an upper edge provided with mounting tabs to mount the upper edge to a motor vehicle. The upper edge of the air-bag is also provided with a gas inlet throat at a position between the ends of the upper edge. The gas inlet throat is configured to receive an end part of an elongate gas generator, and the upper edge of the air-bag is provided with a gas generator retainer, in the form of a loop to engage part of the gas generator.
US07909356B2 Airbag apparatus
An airbag apparatus includes an airbag that has a first portion and a second portion. The airbag is folded such that the first portion approaches the second portion. The folded airbag has an elongated shape extending along a first direction. An inlet 12a is formed in the airbag 5. By receiving the supply of gas through the inlet 12a, the folded airbag 5 is inflated while being deployed in a second direction perpendicular to the first direction, such that the first portion moves away from the second portion. The inlet 12a is arranged in a part of the folded airbag 5 that is located in a trailing side in the second direction. A first attachment portion 16 is provided in the same part of the folded airbag 5. A second attachment portion 27 is provided in each of the ends of the folded airbag 5. The folded airbag 5 is installed in a ceiling of a vehicle 2 by means of the first attachment portion 16 and the second attachment portions 27. When the airbag 5 is being deployed and inflated, the second attachment portions 27 function to apply an outward tension along the first direction to the airbag 5.
US07909351B2 Trailer coupling dock theft-proof lock
A trailer coupling dock theft-proof lock to prevent unauthorized coupling and hauling of a trailer during parking includes a locking dock, a coupling assembly and a lock assembly. The coupling assembly can be latched in a dome of a trailer coupling dock. By locking and unlocking of the lock assembly the coupling assembly can be retained or removed so that unauthorized towing of the trailer can be prevented.
US07909348B2 Adjustable-length seat post
An adjustable-length seat post has a stanchion with first and second openings. The seat post has a sliding tube, a locking device and an energy transmission device. The sliding tube is guided through the first opening into the stanchion and is displaceable along a longitudinal direction of extension of the stanchion. The sliding tube is movable relative to the stanchion. An overlap between stanchion and sliding tube in a first position is greater than in a second position. The locking device can be transferred between a locking position and a releasing position, wherein in the locking position the sliding tube is fixed. The energy transmission device can transmit energy from an external actuating element to be provided to the locking device for releasing or locking. When the sliding tube is in the second position, the energy transmission device arranged guided from an external actuating element to be supplied through the second opening of the stanchion as far as the locking device.
US07909343B2 Mudflap assembly
A mudflap assembly comprising a bracket for connection to a vehicle and a hanger extended therefrom. A mudflap is connected to one end of the hanger, while the bracket rotatable receives the other end and maintains the hanger in an initial resting position until the hanger is acted upon by a sufficient horizontal force. A bulge or detent on the bracket resists horizontal movement of the hanger.
US07909341B2 Suspension system for a vehicle
Suspension system for a vehicle, comprising two hydraulic piston-cylinder units (1) comprising a first and a second cylinder chamber (3a, 3b), connected with electro-hydraulic roll control means which are arranged to control the vehicle's roll behavior under electric control of the vehicle's computer system (C), comprising a direction valve, a pump unit and pressure control means. The direction valve is a hydraulically actuatable direction valve (6) having two first and two second switching ports (6a, 6b), interconnectable in three positions, and two hydraulic control ports (6c) which are either indirectly or directly, connected with said pump unit (5, 10). The pressure control means comprise an uni-directional pressure control module (9, 15), connected with both second switching ports of the hydraulically actuatable direction valve. The pump unit is either a bidirectionally energizable pump unit (5) connected with both first switching ports (6a), or a unidirectionally energizable pump unit (10) connected with both second switching ports (6b) of the direction valve, via an electrically actuatable valve (11) having two first and two second, mutually interconnectable, switching ports (11a, 11b). At least a number of the hydraulic components (6, 9, 11, 13, 12, 14) may be integrated in one common housing (16).
US07909338B2 Adapter member of a rock anchor
An adapter member for connecting a self-drilling rock anchor (1; 31; 69) with a chuck (6) of a drilling tool, includes a sleeve-shaped section (17; 37) having a bottom (20; 40; 60; 80) provided at an end (19; 39) of the sleeve-shaped section (17; 37) for forming a receiving space (21) for connection with an end (4; 34) of the rock anchor (1; 31; 69), and a seal (23; 43; 63; 83) arranged in a region of the bottom (20; 40; 60; 80) and extending from the receiving space (21) to at least a plane (E1; E2; E3; E4) defined by the bottom.
US07909337B2 Gasket
A gasket which can uniformize the facial pressure on the thickened part more securely is to be provided. A gasket comprises a pair of base plates and stacked above and underneath, in which combustion chamber holes and bolt holes are bored, a doubling plate and shim plates intervene between these base plates. On this doubling plate, a second bead, which permits plastic deformation, extends in the circumferential direction of each combustion chamber hole. As the second bead is deformed according to the magnitude of the fastening load, the gasket height lowers where the fastening load is heavy and the facial pressure on the peripheries of combustion chamber holes is uniformized after fastening.
US07909327B1 Poker game
A system and method for playing a poker game. The system is implemented either through a software application or through a physical game environment. The system integrates a card elimination table which has a range of attack elements and range of removable elements. The attack elements are correlated to the removable elements so that when an attack card element is played, the removable elements correlated to that particular attack card element are eliminated from the game.
US07909326B2 Systems, products and processes for conducting instant lottery games
Methods, systems and apparatus are described for producing lottery products and conducting lottery games. In one embodiment, a method for producing a lottery product comprises producing a lottery sub-product that includes a concealed indication of a redemption value of a different lottery sub-product. In one embodiment, a storybook allows a player to win by matching words from a paytable to revealable dialog or other story elements on a respective page of the storybook.
US07909325B2 Sheet delivery and sheet-processing printing machine
A sheet delivery has an endless conveyor for conveying printed sheets, and a secondary gripper with a gripper bar that receives the printed sheets from the conveyor at their trailing edges and deposits them on a delivery stack. A transmission generates an annular circulatory movement of the gripper bar. The endless conveyor has lower forward strands, running toward the delivery stack, and upper return strands, running away from the delivery stack. The transmission has a first control-cam pair on one side of the endless conveyor and a second control-cam pair on the other side of the endless conveyor and the two control-cam pairs are connected to one another via a common shaft. The shaft is disposed above the return strands.
US07909321B2 Linkage arrangement for operating a sheet accumulation barrier mechanism
A linkage arrangement for handling sheets in a sheet accumulator including an accumulation gate and a locking over center mechanism. The accumulation gate pivotally mounts to a support structure of the accumulator and includes a stop surface for traversing the sheet path. The stop surface is movable between an interference position and a release position wherein the interference position inhibits the travel of sheets along the sheet path, and the release position releases the sheets for continued travel along the sheet path. The locking over center mechanism includes longitudinally aligned actuating and connecting arms. The actuating arm pivotally mounts to the support structure about a rotational axis while the connecting arm pivotally mounts to the accumulation gate at one end and pivotally mounts to the actuating arm at the other end. The locking over center mechanism inhibits motion of the accumulation gate in response to a force applied to the accumulation surface. In response to a moment load applied to the actuating arm, the locking over center mechanism releases the accumulation gate from the interference position to the release position.
US07909319B2 Image forming apparatus and image forming method
To provide an image forming apparatus and image forming method that can prevent a decrease in image quality by preventing a step-out of a driving source, which occurs because a load of a feeding device is applied when a medium is transported for image formation.When no roller reset operation, by which a paper feed roller is returned to a reset position, is performed (YES in S21), it is judged whether a counted value N of a counter, which indicates a position of a sheet of paper, is below a threshold value Na, which indicates that it is in an A region in which a load of a hopper or paper return levers of an automatic sheet feeder (ASF) is applied to a stepping motor (S22). When N
US07909318B2 Image forming apparatus
An image forming apparatus capable of preventing simultaneous delivery of two sheets of paper when they are supplied from a paper supply device, thereby improving operational reliability of the paper supply device. The image forming apparatus includes a body incorporating a printing device, a first paper supply unit, in which first paper is loaded, a second paper supply unit, on which second paper is disposed, at least one feed roller to deliver the second paper disposed on the second paper supply unit, a pickup roller to pick up the first paper from the first paper supply unit, and a pickup pad, which is located at a first position to contact the pickup roller when the pickup roller picks up the first paper from the first paper supply unit, and which is located at a second position spaced apart from the pickup roller when the second paper disposed on the second paper supply unit is delivered by the feed roller.
US07909315B2 Clamping apparatus
A non-power operated clamping apparatus is disclosed which is made up of a top section, middle section, and bottom section. There are first direction clamps located on the left side and right side of the middle section and the bottom section is positioned within the first direction clamps and the sides of the middle section. There are second direction clamps between the bottom section and middle section and between the top section and middle section. The workpiece, comprising members of wood glued edge-to-edge, is placed between the top section and middle section and subjected to a first direction compressive force and a second direction compressive force perpendicular to the first direction compressive force.
US07909314B2 Device for extending the clamping width for a clamping tool and combination of clamping tool and device for extending the clamping width
Device for extending the clamping width for a clamping tool, comprising a first rail to which a first workpiece abutment element is fixed, a second rail to which a second workpiece abutment element is fixed, a first bracket on which the first rail and the second rail are mounted for sliding displacement, and a second bracket on which the first rail and the second rail are mounted for sliding displacement, wherein the first bracket and the second bracket serve for engagement of the clamping tool thereon.
US07909312B2 Vibration-shock absorbing mechanism and content reproducing apparatus
A vibration-shock absorbing mechanism that protects an object disposed in a housing includes a shock-absorbing member that is disposed in the housing and absorbs a shock, and a resonance-damping member that is disposed between the object and the shock-absorbing member and dampens a vibration and shock in a predetermined frequency range including a resonant frequency of the object.
US07909310B2 Portable barrier apparatus
Portable barrier apparatus including a reel head supported by a post and including a reel mounted barrier tape. A foot stake is connected to the bottom of the post and can be alternatively positioned in the ground or in a support base. The reel head may be removed from the post and replaced by a reel head with a different reel mounted barrier tape.
US07909306B2 Ratchet strapping device
An improved ratchet strapping device is provided, comprising a frame, an axle, and a handle pivotally installed on the axle. Ratchets are mounted on the axle and adjacent to the exterior of the frame. The frame includes a first detent on the outside of the frame which engages the ratchet. A release device is mounted on the handle, wherein the release device includes a second detent on the outside of the handle which engages the ratchets at another location. The frame includes a first cam having a radius greater than the ratchets and on which the second detent slides, and the handle includes a second cam having a radius greater than the ratchets and on which the first detent slides. Placement of the ratchet and detent components on the outside of the device permits spooling of substantially all of the strap for storage or unused portions of the strap during use.
US07909305B2 Suspension strut removal device
A device for compressing a coiled spring comprised of multiple coils used in combination with a motor vehicle suspension strut under tension including a first hollow tube and a second smaller tube adapted to fit slidably into the first hollow tube. First and second compressor plates are provided to engage spaced coils. A rotatable drive shaft compresses the coiled spring to reduce tension against the strut's retention end plates to enable the safe, quick and easy removal and replacement of the strut. Each compressor plate consists of an open ended slotted central portion and two side sections defining an upper slotted section and a narrower bottom slotted section with an annular interior edge. The upper slotted section is provided to seat coils with a large diameter. The bottom slotted section is provided to seat coils with a small diameter.Openings are formed within the plates' side sections to receive elongated rods for preventing the tensioned coiled spring from slipping from its placement while awaiting the installation of a new strut.
US07909302B2 Two-shackle aircraft engine attachment
An aft attachment for an aircraft engine assembly. The attachment has a double boomerang structure including two three-point shackles that are duplicated: only four attachment points are present at the engine mount and two at the engine, the failsafe function being ensured by the dual structure. This attachment design does not sacrifice safety criteria, while providing a more lightweight structure.
US07909300B2 Combustor bracket assembly
A bracket assembly for securing a transition segment to a combustion liner of a gas turbine engine includes at least one flange mounted to the transition segment. The at least one flange includes a channel that extends radially from the transition segment. The bracket assembly further includes a bracket fixedly mounted relative to the gas turbine engine. The bracket includes an elongated section having at least one end section that is received by the channel to establish an axial floating interface that secures the transition segment to the combustion liner.
US07909299B2 Holder for a beverage container
A holder for a beverage container, for example a beverage can has a first support in the form of a ring and a second support projecting into the ring, which supports are, for the purpose of raising and lowering, pivotally mounted on a common axis and connected in terms of drive so that, when the second support is pivoted, the first support is moved along with it. A locking element for the first support is unlocked as a result of pivoting the second support.
US07909293B2 Low profile derail
This invention relates to a derail assembly used in the railroad industry for derailing the wheel of an undesirably moving railed vehicle and, in particular, relates to derailing a moving locomotive having a pilot at the front of the locomotive. The derail assembly includes a full rigid derail plate which is in direct contact with the entire upper surface of the rail. An upright derail bar is securely mounted on the derail plate and the derail bar is angled outwardly for engaging a wheel of the locomotive when moving undesirably for causing a desired derailment. The derail includes longitudinally spaced rigid hooks at opposite ends of the derail. The hooks secure one side of the derail assembly to the rail. On the opposite side of the rail, a clamp assembly is provided on the derail shoe from the hooks. The hooks and the clamp cooperate to secure the derail assembly to the rail. The derail has an overall height which is less than three inches measured from the upper surface of the rail to the highest point of the derail assembly so a clearance is always provided between the pilot of the moving locomotive and the highest point of the derail assembly.
US07909290B2 Joint for use in aircraft construction
A joint for use in aircraft construction, for example a wing, comprises: a cover skin having an interior surface, an exterior surface and a distal portion, said distal portion having an exterior-facing surface; and a butt-strap having a first portion for connection to the skin, said first portion having an interior surface, an exterior surface and a distal end. The first portion of the butt-strap is connected to the distal portion of the skin such that a junction is formed between the exterior surface of the skin and the exterior surface of the first portion of the butt-strap. The exterior-facing surface of the distal portion of the skin is of a shape complementary to the shape of the interior surface of the first portion of the butt-strap. The first portion of the butt-strap tapers towards its distal end such that, at said junction, the exterior surface of the skin and the exterior surface of the first portion of the butt-strap form a substantially continuous exterior surface.
US07909287B2 Super-transporter aircraft
A super-transporter aircraft for transporting at least one element of a secondary aircraft, wherein the structural rigidity of a fuselage of the super-transporter is at least partly imparted by the transported element. The super-transported aircraft can be equipped with a securing device for securing the transported element to the fuselage of the super-transporter aircraft, the securing device adapted to pick up the loads to which the super-transporter aircraft is subjected.
US07909286B2 Support system for auxiliary power unit
Support system suitable for sustaining an auxiliary power unit of an aircraft to a fixed structure (2) belonging to a compartment of the auxiliary power unit (6) of the aircraft. The support system is made in a high mechanical strength material and with high resistance to ignition, and comprises three fittings (3, 4, 5) two of which are frusto-conical and one with a substantially cylindrical. Fittings (3, 4, 5) being able to be hollow and being able to comprise an array of lateral ribs. Each one of them presenting a first end (7) comprising means of attachment of the support system (8) to the fixed structure (2) and a second end (9) comprising means of securing of the support system (8) which are joined to the auxiliary power unit (1) in the periphery of the extension of said auxiliary power unit (1).
US07909281B2 Wire-winding device having a circuit unit
A wire-winding device includes a circuit unit, a wire-winding disk, two cables, a scroll spring and a circuit unit. The insulating body has receiving space. The wire-winding disk is rotatably located in the receiving space. The wire-winding disk has at least one concave slot. There is a rolling ball between the concave slot and the insulating body. The scroll spring is connected between the wire-winding disk and the insulating body. The circuit unit is located in the wire-winding disk and is electrically connected with the two cables. Thereby, the user can rapidly receive or lease the cable to adjust the length of the cable, and use the circuit unit to convert the input voltage or current into a desired voltage or current for outputting.
US07909279B2 Impact crusher wear components including wear resistant inserts bonded therein
A wear component for use in an impact crusher having a forward depression on the face of the wear component which is exposed to aggregate wear. Wear resistant inserts, for example cemented tungsten carbide inserts, are bonded within the forward depression to prevent rapid abrasion of the wear component. Joints are formed between wear resistant inserts and joints are also formed between wear resistant inserts and the wear component. Bonding material fills the joints to further secure the wear resistant inserts and to prevent crack propagation.
US07909278B2 Auto vehicle capable of processing waste matter
An auto vehicle capable of processing waste matter cuts pet bottles made of PET, which is a recyclable material, into chips while collecting the pet bottles, and an aligning portion configured to align the pet bottles fed therein via a loading portion, a processing portion configured to apply chipping to the pet bottles aligned by the aligning portion, and a chip storing portion configured to store chips formed by the processing portion, are provided on the deck. Waste matter can be thus automatically subjected to chipping without any involvement of manpower by merely loading collected waste matter into a collective hopper.
US07909276B2 Agricultural sample grinder
In various embodiments, an agricultural sample grinding system is provided. The system includes a grinder unit for grinding and uniformly mixing an agricultural sample. The system additionally includes a collection chamber connected to a transfer spout extending from a side of the grinder unit. The collection chamber is for collecting the ground and uniformly mixed agricultural sample dispensed from the grinder unit via the transfer spout. The system deposits the ground and uniformly mixed agricultural sample into the collection chamber such that the uniform mixture of the sample is maintained.
US07909275B2 Self-contained shredder assembly for reducing and sizing material
A shredder having a sizing chamber and a reducing chamber for sizing and reducing material.
US07909268B2 Container for a substance to be sprayed, cap for such container and method for manufacturing such container
A container for a substance to be sprayed, wherein the container comprises a reservoir for the substance, wherein the container is provided with operable spraying means connected to the reservoir for spraying the substance, wherein the spraying means are provided with at least two spaced apart outflow openings which can be brought into fluid communication with the reservoir for spraying the substance in at least two spray beams to surroundings. The invention further relates to a cap, evidently destined for such a container. Additionally, the invention relates to a method for manufacturing such a container.
US07909266B2 Oscillating sprinkler with adjustable mechanism
An oscillating sprinkler includes two adjustment plate rotatably mounted on a hollow tube of the body of the sprinkler and nozzles extend through the hollow tube and guide slots defined through the adjustment plates. Each of the two adjustment plates has two control members on two sides thereof so as to pivot the adjustment plate individually relative to the hollow tube. A top cover is mounted to the adjustment plates and has multiple guide holes, the nozzles extend through the guide holes.
US07909263B2 Method of dispersing fine particles in a spray
A method of dispersing fine particles in a spray including the steps of providing a liquid carrier having a critical point and fine particles of at least one material. The fine particles are dispersed in the liquid carrier. A supercritical carrier containing dispersed particles is created by driving the liquid carrier containing dispersed fine particles above the critical point. The pressure of the supercritical carrier containing dispersed particles is reduced thereby forming a vapor carrier containing dispersed particles therein. The vapor carrier containing dispersed fine particles is then discharged.
US07909262B2 Pressure relieved thermal regulator for air conditioning application
According to one embodiment of the invention, a control valve for regulating temperature comprises a conduit, a fluid limiter, a restoring actuator, an opening actuator, and a restoring actuator chamber. The conduit has an inlet, an outlet, and an opening between the inlet and the outlet. The inlet is operable to receive fluid into the conduit and the outlet is operable to dispense of fluid out of the conduit. The fluid limiter is operable to at least partially cover the opening and thereby resist flow of fluid through the opening. The restoring actuator is operable to provide a force that moves the fluid limiter toward the opening to resist flow of fluid through the opening. The opening actuator is operable to provide a second force that moves the fluid limiter away from the opening to allow the flow of fluid through the opening. The opening actuator is activated based on a temperature of fluid in the conduit. The restoring actuator chamber is disposed around the restoring actuator and has a passage in communication with fluid upstream of the opening.
US07909260B2 Semiconductor device
A semiconductor device used as an ID chip is provided, of which operation is terminated when its role is finished or expires. According to the invention, an antenna circuit, a voltage detecting circuit, a current amplifier circuit, a signal processing circuit, and a fuse are provided over an insulating substrate. When large power is applied to the antenna circuit, a voltage is detected by voltage detecting circuit and a corresponding current is amplified by the current amplifier circuit, thereby the fuse is melted down. Also, when an anti-fuse is used, the anti-fuse can short an insulating film by applying an excessive voltage. In this manner, the semiconductor device has a function for making it invalid by stopping operation of the signal processing circuit when the role of the device is finished or expires.
US07909251B2 Memory card pack
A memory card pack includes an adaptor insertable in a memory slot of an application device and including a semiconductor chip having a control circuit therein and an expansion socket including a plurality of slots, each slot being configured to receive a memory card, and a plurality of contacts electrically connecting the control circuit to each memory card.
US07909247B2 Wireless transaction medium having combined magnetic stripe and radio frequency communications
A wireless financial transaction instrument, such as a card, has a magnetic stripe, a display, and an on-board power supply, such as a battery, and is usable with a dual capture point of sale terminal. Information is received by such a terminal from the card wirelessly, and also from the magnetic stripe, and the data received contactlessly is preferably used to verify that read from the stripe. The display can display the account number on command, or to display a current balance, or amount of credit remaining, or any combination of these items of information.
US07909243B2 System and method for completing a secure financial transaction using a wireless communications device
A system and method for completing a financial transaction using a wireless communication device is provided. A transaction authorization request is sent from the device to an issuer using SMS. An authentication message is sent from the issuer to the device, and a response is sent by a user of the device to confirm the identity of the user. Once the identity of the user is verified, a surrogate account number, in a bar code format, is sent to the mobile communication device to be read by a POS device to complete the financial transaction. A computer program product enabling the systems and methods described is also provided.
US07909238B2 User-created trade cards
The claimed subject matter provides a system and/or a method that facilitates identifying relationships between two or more trade cards. A schema component can implement a portion of user-assisted schema to create a trade card that represents a distillation of a document with document-specific data. A relationship component can identify at least one of a hard link or a soft link associated with the trade card in connection with at least one of a network or one or more trade cards, wherein the hard link is a source that derived the trade card and the soft link is directed toward a disparate trade card with a portion of substantially similar document-specific data.
US07909237B2 Monetary transaction system and method
A payment system is provided having an internet interface. In one embodiment, the payment system issues instruments having control codes. The system may issue a first portion of the control code, and retain a second portion of the control code for later issuance. Such later issuance activates the instrument. Some embodiments have a role-based security access scheme. For example, one embodiment provides a security verification score to be used in assigning user permissions on the payment system. Customer service representatives having different security permissions complete different portions of the security scoring and permission assignment process. Some embodiments have automated processing of security verification items submitted by users.
US07909236B2 End caps for tubes and shipping containers formed therefrom
An end cap adapted to releasably secure to a tube having a first open end to form a shipping container. The end cap includes a base, a sidewall surrounding and extending from the base, at least one movable projection biased to extend beyond the outer side of the sidewall, and a deformable sealing element extending from a perimeter of the base and/or from the outer side of the sidewall. When the end cap is secured to the tube, an inner side of tube surrounds the outer side of the sidewall of the end cap, the movable projection extends into a mounting opening formed in the tube proximal to the first open end, and the sealing element is deformed against the inner side of the tube to form a circumferential seal between the end cap and the tube such that the end cap completely plugs a passageway through the tube.
US07909231B2 Method for using modifiable tool control parameters to control the temperature of the tool during friction stir welding
A new control variable is introduced, that when combined with previous dependent control criteria on other variables results in a control program that can create a superior weld while minimizing wear on the FSW tool, wherein the tool repeatedly experiences the same thermal and mechanical loading within specified control windows during every repeated weld sequence because flow stresses and tool loads are driven by temperature, and dependent control loops account for differences in material and heat that is transferred at different rates in varying locations throughout a friction stir weld.
US07909230B2 Attaching construction and welding method
An attaching construction includes a first member having a circular tubular welding portion at a distal end and, at a rear end thereof, a holding portion which is integral with the welding portion. The attaching construction includes a second member having an annular first positioning rib, and an annular second positioning rib inside the first positioning rib. The welding portion is positioned within the first positioning rib, and the welding portion is friction welded in place within the first positioning rib while the first member is rotated.
US07909228B2 Ribbon bonding tool and process
An ultrasonic bond is formed using a bond tool foot having a waffle shape of thin protrusions and gaps between the protrusions. The tool is brought in contact with the ribbon to a depth to create depressions in a ribbon approximately 150 μm or less from the underlying bonding surface. The tool is then brought down further into the ribbon to contact the portions of the ribbon between the depressions, such as an additional 25 to 50 μm. The result is lightly bonded regions underneath the groove portions and highly bonded regions underneath the protrusions and around the perimeter of the bond. In another embodiment, an ultrasonic bond is formed along a partial width of a ribbon.
US07909227B2 Cryosurgical probe with vacuum insulation tube assembly
A vacuum insulation tube assembly which is utilized as a component in a cryosurgical probe. The vacuum insulation tube assembly includes an inner tube; and, an outer tube concentrically positioned about the inner tube. The outer tube is securely soldered at end portions of the inner tube and forms a vacuum space between the inner tube and the outer tube. The vacuum tube assemblies may be conveniently mass produced using a special fixture.
US07909225B2 Friction-stir tool with form-adaptable shoulder
A friction-stir tool including a rotary-drivable tool body, at whose end facing away from the drive is provided a shoulder, from which extends in the direction of that end of the tool body that faces away from the drive, a rotatable rod-shaped projection that has a smaller diameter than the shoulder. The surface of the shoulder that points in the direction of the projection is form-adaptable.
US07909223B2 Endoscopic stapling devices and methods
Described herein are endoscopic staplers used to apply one or more fasteners to body tissue. In one embodiment, a fastener-applying device, which is preferably a stapler, is passed transorally into the stomach and used to plicate stomach tissue by engaging tissue from inside of the stomach and drawing it inwardly. In the disclosed embodiments, the tissue is drawn inwardly into a vacuum chamber, causing sections of serosal tissue on the exterior of the stomach to be positioned facing one another. The disclosed staplers allow the opposed sections of tissue to be moved into contact with one another, and preferably deliver staples for maintaining contact between the tissue sections at least until serosal bonds form between them. Each of these steps may be performed wholly from the inside of the stomach and thus can eliminate the need for any surgical or laparoscopic intervention. After one or more plications are formed, medical devices may optionally be coupled to the plication(s) for retention within the stomach.
US07909220B2 Surgical stapler having an articulation mechanism
An articulation mechanism for use with a surgical instrument includes a shaft, a first member disposed in mechanical cooperation with the articulation shaft, a second member disposed in mechanical cooperation with the shaft, and a flexible shaft having proximal and distal portions. The flexible member is operatively coupled to the first and second members. Upon rotation of the articulation shaft, at least one of the first and the second members moves longitudinally with respect to the other of the first and second members between a first position where the first and second members are approximated to each other and a second position where the first and second members are spaced apart from each other. This longitudinal motion causes the distal portion of the flexible member to articulate relative to the proximal portion.
US07909219B2 Endoscopic stapling devices and methods
Described herein are endoscopic staplers used to apply one or more fasteners to body tissue. In one embodiment, a fastener-applying device, which is preferably a stapler, is passed transorally into the stomach and used to plicate stomach tissue by engaging tissue from inside of the stomach and drawing it inwardly. In the disclosed embodiments, the tissue is drawn inwardly into a vacuum chamber, causing sections of serosal tissue on the exterior of the stomach to be positioned facing one another. The disclosed staplers allow the opposed sections of tissue to be moved into contact with one another, and preferably deliver staples for maintaining contact between the tissue sections at least until serosal bonds form between them. Each of these steps may be performed wholly from the inside of the stomach and thus can eliminate the need for any surgical or laparoscopic intervention. After one or more plications are formed, medical devices may optionally be coupled to the plication(s) for retention within the stomach.
US07909215B2 Golf bag with strap guide assembly
A golf bag includes a first shoulder strap attached to the body of the golf bag at its upper end on a first side of the spinal axis of the body and at its lower end on the opposite second side of the spinal axis of the body. A second shoulder strap is attached to the body at its upper and lower ends on the sides opposite the first shoulder strap, so that the two shoulder straps cross each other over the spinal axis of the body. A strap guide assembly comprising an elastic cord is connected to an intermediate portion of the second shoulder strap to urge it toward the first side of the golf bag to prevent the second shoulder strap from falling away from the golfer as the golf bag is hoisted onto the golfer's shoulders.
US07909213B2 Carrier for a bicycle
A bicycle carrier for a vehicle includes a support holder for holding a bicycle aligned transversely to a longitudinal direction of a vehicle. A retaining bracket connected to the support holder has a guide holder and retaining bracket sections. The bracket sections are movably connected to the guide holder to move transversely to the longitudinal vehicle direction. The bracket sections hold respective wheels of a bicycle held on the support holder. Fixing elements are respectively connected to the retaining bracket and a corresponding bracket section. Each fixing element includes a locking member movable between a locked position in which the fixing element locks the corresponding bracket section in place and an unlocked position in which the fixing element unlocks the corresponding bracket section. Actuating mechanisms respectively associated with the fixing elements are operable to move the locking member of the corresponding fixing element between the locked and unlocked positions.
US07909207B2 Smart tray for dispensing medicaments
The invention relates to a method of determining a status condition of a medicament container having a memory contained therein for storing medicament effect data, such as, for example, drug interaction, side effect, and other information for determining the status condition of the container.
US07909205B2 Cosmetic container
The present invention relates to a cosmetic container having a rotatable cover including a mirror so that a user may look at the mirror from a desired direction. In a configuration of the cosmetic container, a body and the cover of the cosmetic container are connected by a shaft penetrating a pivot bearing and hinges formed on one side of the body or the cover, and the cover may be horizontally rotated with respect to the body by a pivot head integrally formed with the cover or the pivot bearing and divided in two.
US07909202B1 Liter holder
The present invention relates to an improved beverage support and serving system that includes a base with handle, an adjustable strap, and removable spout with cap. The invention is used by inserting a beverage bottle into the base, and securing the bottle to the base via the adjustable strap. Next, the removable spout is screwed onto the bottle.
US07909201B2 Paint can with pouredge
An apparatus disposed in a paint can for at least one of removing excess paint from a brush, pouring paint from a can without paint being trapped in a recessed portion of such can which receives a lid. The apparatus comprises a container member having a predetermined shape and size. A specially configured pouring edge is formed integral with the container member. There is a curved portion that is formed from a rim portion of the container member or formed and molded on such inner surface of the side wall of the container member closely adjacent the pouring edge. Such curved portion extends inwardly from the inner surface of the side wall and includes a concave portion formed on it. There is a lid member for sealing the container member, a portion of the lid member engages the concave portion for forming a seal.
US07909199B2 Receptacle, lid and lid maintaining assembly
A receptacle lid assembly includes a receptacle that has an open top end and a closed bottom end respectively. The receptacle has a hollow chamber formed therein for receiving debris introduced into the receptacle. A receptacle lid is fitted over the top end of the receptacle. A mechanism maintains the lid in close proximity to the receptacle while the lid is detached from the receptacle, and the lid maintaining mechanism is directly connected to the lid and the receptacle.
US07909198B2 Tamper evident enclosure for the storage and transport of bank notes
A tamper-evident enclosure for the storage and transport of bank notes comprises a frame spanned by a membrane of elasticated material. The frame is located in the top of an open-topped container and bank notes are pushed through the frame, deflecting flaps, until the membrane is fully distended. A cover plate is then slid through channels on opposite sides of the frame to close the “bag”. When the “bag” is fully closed a tongue at the leading end of the cover plate enters a hollow formation at the trailing end of the frame. Either the tongue has fins which dig into the interior of the hollow formation, or the channels and opposite sides of the cover plate have saw-tooth formations permitting movement of the cover plate only in one direction. By either arrangement the cover plate can only be removed from the frame by first breaking off the tongue and bending down the hollow formation.
US07909197B2 High volume docking seal for bulk liquid dispensing cartridge
A seal member for a dispensing cartridge includes a flat circular seal disk, a frustoconical wall extending outwardly away from the seal disk, and a ring at an open end of the frustoconical wall. The seal member is assembled with a cap having an end wall and a cylindrical skirt wall. The skirt wall has an internal diameter and the ring has a slightly smaller outer diameter. The ring abuts the end wall. A central opening extends through the end wall with a diameter no larger than a ring inner diameter. The dispensing cartridge has a cylindrical mouth wall with inner and outer diameters. The skirt wall has an internal diameter sized to receive the mouth wall outer diameter and the diameter of the seal disk is larger than the mouth wall inner diameter. The ring outer diameter is at least equal to the mouth wall outer diameter.
US07909196B2 Can end with tab for improved accessibility
A can end for a container has a curl defining an outer perimeter of the can end. A chuckwall extends downwardly from the curl. A means for increasing the strength of the can end is integral with the chuckwall, and a center panel is integral with the means for increasing the strength of the can end. The center panel has a product side, a public side, a rivet, and a displaceable tear panel. A non-detachable tab is staked to the central panel wall by the rivet. First and second void regions of the tab are separated by a narrow strip of the central webbing. A portion of the narrow strip extends downwardly and approaches the public side of the central panel wherein a height of the lift end of the tab above the public side of the central panel is maintained at a predetermined height.
US07909189B2 Bulk transport system for dense products
A bulk packaging support structure for supporting a radially flexible container during filling includes first and second foldable members each having a bottom portion and a side portion. The side portion includes a first wall section that is pivotally connected to the bottom portion along a primary fold line. The side portion further includes a second wall section that is pivotally connected to the first wall section along a secondary fold line that extends perpendicular to the primary fold line. The first and second foldable members are releasibly engaged with one another such that the bottom portion of the first foldable member is disposed between the second wall section and the bottom portion of the second foldable member.
US07909187B2 Modular baby bottle system
A baby bottle has elongated threads at an upper portion designed to render the bottle compatible with a sub-system with the ability to reduce the incidences of bubbling within the baby formula. The bottle also includes a chamber in its bottom portion, sized and configured to removably receive a pacifier. In a further aspect, the chamber may be enclosed by a dummy cap. Alternatively, the chamber may be closed by a device comprising a combined program player and vibration source. A sleeve made of an elastic material can be stretched over the baby bottle to serve as an insulating cover. The sleeve may also include a pocket to contain the music and vibratory playing device. A heat sensor may be incorporated into the baby bottle so that the user may see a visual display of the temperature before providing the bottle to a baby.
US07909177B2 Braid-reinforced composite hollow fiber membrane
A braid-reinforced composite hollow fiber membrane is disclosed. The braid-reinforced composite hollow fiber membrane comprising a reinforcing material of a tubular braid and a polymer resinous thin film coated on the surface of the tubular braid is characterized in that: the tubular braid comprises multifilaments made of monofilaments having a fineness of 0.01 to 0.4 denier, and the peeling strength of the tubular braid and a polymer resinous thin film coated on the surface thereof is 1 to 10 MPa. In the composite hollow fiber membrane, the fineness of the mono filaments constituting the tubular braid of the reinforcing material is small, that is, 0.01 to 0.4 denier, thus the surface area of the tubular braid contacted with the polymer resinous thin film is increased. Thus, the peeling strength of the tubular braid and the polymer resinous thin film coated on the surface thereof is excellent, and at the same time, the initial wetting property of the composite hollow fiber membrane is excellent, that is, 80 to 120%, due to a capillary tube phenomenon or the like.
US07909175B2 Filter assemblies
A filter assembly comprises a filter (10) defining path for fluid passing to or from the filter (10). An adaptor (11) is connected to the filter (10) and provides a continuation of the path. The adaptor (11) is for mounting the filter (10) on a mounting plate (12) and carries one or more sealing rings (30, 37) that are engageable with the mounting plate (12). The adaptor (11) is made from a plastics material and one surface of the adaptor (11) is reinforced by contact with an annular reinforcing member (31) which may be of metal, particularly steel. This allows the flow path provided by the adaptor (11) to be maximized.
US07909173B2 Combined centrifugal separator
The combined centrifugal separator with supplementary work units is an autonomous stationary or mobile complex apparatus, which is related to the separation of non-uniform granular or fibrous product, such as manure pulps, fruit pulps, sugar contained pulps, pulps for the medicine, etc., from the liquid in which it is carried. The screen, the screen scraper, and the driving shaft, which has the screw, the crusher and the mixer located on it, all have one common axis. However, all three rotate with different speeds around it. They are connected to one motor, and have a transmission system using pulleys and gears. Part of the liquid remainder may be used for internal recycling.
US07909169B1 Methods and systems for recovering alluvial gold
Various embodiments of methods and systems are provided for mining alluvial gold deposits. The methods can comprise collecting feed from alluvium and washing the feed at high pressure. The feed can be separated into a plurality of separate fractions. At least one fraction is transferred to a metal sensor system using a conveyer, wherein when gold is detected in a piece of the fraction, an air blast can be targeted and delivered at the piece, with the air blast diverting the piece to a receiving container.
US07909168B2 Slide and lock display system
A disposable shippable display apparatus for use in displaying products, samples, premiums, messages or the like comprises an inner sleeve containing products, samples, or the like, slidably located within an outer sleeve and configurable between a closed or an open position. After shipping the display apparatus to its end destination in the closed position the display is easily and quickly user deployed by moving the inner sleeve to the open position, where multiple catches and supports lock the inner sleeve in an immediately useable display configuration. The products and samples are now in full view for consumption by patrons and customers.
US07909167B2 Wafer container
A wafer container includes a container body, the internal of which is disposed with a plurality of slots for supporting a plurality of wafers and an opening is formed on one sidewall of which, and a door, which is joined with opening of the container body for protecting the plurality of wafers in the container body, the characteristic in that: a magnetic member is formed at the inner edge of the opening of the container body and a magnet is disposed at the inner surface of the door corresponding to the magnetic member, the magnet being disposed in a magnetic yoke with recessed cross section, with the design of which the magnet on the door attracts the magnetic member at the opening of the container body for the door and the container body to be lock-fastened to each other.
US07909166B2 Front opening unified pod with latch structure
The wafer container includes a container body, which is disposed with a plurality of slots for receiving a plurality of wafers therein and an opening is formed by one sidewall of which for importing and exporting the plurality of wafers, and a door includes an outer surface and an inner surface, which is joined with the opening of the container body via the inner surface for protecting the plurality of wafers within the container body. A latch component is disposed in a platform of the inner surface of the door, which includes an oval cam, a pair of moving bars contacting two ends of the oval cam, at least a pair of roller disposed in the platform and each of roller fixed in a slide groove of each moving bar, and a locating spring being an integral part of each moving bar for controlling the turning of the oval cam to drive the each moving bar.
US07909164B2 Nestable lid for packaging systems
Nestable packaging lids are described. Generally, the packaging lids include a generally planar lid member having an upper surface and a lower surface, a plurality of dome expansions extending upwardly from the lid member, and a plurality of elongate projections extending downwardly from the lower surface of the lid member. Each dome expansion defines a downwardly facing recess and includes a base perimeter defining a base center, and the dome expansions are arranged in longitudinal rows and transverse columns. In an implementation, at least one projection is disposed transversely between a longitudinally adjacent pair of dome expansions and at least one projection is disposed longitudinally between a transversely adjacent pair of dome expansions.
US07909163B2 Dispensing system for double stack carton
The carton of this invention is capable of carrying the plurality of containers stacked upon their ends in two tiers with a unique dispenser that permits the dispensing of containers on their sides. The dispenser is formed in a top side wall and extends into the end wall with most of the end wall being torn open but leaving a portion near the bottom side wall to prevent the bottom layer of containers from rolling out. Angled projections in the dispensing end of the carton near the top panel and bottom panel prevent the top layer of containers from rolling out. A divider may be inserted between the two tiers of containers to facilitate loading the carton and preventing the containers from accidentally rolling out when the dispenser is open.
US07909161B2 Case configured to hold portable computer and method of manufacturing and using the same
A case (100) can be configured to hold a portable computer (350) with a keyboard (351). In one embodiment, the case can include: (a) a base shell (120) having: (1) a base panel (121) with a first side (144); and (2) one or more pads (231) extending from the first side and positioned such that a bottom of the portable computer is capable of resting on the one or more pads; and (b) a cover shell (110) coupled to the base shell and configured to move between a first position relative to the base shell, in which a user cannot type on the keyboard of the portable computer while the portable computer is inside of the case, and a second position relative to the base shell, in which the user can type on the keyboard of the portable computer while the portable computer is inside of the case.
US07909160B2 Mixing cap and method for use thereof
A mixing cap and method for use thereof, wherein the mixing cap is preferably pre-loaded during time of manufacture with selected dry or liquid ingredients to facilitate subsequent consumer use. The mixing cap comprises an apertured inner tube threadably-engageable to the mouth of a bottle, and an outer housing cooperatively-engaged with the inner tube and slidably-restricted thereover via a ridge and slotted flange arrangement. Preloaded ingredients contained within the outer housing may be introduced or discharged into the bottle by simply depressing the outer housing over the inner tube when the ridge is aligned with the slot, thereby permitting the ingredients to flow through the apertures of the outer housing and inner tube and into the liquid contents of the bottle. The combined ingredients and liquid within the bottle may subsequently be shaken without fear or risk of leakage or spillage.
US07909159B1 Adjustable multi-lane conveyor
A conveyor including a plurality of individual conveyor units associated with a common conveyor frame and adapted for independent lateral and vertical position adjustment. The conveyor units form independent conveyors capable of conveying an object. The position of each conveying unit is adjustable along the conveyor frame in a direction substantially transverse to the conveying direction. The height of each conveying unit is also independently adjustable. Consequently, the conveying units may be arranged to form overall conveying surfaces of different configuration. The conveyor may also include a frame lift assembly capable of simultaneously and uniformly raising or lowering one end of all the conveyor units present.
US07909157B2 Machine and method for forming groups of products ordered in superposed layers
There is described a machine for forming groups of ordered products, each group including products disposed according to superposed layers. The machine includes a succession of conveyors aligned according to a principal direction of alignment, with a feed conveyor and a delivery conveyor. The feed conveyor receives single layers of products aligned with and spaced from one another; and the conveyors are disposed and controlled so as to distribute layers of products on a predetermined number of levels at different heights, and to unload onto the delivery conveyor a predetermined number of layers, superposed on one another, equal to the predetermined number of the levels at different heights.
US07909156B2 Turnover device
A turnover device for turning over a substrate comprises a motor and a tumbler driven by the motor to rotate. The tumbler is provided with a transmission mechanism thereon and connected to an upper turntable and a lower turntable with the transmission mechanism, the tumbler can drive the upper turntable and the lower turntable to rotate, the transmission mechanism can drive the upper turntable and the lower turntable to move toward each other, and the substrate to be turned over is disposed between the upper turntable and the lower turntable.
US07909151B2 Lock-up clutch mechanism
The present invention provides a lock-up clutch mechanism for a torque converter, comprising a lock-up piston and a front cover having an engagement surface capable of being engaged with the lock-up piston and in which a friction material is stuck to wither one of the lock-up piston and the front cover and in which engagement and disengagement are performed in accordance with a hydraulic pressure difference between the front cover and the lock-up piston and wherein the friction material includes a first flat friction surface disposed at an outer diameter side and a second tapered friction surface disposed at an inner diameter side and further wherein, when the lock-up piston and the front cover are slip-controlled, the first friction surface is engaged with uniform face pressure, and, when the lock-up piston and the front cover are substantially integrally joined with a great hydraulic pressure difference under slip control, maximum face pressure is generated at a border between the first friction surface and the second friction surface.
US07909147B1 Low drag failsafe brake
A brake adapted to be rotationally coupled with a shaft and in communication with a fluid source and a negative pressure source, the brake including a housing defining a chamber therein. A disc assembly is positioned within the chamber and includes a plurality of stationary discs carried by the housing and a plurality of rotating discs carried by the shaft. The rotatable discs and stationary discs are positioned for engagement. A piston is movable to cause the stationary discs to engage the rotatable discs. A first fluid input is in communication with the fluid source, providing a constant supply of fluid to the chamber. A second fluid input is in communication with the fluid source, providing fluid when the stationary and rotating discs are caused to engage.
US07909142B2 Braking device for elevating platform assembly
A braking device for an elevated platform assembly comprising a platform moveable along a generally vertical mast supporting an upright rack comprises an input gear assembly in mating engagement with the rack, a centrifugal actuator communicating with the input assembly and a spring-loaded brake responsive to the centrifugal actuator. The spring-loaded brake engages the rack and arrests the platform when the platform descends the mast at a rate above an upper threshold limit.
US07909141B2 Elevator vibration damping system having damping control
A vibration damping system for an elevator is provided with a damping device (5) that is provided between a cab (1) and a car frame (2) for supporting the cab (1) and whose damping coefficient can be changed. A speed detector detects the traveling speed of a reference elevator car, and a calculation unit (15) receiving the traveling speed detected by the speed detector calculates a control signal for the damping device (5), and outputs the control signal to the damping device. The calculation unit (15) controls the damping device (5) in such a way that, in the case where the traveling speed exceeds a predetermined value, the damping coefficient of the damping device (5) is larger than that in the case where the traveling speed is the same as or smaller than the predetermined value.
US07909135B2 Silencer and electronic apparatus having the same
A silencer that reduces a noise from a noise source in a first housing that houses the noise source in a first internal space includes a second housing that houses the noise source in a second internal space, and is provided in the first internal space of the first housing, and a connector that is provided in the second housing and connects the first internal space to the second internal space, a space inside the first internal space but outside the second internal space being used to reduce the noise and wider than the second space.
US07909134B2 Shielding element for motor vehicles
A screening element for motor vehicles is disclosed with a lead-in element which provides a preferred heat, sound and vibration uncoupling in the body region. The screening element supplied in this case comprises at least two separated outer layers between which an insert is arranged, wherein the at least two separated outer layers and the insert have coaxial openings which form a common opening in which a lead-in element is received or mounted, wherein the openings of the respective outer layers have a larger cross-sectional area than the opening of the insert, where the lead-in element is connected to the insert in such a manner that there is no contact with the outer layers. The screening element or heat shield therefore has a sufficient heat, sound and vibration uncoupling, can be produced at low cost without manual operations, and is simple to assemble. Moreover, a heat and vibration transmission of hot components from the engine compartment or underfloor to the body is prevented at the fastening points of the screening element.
US07909133B2 Glazing unit having an improved vibroacoustic damping property, process for manufacturing such a glazing unit and process for acoustic protection in a vehicle passenger compartment
A glazing unit with an improved vibroacoustic damping property including at least one sheet of glass and at least one vibroacoustic damping strip that is attached to at least one of the faces of the glass sheet and that includes at least one component made of damping material having a loss factor greater than 0.2. The strip is not joined to any other device on the opposite side from the glass sheet and the component made of damping material has a Young's modulus greater than 800 MPa, at 20° C., for a particular frequency corresponding to the critical frequency of the glazing unit to within plus or minus 30%.
US07909123B2 Control apparatus for hybrid driving apparatus
In a hybrid vehicle, an ECU performs speed-change control and selectively changes a speed-change mode between a stepless speed-change mode and a fixed speed-change mode in accordance with the travel condition of the hybrid vehicle. At this time, in the condition that the fixed speed-change mode is selected, if an accelerator off operation is performed, a release preparing process is performed, and a reaction element which receives the reaction torque of engine torque in a power dividing mechanism is changed to a sun gear on a motor generator side. Moreover, if an accelerator off speed or a brake pedal operation amount exceeds its own reference value, the speed-change mode is transferred into the stepless speed-change mode, predictively and unconditionally, before a normal speed-change condition is met.
US07909114B2 Drilling device
A drilling device includes an end cutting tool, a main housing, a motor, a transmission, and a dust collection unit. The end cutting tool processes a workpiece. The motor is provided in the main housing for generating a torque. The transmission transmits the torque to rotate the end cutting tool. The dust collection unit collects dust generated by the rotation of the end cutting tool. The dust collection unit includes a dust case for accumulating the dust therein. The dust case is detachable from the main housing and has an inlet and an outlet. The inlet and the outlet are oriented in a single direction.
US07909104B2 Sealing device
A decomposable sealing device is described for use in liquid-filled pipes or boreholes, which is characterised in that the sealing device comprises a sleeve-shaped element (19) which envelops a number of strata (5, 7, 9) completely or partly in the pipe's radial and a longitudinal direction, comprising layered division of a number of decomposable strata (5, 7, 9) and a number of closed liquid-filled chambers (16) arranged between the strata (5, 7, 9) and where the sleeve-shaped element (19) comprises a body (2) which can be rearranged to establish connection between the respective chambers (16) and one or more grooves (14) in the inner wall of a pipe. A method for decomposing the sealing device is also described.
US07909102B1 Frac gate and well completion methods
Methods and apparatus are provided for oil and gas well completions without conventional perforations or jet cutting. One or more frac gate tool(s) are included in the production casing string or liner which is cemented in the hole. The frac gates have a sleeve that is openable by a shifting tool to allow fluids inside the casing or liner to exit through ports and breakdown and treat the adjacent formation. Casing flapper valves are placeable above a lower frac gate to isolate the treated formation from a higher producing zone during treatment of the higher zone through a higher frac gate. A single hydraulically actuated shifting tool is usable to open the frac gates and close the casing flapper valves.
US07909101B2 Apparatus and method for increasing well production
An apparatus and method for hydrocarbon recovery in a well for coal bed methane (CBM) recovery, tight sand gas extraction, and other gas extraction techniques provides for the formation of a hydrocarbon foam comprised of a fluid delivered into a downhole portion of the well and the hydrocarbon, maximizing water removal for gas recovery. The apparatus may include a check valve that feeds a nozzle to deliver or atomize the spray of fluid into the downhole portion of the well when the pressure applied to the fluid is sufficient to overcome a hydrostatic pressure in the downhole portion of the well and to deliver the fluid into the downhole portion of the well. The fluid is not sprayed directly into the formation, thereby protecting the formation from damage and recovering the fluid even in the case where water is not present. The capillary tube feeding the fluid to the check valve may be placed externally to the production tube to facilitate ease of cleaning and clearing of the production tube.
US07909100B2 Reversible casing cutter
Casing cutter for severing multiple tubulars in a well bore has a pair of cutter blades pivotally mounted on a support body. The blades are pivotally mounted for gradual movement outside of the support body when downward force is applied to proximate ends of the cutter blades. The support body is rotated inside the innermost of the multiple tubulars, while the cutter blades sever the tubulars of progressively increasing diameter. Should the cutter blades or pivotal connections become unusable, the tool body can be rotated about the vertical axis and secured to a downhole string by the opposite end. A substitute pair of cutter blades are then engaged on the tool body and operated to cut the casings similar to the first set of the cutter blades.
US07909099B2 Well productivity enhancement methods
The invention relates to oil production stimulation methods and can be used for both reservoirs with fractures resulting from the fracturing procedure and reservoirs with naturally occurring fractures, for which the fracturing procedure is not mandatory. A material which expands while hardening or setting, is injected into the near-wellbore region of a cased well, into the space between the casing and the reservoir, and the wellbore is then perforated. A material having an expansion degree sufficient for application of pressure to the wellbore walls and for keeping at least one fracture open is used as the material which expands while hardening or setting. After the perforation has been done, the reservoir is hydraulically fractured. For naturally fractured reservoirs, the fracturing procedure is not mandatory.
US07909098B2 Process for recovering heavy oil utilizing one or more membranes
An oil recovery process utilizes one or more membranes to remove silica and/or oil from produced water. In one method, the process includes separating oil from produced water and precipitating silica. The produced water having the precipitated silica is directed to a membrane, such as a ceramic membrane, which removes the precipitated silica from the produced water. In some cases, residual oil is present and is also removed by the membrane.
US07909095B2 Valve device and associated methods of selectively communicating between an interior and an exterior of a tubular string
A valve device and associated methods of selectively communicating between an interior and an exterior of a tubular string. A valve device includes an openable and closable flowpath for selectively permitting and preventing flow between an interior and exterior of the valve device, and a lock assembly which prevents the flowpath from being opened greater than a predetermined number of times. A method of testing at least one annular seal in an annulus formed between a tubular string and a wellbore wall includes the steps of: sealingly engaging the annular seal to thereby prevent flow through the annulus across the annular seal; and applying a pressure differential across the annular seal to thereby test the annular seal, the pressure differential being applied via a valve device interconnected in the tubular string.
US07909088B2 Material sensitive downhole flow control device
A screen section has a valve assembly to control flow through it. The valve is open and has a closure spring held compressed by a shape memory material that responds to the presence of a specific well fluid or fluids so that its property changes to allow the spring to deliver the stored potential energy to the valve member to close it when the specific well fluid or fluids are detected. The preferred material is a shape memory polymer that, for example, is sensitive to the presence of water or methane and gets softer to release the potential energy source to operate the downhole tool.
US07909087B2 Heat exchanger
A heat exchanger including a base plate portion with at least one heat generating component thermally connected thereto; at least one fin portion comprising a plurality of fins thermally connected to said base plate portion, arranged in parallel at a prescribed angle along a longitudinal direction of said base plate portion; an inlet portion through which a cooling fluid is introduced to each of said at least one fin portion; a baffle plate portion and a partition plate portion guiding said cooling fluid so that the cooling fluid is decelerated to be uniformly flown through fins in said at least one fin portion; and an outlet portion to evacuate the cooling fluid.
US07909085B2 Process and plant for producing metal strip
Process and ultracompact plant for continuous production of hot rolled steel strip comprising an ingot mould (15) which produces a very thin slab at a speed between 4 and 16 m/min, wherein the thickness of the narrow sides is between 15 and 50 mm with a central swelling. Such slab has a core in which the steel is still liquid; it is run through a vertical pre-rolling device (16) which reduces the thickness of the slab, flattening it. The solidified slab can thus undergo a first light rolling process using a pinch roll (17) and, by forming a free curve, it moves to a horizontal position where it can undergo a second light rolling process by another pinch roll (22′). A heating process (if required) includes an inductor (50). A superficial descaling process and a series of reductions in a rolling mill made up of at least three stands (20′, 20″, 20′″) are provided maintaining a slab temperature along the train above the Ar3 recrystallization point. Downstream of the rolling mill, there is a conveyor (31) with cooling showers (32), flying shears (33) for cutting the strip to the required size, pinch rolls and at least two coilers (34) for forming coils of the hot rolled strip.
US07909084B2 Casting die and method for producing cast workpieces consisting of light metal alloys
The invention relates to a casting tool for producing workpieces from light metal alloys. The system includes a positioning element, a core part, a first mold part structured to carry the positioning element and be movable toward the core part, and a core part support structured to support the core part. The system also includes at least one stop structured to limit movement of the front mold part toward the core part and to allow the positioning element to be introduced into the core part, whereby the positioning element holds the core part in a predetermined position for casting.
US07909081B2 Sheet laminator, image forming apparatus, and sheet laminating method
A sheet laminator includes a bonding unit and a restricting unit. The bonding unit bonds a first sheet to a second sheet that includes an adhesive layer. The restricting unit restricts movement of any one of the first sheet and the second sheet thereby preventing bonding between the first sheet and the second sheet before the first sheet and the second sheet reach the bonding unit.
US07909078B2 Method for measuring green tire components
A method for analyzing and controlling the formation of a green tire component by component on a target region of a curved build surface includes: measuring in three dimensions each component on the target region by rotating the build surface past at least one optical scanner. The optical scanner directs a sheet or a plurality of sheets of light against a target region of the rotating build surface; and measures component by component build-up on the target region surface for component dimensional, positional, and mass parameters. Component by component measurements are made in three-dimensions by rotation of the target regions through the optical sheets of light and feedback from component measurements may be used to correct for tire imbalance and uniformity anomalies on a real-time basis.
US07909076B2 Tire pressure control system
A tire pressure control system for a tire mounted to a wheel of a vehicle is disclosed. The tire pressure control system comprises a servo system mounted to the wheel that includes a servo mechanically connected to a vent valve that is in fluid communication with a gas inside the tire. The vent valve is adapted for bleeding the gas out of the tire and into the ambient atmosphere. A pressure sensor is in fluid communication with the gas inside the tire, and a control circuit is electrically connected to the servo and the pressure sensor. The control circuit further includes a signal receiving means and a memory for storing a commanded pressure signal. The control circuit actuates the servo to open the vent valve when the pressure sensor indicates a tire pressure of greater than the commanded pressure. A master control unit is adapted to transfer the commanded pressure signal to the control circuit through a transmission means. The servo system further includes a second servo mechanically connected to a fill valve that is in fluid communication with compressed gas inside a gas supply tank. The fill valve is adapted for bleeding gas out of the gas supply tank and into the tire. The control circuit actuates the second servo to open the fill valve when the pressure sensor indicates a tire pressure of less than the commanded pressure.
US07909073B2 Folding work bench
The folding work bench folds compactly for storage when not in use and occupies a minimal work space. The folding work bench has a durable work surface, a top storage shelf, a back board for hanging tools, a locking mechanism, and a work table that can be folded up against the back board and locked in a compactly folded configuration that also secures the tools on the back board in the work bench.
US07909069B2 System and method for automatically adjusting an ORVR compatible stage II vapor recovery system to maintain a desired air-to-liquid (A/L) ratio
A system and method for automatically adjusting an ORVR-compatible Stage II vapor recovery system to maintain the air-to-liquid (A/L) ratio within desired tolerances or limits to meet regulatory and/or other requirements. An air flow sensor (AFS) or vapor flow meter measures the amount of recovered vapor for a dispensing point to calculate the recovery efficiency of the system in the form of the A/L ratio. Volume or flow rate measurements can be used. ORVR fueling transactions are either minimized or excluded from the A/L ratio, so that the A/L ratio is not artificially lowered due to a blocked or altered recovery. The A/L ratio is then compared to a desired or nominal A/L ratio. Adjustments to the recovery system are made within prescribed safety tolerances if the A/L ratio differs from the desired ratio.
US07909068B2 Method for producing positive electrode plate for alkaline storage battery
An alkaline storage battery including a strip-shaped porous metal substrate and a material mixture filled into the substrate. The substrate has an unfilled portion where the material mixture is not filled along at least one of two longitudinal sides of the substrate. The substrate has a weight per unit area of 150 to 350 g/m2. The material mixture contains an active material and an elastic polymer.
US07909067B2 Method, an apparatus and a means for making a reinforcement mesh
Production of a reinforcement mesh comprising reinforcement bars (3), which are tied together by means of twisted wires (1, 2), may take place according to the invention by a method wherein two wires (1, 2) are rolled up on their respective wire coils (4, 5), which are mounted opposite each other on a rotatable shaft (12), and wherein each of the wires is guided by a wire guide element (6) downwards in a direction toward the common twisting point of the wires (1, 2). Meshes of surface-treated, coated, wires and bars may be made in this manner, there being no external impact that can damage the surface.
US07909060B2 Pressure control valve
A pressure control valve includes a sleeve on which an input port, an output port, and drain ports are formed; and a linear solenoid portion for generating thrust. The pressure control valve further includes a first spool, which advances and retreats within the sleeve, for receiving the thrust, regulating input pressure input to the input port, and outputting the regulated output pressure from the output port; and a second spool, which advances and retreats within the sleeve, for receiving the thrust, and selectively applying output pressure to the first spool. The output pressure is selectively applied to the first spool, thereby doing away with the need for a control valve or the like. This simplifies the oil pressure circuit for generating control pressure, and allows control pressure to be generated in a stable manner, and the size of the pressure control valve to be reduced.
US07909059B2 Mobile concrete pump having an articulated mast
The invention relates to an automatic concrete pump with an articulated mast (14) serving as a support for a supply line and with two forward and two backward support extensions (16′,16″,18′,18″) which can be supported on a base platform (32) and which can be lowered from a driving position into a support position, each with a telescopic support leg (30). According to the invention, each support extension comprises one inner support position near to the chassis, and at least one outer support position away from the chassis, which can be freely selected by forming defined support configurations (V,SL,SR,YL,YR,N) for the four support extensions. Further, a control device for the movement of the mast arm is provided which comprises a software program corresponding to the selected support configuration, which program limits the pivot angle of the first bending arm (1) about its bending axis (A) and an associated range of angular rotation of the rotatable head (24) about the vertical axis (22), according to the specification of the selected support configuration.
US07909054B2 Shank assembly for a fill valve
A fill valve for a toilet tank includes a multi-piece shank assembly. The shank assembly includes a first hollow member coupled with a second hollow member using a snap joint. The first hollow member has a tortuous outer surface, which together with the second hollow member forms a plurality of flow paths. The flow paths are configured to reduce water noise. The first and second hollow members are provided separately, resulting in simplified molding process and improved assembly automation.
US07909053B2 Draining system for valve actuator/valve stem protector assemblies
Condensation draining system and method for valve stem protectors comprising an actuator and a stem protector assembly structured in combination to provide a drain and an opening for draining condensation from inside the assembly to outside the combination.
US07909050B2 Canopy assembly mechanism and related child carrier
A canopy mechanism comprises a canopy support and a canopy frame. The canopy support comprises a combining part and an engaging part. A terminal of the engaging part connects the combining part, and another terminal of the engaging part extends opposite to the combining part. The engaging part comprises a first lateral wall and a second lateral wall. A chamber is formed between the first and second lateral walls, and one terminal of the chamber has a chamber entrance. The second lateral wall has an opening, and a cantilever structure extends from a side of the opening therein. The cantilever structure has a first position-limiting part. The canopy frame has a connection part with a second position-limiting part. The connection part of the canopy frame inserts into the chamber via the chamber entrance to engage the first and second position-limiting parts to fasten the canopy frame and the canopy support.
US07909049B2 Operating mechanism for umbrella
An operating mechanism used in an umbrella is disclosed to include a telescopic shaft, first and second screw nuts fixedly mounted in the telescopic shaft at different elevations, a third screw nut is fixedly mounted in the runner of the umbrella, a first screw rod threaded through the first screw nut, a second screw rod threaded through the second screw nut and axially slidably connected to and rotatable with the first screw rod, a third screw rod threaded through the third screw nut and axially slidably connected to and rotatable with the second screw rod, and a transmission mechanism connected to the first screw rod and operable to rotate the first screw rod.
US07909047B2 Apparatus and method for cleaning an electric hair-cutting device, and kit including such an apparatus
A cleaning apparatus (4) for cleaning a hair-cutting portion (2) of an electric hair-cutting device (1) includes a cleaning-liquid basin (5) for receiving the hair-cutting portion of the electric hair-cutting device in a central area such that the hair-cutting portion is at least partially immersed in the cleaning liquid (13). The electric hair-cutting device is cleaned by generating a cleaning-liquid flow revolving within the basin (5) around the central area of the basin, the hair-cutting portion being at least partially immersed in the cleaning liquid. The liquid flow effectively entrains debris away from the hair-cutting portion.
US07909044B2 Applicator device for applying a cosmetic and the use of such a device
An applicator device for applying a cosmetic, the applicator device comprising an elongate body adapted to be held in the hand, an applicator head, a feed device adapted to feed the applicator head with cosmetic in solid form, and a heater device adapted to heat the applicator head, thereby causing the cosmetic to melt. The feed device comprises a feed duct provided in the body of the applicator device and communicating with passageways that are provided in the applicator head and that open out to the outside, and the heater device is adapted to heat said feed duct at the applicator head.
US07909043B2 Brush for applying a cosmetic product
A bristle brush for applying a cosmetic product to hair is provided with a sleeve having a longitudinal axis and at least one perforation. The brush is disposed within the sleeve so that brush bristles extend through the perforation for contact with the hair. The perforation is circular, arcuate, rectangular, square, triangular or trapezoidal in shape or at an angle with respect to the longitudinal axis of the sleeve. When the sleeve has a plurality of perforations, the perforations can be shaped alike or can differ in shape and/or in angle with respect to the longitudinal axis of the sleeve so that one or more predetermined performance characteristics are obtained when the brush is used to apply the cosmetic product to the hair. A method for adjusting brush performance characteristics is also disclosed.
US07909041B2 Double-faced adhesive tape and wing with the same
A double-stick adhesive tape (10) for fixing a wig (100) to a head, of which the surface of at least one side (12) of both sides of adhesive layers (12, 13) on a core material (11) is deglossed by forming minute concavity and convexity (12a), one side of adhesive layer (12) is formed to have a thickness to bury at least more than half of a wire diameter of the filament (103) used as a net member of a wig base (101), thereby one side of adhesive layer (12) is set inside of a network (104) of the net member, and bonded to the net member, a filament (103) is peripherally bonded with the adhesive layer (12) and the other adhesive layer (13) is bonded to the head.
US07909040B2 Methods for treating eye conditions
Architectures and techniques for treating conditions of the eye, such as presbyopia, utilize sources of treatment energy, such as electromagnetic energy emitting devices, to implement non-corneal manipulations. According to these devices and methods, the sources of treatment energy are activated to direct energy onto parts of the eye, such as the conjunctiva and sclera, to treat presbyopia. The treatments can affect at least one property of the eye and enhance an accommodation of the eye.
US07909039B2 Operating staple and intraluminal stapler for operation having the operating staple
An operating staple is provided in which stability during an operation is enhanced by firmly suturing an operating portion and an intraluminal stapeler for operation having the operating staple. The operating staple closely sutures a first suturing tissue and a second suturing tissue contacting the first suturing tissue, and the operating staple includes: a center portion extending in close contact with a surface of the first suturingtissue; a pair of penetrating portions which is bent from both ends of the center portion and penetrates the first suturing tissue and the second suturing tissue; and a pair of leg portions which is bent from the respective penetrating portions, extends linearly, and closely bonds the first suturing tissue and the second suturing tissue to each other. Therefore, by utilizing the operating staple, the suturing tissues can be firmly sutured, thereby enhancing the stability during an operation.
US07909038B2 Tongue stabilization device and methods of using the same
An airway implant device for maintaining and/or creating an opening in air passageways is disclosed. Methods of using the device are also disclosed. The implant is an implant for stabilizing the tongue, the implant having an anchoring portion for securing a first end of the implant with the mandibula; a control portion connected with the anchoring portion, configured for selectively activating the implant; a flexible portion connected at its proximal end with the control portion, where the flexible portion has three-dimensional flexibility in a first state and where the flexible portion has a lesser three-dimensional flexibility in a second state, and where the flexible portion is selectively switchable between the first and second state by the control portion; an anchoring portion for connecting the implant with the base of the tongue connected with the flexible portion, and a latch mechanism coupled with the control portion and configured to maintain the flexible portion in either of the first and second states. Methods of treating airway disorders such as sleep apnea and snoring with the airway implant device are disclosed herein.
US07909035B2 Multi-chamber mask and method of forming the same
A multi-chamber mask includes a shell and a partition. The shell is adapted to cover portions of a user's face including the mouth and at least portions of the nose including the nostrils, the shell adapted to contact the face surrounding the covered portions of the face to substantially prevent gas from escaping between the shell and the contacted portions of the user's face, and the shell is adapted to couple to a gas supply source. The partition is coupled to the shell and cooperates with the shell to define a first chamber and a second chamber, the first chamber adapted to be positioned proximate the user's nose to direct inflow of gas from the gas supply source to the user's nasal passages, the second chamber adapted to be positioned proximate the user's mouth, the partition adapted to restrict flow of gas between the first and the second chamber.
US07909034B2 Combined positive and negative pressure assist ventilation
The present invention relates to a method of delivering combined positive and negative pressure assist ventilation to a patient, wherein a positive pressure is applied to the patient's airways to inflate the patient's lungs, a negative pressure is applied around the patient's ribcage and/or abdomen in order to reduce a load imposed by the ribcage and/or abdomen on the patient's lungs, and application of the positive and negative pressures is synchronized. The present invention also relates to a system for delivering combined positive and negative pressure assist ventilation to a patient, comprising a positive pressure ventilator connected to the patient's airways for applying a positive pressure to the patient's airways to inflate the patient's lungs, a negative pressure ventilator installed on the patient's ribcage and/or abdomen for applying a negative pressure around the patient's ribcage and/or abdomen in order to reduce a load imposed by the ribcage and/or abdomen on the patient's lungs, and a controller for synchronizing operation of the positive and negative pressure ventilators.
US07909032B2 Ventilation device
A ventilator with integrated breathing air humidifier has at least two defined air pathways provided in the breathing air humidifier, wherein the breathing air humidifier is installed and fixed on a horizontal surface of the ventilator. The ventilator with integratable breathing air humidifier has a breathing air humidifier with at least a top part and a bottom part, wherein a water reservoir is provided in the bottom part, and wherein the top part cannot be removed from the bottom part when the unit is in at least one operating mode. The ventilator may have an air humidifier with at least one water reservoir, and at least one filing device for the water reservoir in the breathing air humidifier, wherein the filing device can be operated with one hand and/or opened with one hand.
US07909030B2 Elliptical purge valve for snorkels
The instant invention incorporates an asymmetrical purge valve tilted to fit within the confines of a chamber having a substantially elliptical cross-section. The elliptical cross-section is oriented to minimize the frontal area presented in the direction of swimming movement. The reduced cross-section results in a corresponding reduction of drag when the diver is swimming underwater.
US07909029B2 Radiation selective absorber coating for an absorber pipe, absorber pipe with said coating, and method of making same
The radiation selective absorber coating of the invention includes two or more barrier layers arranged over each other on a substrate surface, an infrared-range reflective layer arranged on the two or more barrier layers, and at least an absorption layer arranged over the infrared-range reflective layer and a final antireflection layer arranged over the absorption layer. The absorber pipe, especially for a parabolic trough collector, is a steel pipe, on whose outer side the radiation selective absorber coating is applied. In the method of making the absorber pipe a first oxide barrier layer is provided on the outer side of the steel pipe by thermal oxidation, and then a second barrier layer, an infrared-range reflective layer, an absorption layer and a final antireflection layer are applied by gas-phase physical deposition.
US07909028B2 Inlaid stone composite
An inlaid stone composite broadly includes a body stone and an inlay stone secured within a groove of the body stone, with the inlaid stone composite presenting a finished layered edge surface, and with the surface having a longitudinally extending edge profile. The inlaid stone composite is manufactured using a stone machine tool that includes, among other things, powered assemblies for machining the groove, machining the profile, and for polishing the profile. The body stone and inlay stone are machined to close tolerances so that the stones include respective surfaces in abutting engagement with one another. The stones are further machined to limit chipping of the composite.
US07909025B2 Method of controlling scavenging of the burnt gas of an indirect-injection engine, notably a supercharged engine, and engine using such a method
The present invention relates to a method of controlling an indirect-injection engine, notably a supercharged engine, in particular a spark-ignition engine, comprising at least one cylinder (10) including a combustion chamber (12), at least two air intake means (14, 16) comprising each a pipe (18, 20) controlled by an intake valve (22, 24), at least one fuel injection means (26, 28) associated with each intake means and at least one burnt gas exhaust means (30) with an exhaust valve (32), said engine running according to two intake modes, a mode with burnt gas scavenging and a mode without scavenging, characterized in that it consists in: supplying, in intake mode with scavenging, non-carbureted air through one (16) of the intake means then, in the vicinity of the scavenging end, in supplying carbureted air through the other (14) intake means, supplying, in intake mode without scavenging, carbureted air through the two intake means (14, 16).
US07909024B2 Hydrocarbon fuel vapour filter system
A hydrocarbon vapour filter system for capturing vapour released from a fuel tank. The system contains a vapour line in vapour-tight communication with the fuel tank, having a vapour capture segment for capturing fuel vapour, and in the preferred embodiment a purging stage releasing the fuel vapour. During engine operation captured fuel vapour can be released from the vapour capture line into air drawn into the engine intake manifold.
US07909023B2 Fuel supply systems
A fuel supply system that includes a fuel pump, a jet pump, a first device and a second device. The fuel pump has a first port, a second port and a third port. Each of the first and second ports is configured to discharge a pressurized fuel. The third port is configured to discharge a fuel vapor that may be produced within the fuel pump. The first port is coupled to an engine via a fuel supply passage. The first device is coupled between the second port and the jet pump and is operable to permit and prevent the supply of the pressurized fuel to the jet pump. The second device is coupled to the third port and is operable to permit and prevent the discharge of the fuel vapor to the outside of the second device.
US07909022B2 Fuel supply system for boat and outboard motor
A fuel supply system for a boat minimizes and prevents deterioration in combustion efficiency of the engine. The fuel supply system includes a throttle body that is connected to an engine and that supplies air to the engine, and an injector that injects fuel to the throttle body. The injector is configured to inject fuel to a direction opposite to an airflow direction in the throttle body.