Document | Document Title |
---|---|
US10237623B2 |
Limited chain relay with virtual peer for multimedia distribution
A method includes evaluating a bandwidth capacity of an uplink between a first customer premises equipment device and a network node of a multimedia distribution network. The method includes transmitting a portion of multimedia content from the first customer premises equipment device to a second customer premises equipment device. The method includes, responsive to determining that the bandwidth capacity of the uplink is greater than a threshold, determining a number of subsequent requestors that the first customer premises equipment device is capable of servicing, selecting a first set of helper virtual peers from a pool of available helper virtual peers based on the number of subsequent requestors, and transmitting the multimedia content from the first customer premises equipment device to the first set of helper virtual peers. |
US10237621B2 |
Direct capture and sharing of screenshots from video programming
A method of operating a video services receiver involves the processing of video data for presentation on a display element associated with the video services receiver. During presentation of the video event, a screenshot command is received at the video services receiver. The screenshot command originates from a remote control device assigned to the video services receiver. In response to receiving the screenshot command, a currently displayed image on the display element is captured as a saved screenshot. The method continues by providing a screenshot sharing user interface for presentation on the display element. The user interface includes at least one interactive control to facilitate sharing of the saved screenshot from the video services receiver. The method continues by outputting the saved screenshot from the video services receiver in association with a selected sharing application. |
US10237618B2 |
Broadcast signal receiver, method for providing broadcast signal relation information, and server
A broadcast signal receiver capable of displaying relation information corresponding to a broadcast signal in real time. |
US10237617B2 |
System and method for blending linear content, non-linear content or managed content
A user interface allows for blending of television program choices from which a viewer may select, the blending representing options for linear and non-linear programming presented together within a single hierarchy of a designated category. The blending may further include managed content relevant to the designated category, where desired. Such designated categories may include television programming categories and/or content categories. The options for linear and non-linear programming may be presented together within a single screen of the user interface. |
US10237616B2 |
Audio correlation for viewership determination
A computer system may include at least one processor and at least one memory storing instructions that, when executed, cause the at least one processor to perform a process. The process may include receiving audio data from a user device, and accessing content data including at least one audio signature associated with video content. The process may also include correlating the audio data with the at least one audio signature and identifying recognized video content based on the correlation of the audio data with the at least one audio. The process may also include receiving tuning data including content being presented on a display component. The process may further include correlating the recognized video content with the tuning data, determining viewed video content based on the correlation of the recognized video content with the tuning data, and storing the viewed video content in a user array. |
US10237613B2 |
Methods and systems for viewing dynamically customized audio-visual content
Systems and methods for viewing dynamically customized audio-visual content are described. In some implementations, a process may include providing at least one selection signal indicative of a viewer preference, receiving a dynamically customized audio-visual content including an audio-visual core portion having at least one revised content portion customized in accordance with the at least one selection signal, displaying the dynamically-customized audio-visual content; and providing a consideration for the dynamically-customized audio-visual content. |
US10237607B2 |
Electronic control device
An electronic remote control device, including a body; a touchpad formed by capacitive sensors; a processor and software; and position sensors; wherein the processor and software are arranged to read out inputs from the position sensors and dynamically allocate functions to the capacitive sensors in the touchpad depending on the position of the touchpad in relation to the horizon. |
US10237604B2 |
Method and apparatus for generating a recommendation for at least one content item
A recommender engine (107_1, 107_2, 107_3) recommends further content items for playout on a personalized content channel on the basis of a profile (109_1, 109_2, 109_3) associated with that personalized content channel. The profile (109_1, 109_2, 109_3) includes an indication of the user's “like” and “dislike” of content items played out on said personalized channel. |
US10237603B2 |
Embedded system for video processing with hardware means
A process is provided for video processing and distribution of video data within a betting agency. The process includes receiving data streams of dynamic live betting odds and game statistics and receiving parallel TV live broadcasts of sports events as video data streams. The process further includes compressing the data streams and the video data streams yielding combined data streams, outputting each of the combined data streams via a protocol based network, receiving a combined data stream from the protocol based network pertaining to the betting on a supply of receiver servers, decompressing the combined data stream, and outputting the combined data stream displayed in any order on one or several monitors in real time. The combined data stream being continuous from allocated embedded systems, which operate as a client, wherein the clients individually provide the combined data stream from the supply of the receiver servers. |
US10237598B2 |
Method and apparatus for increasing viewership of broadcast programming
A system and method for generating or increasing viewership of a broadcast of an episode of a series by an affiliate to a plurality of receiver stations is disclosed. A live prefacing media program is transmitted to users within an affiliates market in such a way that does not conflict with the affiliate's exclusive right to transmit a media program within that market. The live prefacing media program includes media program clips from previous episodes of a series, and users can vote on their favorite media program clips. Such votes alter the content presented in the live prefacing media program. Transmission of the live prefacing media program terminates when the affiliates broadcast of the next episode of the series begins, thus encouraging or “throwing” viewers to view that episode. |
US10237596B2 |
Device control in backup media-broadcast system
In one aspect, an example method may be used in connection with a system including a first media-broadcast system (MBS) and a second MBS, wherein the first MBS includes a first automation-system and a first device, and wherein the second MBS includes a second automation-system and a second device. The method includes: receiving, by the second automation-system, first output generated by the first automation-system to control operation of the first device; using, by the second automation-system, the received first-output to control operation of the second device; receiving, by the second automation-system, a traffic schedule; using, by the second automation-system, the received traffic schedule to generate second output; determining, by the second automation system, that a trigger event occurred; and responsive to determining that the trigger event occurred, switching, by the second automation-system, from using the received first-output to using the generated second-output, to control operation of the second device. |
US10237593B2 |
Monitoring quality of experience (QoE) at audio/video (AV) endpoints using a no-reference (NR) method
Monitoring quality of experience (QoE) using a no-reference (NR) method. An uncompressed audio/video (AV) stream is received from output of a device under test (DUT), where the uncompressed AV stream includes content that is known to be devoid of a set of one or more AV artifacts. At least one of the set of AV artifacts is automatically tested for its existence using a no-reference method that does not use a comparison to an input reference AV stream. Upon determining that there is an AV event representing one of the set of AV artifacts based on the automatic testing, a video clip that includes the AV event is automatically generated. The automatically generated video clip is stored. |
US10237590B2 |
Apparatus for transmitting broadcast signals, apparatus for receiving broadcast signals, method for transmitting broadcast signals and method for receiving broadcast signals
A method is provided for transmitting broadcast signals. Service data is encoded. The encoded service data is mapped to symbols onto constellations. Data cells are frequency interleaved in a symbol among the symbols in at least one frame unit using an interleaving sequence. The interleaving sequence is generated based on a main interleaving-sequence and a symbol offset value. The interleaving sequence is generated by operating the main interleaving-sequence with the symbol offset value. The data cells are included in the symbol with a symbol index and a frame unit with a frame unit index. The frequency interleaving of the data cells operates on a frame basis. The frequency interleaving of the data cells is reset when a first frame unit is changed to a second frame unit. Data is modulated in the at least one frame unit by an Orthogonal Frequency Division Multiplexing (OFDM) scheme. The broadcast signals carrying the modulated data are transmitted. |
US10237588B2 |
Dynamic video delivery for in-home applications
Methods, systems, and computer readable media may be operable to provide dynamic transrating of video content within a DLNA network in the home. A gateway or other DLNA Digital Media Source providing a video stream in response to a user request from a Digital Media Player may determine that the video stream delivery may be close to failure based upon the behavior of the video server's transmit buffer. The gateway may direct an internal transrating resource to provide a lower bit rate content stream then advertise that new stream to the player. |
US10237586B2 |
Video segment manager
A method includes identifying a plurality of user-interested multimedia files based at on one of a history of a plurality of user multimedia searches, a plurality of user multimedia views, or a history of multimedia sharing with other users. The method creates a catalog of the plurality of user-interested multimedia files, wherein the catalog includes one of more groups of the plurality of multimedia files based on one of a multimedia file length, the history of the plurality of user multimedia searches, the plurality of user multimedia views, or a history of multimedia shares with other users. The method generates a novel multimedia file, where combining one generates the novel multimedia file or more individual multimedia files selected from the catalog of multimedia files. |
US10237584B2 |
Real-time incorporation of user-generated content into third-party content streams
Systems and methods for real-time incorporation of user-produced content into a broadcast media stream are provided. A media title may be streamed to a producer computing device over a communication network. The producer computing device is associated with a channel for distributing the user-produced content in conjunction with the media title. Produced content may be captured from the producer computing device as the streamed media title is played on the producer computing device. Such captured produced content may be designated for the channel. The media title and the produced content may then be broadcast in real-time over the communication network to one or more subscriber devices subscribed to the channel. The media title and the produced content may be synchronized within the broadcast to reflect when the produced content was captured in relation to the media title as the media title was played on the producer computing device. |
US10237583B1 |
Execution of cases based on barcodes in video feeds
Systems and methods for executing a case based on a barcode detected in a video feed are described herein. An example method commences with receiving the video feed from a video content provider. The video feed may be provided by a client. The method may continue with extracting at least one video frame from the video feed. The method may further include detecting a barcode embedded in the at least one video frame and analyzing the barcode to determine a category associated with the at least one video frame. The method may continue with ascertaining an execution case associated with the category. The method may further include selectively executing the execution case. |
US10237580B2 |
Method and system for broadcasting multimedia data
A broadcast system provides support for clients to receive transmissions over a unicast or a multicast channel from a broadcast server. A server administrator configures each of a first server and client systems connected to the server to receive data in one of a multicast or unicast transmission mode. An empirical test determines whether an intervening network can support the configured mode and if not, transmission is performed using a fall back mode. The first server is able to provide access to data streams from other servers to the client systems connected thereto. Upon requesting a data stream from another server, the first server receives the data stream, replicates the data stream, transmits the data stream to the requesting client system and stores the replicated data stream for immediate access by any requesting client server connected thereto. |
US10237579B2 |
Image decoding method including determining a context for a current block according to a signal type under which a control parameter for the current block is classified
The image decoding method includes: determining a context for use in a current block to be processed, from among a plurality of contexts; and performing arithmetic decoding on a bit sequence corresponding to the current block, using the determined context, wherein in the determining: the context is determined under a condition that control parameters of neighboring blocks of the current block are used, when the signal type is a first type, the neighboring blocks being a left block and an upper block of the current block; and the context is determined under a condition that the control parameter of the upper block is not used, when the signal type is a second type, and the second type is “intra_chroma_pred_mode”. |
US10237577B2 |
Method and apparatus for encoding/decoding images using a prediction method adopting in-loop filtering
Disclosed is a prediction method adopting in-loop filtering. According to the present invention, a prediction method for encoding and decoding video comprises the following steps: generating a residual block of the current block through an inverse quantization and inverse transform; generating a prediction block of the current block through an intra-prediction; performing in-loop filtering on the current block in which the residual block and the prediction block are combined; and storing the current block, on which the in-loop filtering is performed, in a frame buffer for an intra-prediction of the next block to be encoded. As described above, prediction is performed using an in-loop filter during processes for encoding and decoding video, thereby improving the accuracy of prediction and reducing errors in prediction, thus improving the efficiency of video compression and reducing the amount of data to be transmitted. |
US10237576B2 |
3D-HEVC depth video information hiding method based on single-depth intra mode
The present invention disclosed a 3D-HEVC depth video information hiding method based on single-depth intra mode, which comprises information embedment part and information extraction part. During information embedment, if the pixels in the candidate list are equal using the encrypt information to modulate the index of the pixel and completes the embedment. If the pixels are different, judging the neighboring CU of the CU whose depth is 2 or 3 and modulating the index of the pixel to complete embedment of the hidden information. The advantage of the present invention is high security, low calculation complexity and small influence on data rate. |
US10237571B1 |
Inter-prediction candidate selection in a mode decision
An apparatus includes a first circuit and a second circuit. The first circuit may be configured to (i) fetch a reference samples from a memory to slots in a buffer, (ii) generate motion vectors by motion estimating inter-prediction candidates of a current picture relative to the reference samples in the buffer, (iii) snoop the fetches from the memory to determine if the reference samples fetched for a non-zero motion vector type of the inter-prediction candidates includes the reference samples for a zero motion vector type of the inter-prediction candidates and (iv) avoid duplication of the fetches for the zero motion vector type of the inter-prediction candidates where the snoop determines that the reference samples have already been fetched. The second circuit may be configured to evaluate the reference samples in the buffer based on the motion vectors to select a prediction sample unit made of the reference samples. |
US10237568B2 |
Image coding apparatus, method for coding image, program therefor, image decoding apparatus, method for decoding image, and program therefor
An image processing apparatus includes a division unit configured to divide an input image into a plurality of subblocks subjected to quantization control a subblock quantization parameter calculation unit configured to calculate a quantization parameter of each of the subblocks, a basic block quantization parameter calculation unit configured to set a basic block including at least two subblocks and to calculate a quantization parameter of the basic block, a difference value calculation unit configured to calculate a difference value between the quantization parameter of the basic block and the quantization parameter of each subblock included in the basic block, and a difference value coding unit configured to code the difference value. |
US10237566B2 |
Video decoding using point sprites
A GPU loads point sprites that represent coded blocks of transform coefficients of one or more frames encoded in a bitstream and loads a transform kernel as a transform kernel texture. The GPU constructs an output frame using an inverse transform on the coded blocks of transform coefficients by transforming the point sprites with the transform kernel texture and by optionally dequantizing the point sprites. A single render pass may be used in which the rasterization formula performs the inverse transform and optionally dequantization. To preserve bandwidth, a CPU may refrain from sending the GPU at least some zero valued transform coefficients for the point sprites. Also, to reduce processing, the transform coefficients can remain in a zig-zag arrangement. The transform kernel texture used in the decoding can correspond to a modified version of the basis matrices used to encode the frame, which compensates for the zig-zag arrangement. |
US10237563B2 |
System and method for controlling video encoding using content information
A system and method are provided for a 3D modeling system with which an encoded video stream is produced. The system includes a content engine, an encoder, and a fixed function engine. The fixed function engine receives content information from the content engine. The fixed function engine produces encoder information from the content information. The encoder uses the encoder information to produce an encoded video stream having at least one of a higher quality and a lower bandwidth than a video stream encoded without the encoder information. |
US10237562B2 |
Image coding method, image decoding method, image coding apparatus, image decoding apparatus, and image coding and decoding apparatus
The image coding method is used to code images to generate a coded stream. The image coding method includes: writing, into a sequence parameter set in the coded stream to be generated, a first parameter representing a first bit-depth that is a bit-depth of a reconstructed sample in the images; and writing, into the sequence parameter set, a second parameter which is different from the first parameter and represents a second bit-depth that is a bit-depth of an Intra Pulse Code Modulation (IPCM) sample in the images. |
US10237560B2 |
Image encoding device, image decoding device, image encoding method, image decoding method, and image prediction device
When an intra prediction parameter indicates a horizontal prediction process, an intra prediction unit adds a value proportional to a change in a horizontal direction of the luminance values of pixels adjacent to the top of each block, which is a unit for prediction process of a coding block, to the luminance value of a pixel adjacent to the left of the block to set a result of the addition as a predicted value of a prediction image, whereas when the intra prediction parameter indicates a vertical prediction process, the intra prediction unit adds a value proportional to a change in a vertical direction of the luminance values of pixels adjacent to the left of the block to the luminance value of the pixel adjacent to the top of the block to set the result of the addition as a predicted value of the prediction image. |
US10237558B2 |
Encoder, decoder, encoding method, and decoding method
An encoder includes processing circuitry, a block memory, and a frame memory. The processing circuitry defines at least one parameter for each of plural types of segment_ids, splits an image into blocks, assigns, to each of the blocks, segment_id according to a type of the block, among the plural types of segment_ids, and sequentially encodes the blocks. In encoding the blocks, the processing circuitry identifies segment_id of a current block to be encoded, and encodes the current block using the at least one parameter defined for identified segment_id. The at least one parameter includes seg_context_idx for identifying probability information associated with context used in context-based adaptive binary arithmetic coding (CABAC). |
US10237557B2 |
Method of run-length coding for palette predictor
Methods and apparatus of palette coding based on a palette predictor in a video coding system are disclosed. A set of run lengths associated with re-use flags of a current palette with respect to a palette predictor is determined and the set of run lengths includes an Escape code to indicate an end of run length coding for the re-use flags of the current palette. A set of code values is determined for the set of run lengths by adaptively assigning an Escape code value to the Escape code depending on a current index of predictor run length coding. The set of run lengths is then encoded or decoded according to the set of code values. According to another method, a context model is determined for at least a portion of the binary string depending on current coding information, previous coding information or both. |
US10237552B2 |
Multiple color channel multiple regression predictor
Inter-color image prediction is based on multi-channel multiple regression (MMR) models. Image prediction is applied to the efficient coding of images and video signals of high dynamic range. MMR models may include first order parameters, second order parameters, and cross-pixel parameters. MMR models using extension parameters incorporating neighbor pixel relations are also presented. Using minimum means-square error criteria, closed form solutions for the prediction parameters are presented for a variety of MMR models. |
US10237549B2 |
Adaptive streaming of video data over a network
A method for adaptive streaming of video data over a network is disclosed. The method includes receiving, by one or more decoders of a video streaming client, first video information of a video data stream with one or more operations of first complexity. The method further includes obtaining client-related information based at least in part on a decoding capability of the video streaming client related to decoding the first video information with the one or more operations of the first complexity by the one or more decoders. The method also includes using, by the video streaming client, the client-related information to cause the video streaming from the video streaming server to the video streaming client to be adapted to a different overall bit rate and based at least in part on the client-related information. |
US10237548B2 |
Video transmission based on independently encoded background updates
Systems and methods are provided for alleviating bandwidth limitations of video transmission, enhancing the quality of videos at a receiver, and improving the VR/AR experience. In particular, an improved video transmission and rendering system is provided for generating high-resolution videos. The systems have therein a transmitter and a VR/AR receiver; the transmitter includes an outer encoder and a core encoder, while the receiver includes a core decoder and an outer decoder. The outer encoder is adapted to receive the video from a source and separately output a salient video and an encoded three-dimensional background, and the outer decoder is adapted to merge the background with the salient video thereby producing an augmented video. Also provided is a system that simulates pan-tilt-zoom (PTZ) operations without PTZ hardware. Further provided are methods for video transmission whereby a three-dimensional background model is generated, a background independently encoded, updated incrementally, and the background and the updates transmitted independently from the video. |
US10237545B1 |
Image pickup module test system and method
An image pickup module test system includes a computing device, an optical reflector, a block gauge and a fixing device. A test program is executed in the computing device. The image pickup module is fixed at a position in front of the optical reflector by the fixing device. The image pickup device acquires an image of the image pickup module and the block gauge that is reflected from the optical reflector. The test program analyzes the image to obtain a feature point of the image pickup module and a feature point of the block gauge. Moreover, the test program judges whether an installation location of at least one lens unit of the image pickup module complies with a production specification according to the standard length value corresponding to the single pixel of the image and the feature point of the image pickup module. |
US10237544B2 |
Open head mount display device and display method thereof
The present invention provides an open head mount display device and a display method thereof, relates to the field of head mount display technology, and can solve technical problems (such as a tedious operation, a poor display effect, an inaccurate position of the display image or the like) of the open head mount display device in the prior art. The open head mount display device of the present invention comprises: a display unit for providing a display image to user's eyes; an image acquisition unit for acquiring an image of an external object; an image analysis unit for analyzing and determining a position of the external object relative to the user's eyes in accordance with the image acquired by the image acquisition unit; and an image adjusting unit for adjusting the display image in accordance with an analysis result of the image analysis unit. |
US10237539B2 |
3D display apparatus and control method thereof
A 3D display apparatus is provided. The 3D display apparatus includes a display panel and a viewing area separator disposed on a front side of the display panel and configured to provide a plurality of optical views having different viewpoints to a viewing zone, and a processor configured to render a plurality of image views having different viewpoints based on a depth of an input image and provide a multiview image by arranging the plurality of image views on the display panel in an arrangement pattern. The processor is further configured to determine, based on the arrangement pattern, an estimated crosstalk occurring between the plurality of image views having the different viewpoints, correct the plurality of image views by performing crosstalk inverse compensation according to the estimated crosstalk, and provide the multiview image based on the crosstalk inverse compensation. |
US10237537B2 |
System and method for creating an interactive virtual reality (VR) movie having live action elements
Methods and systems are disclosed for creating a virtual reality (VR) movie having at least one live action element. A live action element is an element that is not computer-generated, but is instead filmed (e.g. the filmed performance of a real human actor). The VR movie may be interactive in that small movements of the viewer's head, when viewing the live action elements, may result in different visual points-of-view that match the point-of-view changes expected by the viewer. In one embodiment, at least one live action element is filmed using at least two cameras to obtain a stereoscopic video recording. A stereoscopic digital still image of the background is also obtained separate from the live action elements. The stereoscopic video recording and the stereoscopic digital still image of the background are stored in memory, as separate files, for later compositing in a home device. |
US10237535B2 |
Method for generating a depth map using a camera
A method for generating a depth map of at least one selected region (210) of a capturing region (200) of a camera (100), wherein the camera (100) is aimed at a plurality of mutually different partial regions (220, 221, 222) of the at least one selected region (210), wherein for each of the partial regions (220, 221, 222) a depth information item is ascertained from the respective partial region (220, 221, 222) by varying a focus setting of the camera (100), and wherein the depth map (400) is generated taking into account the depth information of the partial regions (220, 221, 222), and to such a camera (100). |
US10237533B2 |
Apparatus and method for distributing three dimensional media content
A system that incorporates teachings of the present disclosure may include, for example, a process that includes obtaining three-dimensional image content having first and second images. The first and second images include first and second numbers of pixels. The first and second images are arranged according to a shared coordinate system to portray different perspectives of a common scene. A first portion of pixels are removed from the first image based on a filtering of the first image, resulting in a first remaining number of pixels. A second portion of pixels are removed from the second image based on positions of the first portion of pixels in the shared coordinate system, resulting in a second remaining number of pixels. The first remaining portion of pixels is combined with the second remaining portion of pixels to form a transport frame for delivery to a media processor. Other embodiments are disclosed. |
US10237525B2 |
Display device, method for controlling display device, control program, and recording medium
A luminance indicated by an HDR signal is appropriately displayed. A first image processing unit (22) converts, in accordance with a maximum luminance level indicated by an HDR signal input to the first image processing unit (22), a gradation performance indicated by the HDR signal into a prescribed gradation performance according to a display panel (10), and a panel control unit (27) converts, in accordance with the maximum luminance level indicated by the HDR signal and a maximum luminance displayable by the display panel (10), a gradation value indicated by the HDR signal into a gradation value displayable by the display panel (10). |
US10237518B2 |
Mobile body system, control apparatus and method for controlling a mobile body
A mobile body photographs an image with a camera while moving, and transmits to a control apparatus image data representing the photographed image and state information indicating the state thereof including the location thereof. The control apparatus displays a map including a moving range of the mobile body on a display unit and overlays a route of the mobile body on the map to display the route. The control apparatus detects an occurrence of an event such as finding of an intruder and the location of the mobile body at the time of the occurrence of the event, based on the received image data and state information, and displays a marker indicating the detected location over the map. Whenever an event occurs, an additional marker is displayed over the map and the history of the past events is recorded on the map. |
US10237514B2 |
Camera system, video processing apparatus, and camera apparatus
Disclosed herein is a camera system including, a camera apparatus having, an image sensor, a correction section, a first transmission processing section, and a synchronization processing section, and a video processing apparatus having a second transmission processing section and a conversion section, wherein the video processing apparatus outputs the video data obtained by the conversion by the conversion section. |
US10237513B2 |
System and method for supporting selective backtracking data recording
Systems and methods can support a data processing apparatus. The data processing apparatus can include a data processor that is associated with a data capturing device on a stationary object and/or a movable object. The data processor can receive data in a data flow from one or more data sources, wherein the data flow is configured based on a time sequence. Then, the data processor can receive a control signal, which is associated with a first timestamp, wherein the first timestamp indicates a first time. Furthermore, the data processor can determine a first data segment by applying the first timestamp on the data flow, wherein the first data segment is associated with a time period in the time sequence that includes the first time. |
US10237512B1 |
Automated in-play detection and video processing
Systems and methods are described for automated in-play detection and edited video generation. For example, a received game footage file can be sampled to generate video frames, each with an associated frame time. A play clock dataset can be generated automatically by processing the video frames to visually identify a subset of the video frames as each including a displayed play clock. The play clock dataset can be used to generate play windows, such that each play window is associated with a respective sequence of the video frames over which a play time displayed by the play clock is changing. Techniques can be used to validate those play windows and/or to refine the start and stop times of those play windows. An in-play footage file can automatically be compiled by cutting the game footage file according to the start and stop times of the play windows. |
US10237511B2 |
Projection information display
In an illustrative embodiment, systems and methods for controlling projection of graphical elements onto surfaces of an aircraft cabin interior may include a projector mounted to a surface of a static element of an aircraft passenger suite that outputs the graphical element onto a projection surface. The graphical element may correspond to a received projection request. A controller may receive a projection request from a computing device indicating a type of graphical element for display onto the projection surface. The type of graphical element may correspond to a type of communication message such as a message between a passenger and a flight attendant within the aircraft cabin. The graphical element may generated for display by the projector based on at least one of the type of graphical element associated with the received projection request and a native language of the passenger or flight attendant. |
US10237506B2 |
Image adjustment apparatus and image sensor for synchronous image and asynchronous image
Disclosed is an image adjustment apparatus including a receiver which is configured to receive a first input image of an object which is time-synchronously captured and a second input image in which a motion event of the object is sensed time-asynchronously, and an adjuster which is configured to adjust the first input image and the second input image. |
US10237505B2 |
Solid-state imaging device using repeater circuits to hold phase information
A solid-state imaging device including: a pixel array unit in which a plurality of pixels outputting an analog pixel signal are arranged in a two-dimensional matrix form; a ramp signal generation unit configured to generate and output a ramp wave; a clock generation unit configured to generate and output multiphase clocks; and a signal-processing unit, wherein the signal-processing unit including: a plurality of analog-to-digital conversion circuits, and a plurality of repeater circuits, wherein each of the plurality of analog-to-digital conversion circuits includes: a comparison unit, and a latch unit, wherein each of the plurality of the analog-to-digital conversion circuits outputs the digital value according to the state of the phase held by each latch circuit, and wherein each of the plurality of the repeater circuits corresponding to the same set are arranged side by side, and the repeater circuits are connected in series. |
US10237504B2 |
Solid-state imaging device and camera system with columm parallel ADC
A solid-state imaging device and a camera system are disclosed. The solid-state imaging device includes a pixel unit and a pixel signal readout circuit. The pixel signal readout circuit includes a plurality of comparators disposed to correspond to a pixel column array, and a plurality of counters. Each counter includes a first amplifier, a second amplifier, and a mirror circuit to from a current mirror in parallel with the second amplifier. The first amplifier includes differential transistors, initializing switches connected between gates and collectors of the differential transistors, and first and second capacitors connected to each of the gates of the differential transistors. The second amplifier includes an initializing switch and a third capacitor. The mirror circuit includes a gate input transistor whose gate is inputted with a voltage sampled by the first amplifier or a voltage sampled by the second amplifier. |
US10237503B2 |
Solid-state imaging device and driving method thereof, and electronic apparatus
A solid-state imaging device includes a photoelectric conversion unit, a light shielding unit and a transfer transistor. The photoelectric conversion unit generates charges by photoelectrically converting light. The light shielding unit is formed by engraving a semiconductor substrate on which the photoelectric conversion unit is formed, so as to surround an outer periphery of the photoelectric conversion unit. The transfer transistor transfers charges generated in the photoelectric conversion unit. During a charge accumulation period in which charges are accumulated in the photoelectric conversion unit, a potential that repels the charges is supplied to the light shielding unit and a gate electrode of the transfer transistor. During a charge transfer period in which charges are transferred from the photoelectric conversion unit, a potential that repels the charges is supplied to the light shielding unit and a potential that attracts the charges is supplied to the gate electrode of the transfer transistor. |
US10237499B2 |
Apparatus and method for processing an image in device
A method of processing an image using an electronic device is provided. The method includes displaying at least part of a first image which is obtained through a first image sensor and at least part of a second image which is obtained through a second image sensor through a display unit which is functionally connected to the electronic device, storing the at least part of the first image, storing the at least part of the second image in response to a detecting of a signal, and storing the at least part of the first image and the at least part of the second image to be simultaneously replayed as at least one image. |
US10237491B2 |
Electronic apparatus, method of controlling the same, for capturing, storing, and reproducing multifocal images
Provided is a method of controlling an electronic apparatus. The method includes: generating a focus map that indicates focus values of a plurality of blocks defined by splitting a field; continuously capturing a plurality of images corresponding to the focus values in the focus map; and storing the plurality of images, focus value information of each of the plurality of images, and focus value information of the field. |
US10237490B2 |
Shooting method and shooting device for dynamic image
A method for shooting a dynamic image and a shooting apparatus are disclosed. The method includes steps of selecting a dynamic object on a preview, and after the selection is completed, shooting a plurality of photographs; and synthesizing into the dynamic image according to the plurality of shot photographs and the selected dynamic objects. Thereby, an image of only a partial region dynamically changes or only a specified object dynamically changes in the dynamic image, thereby enabling the user to shoot a dynamic image of a character or a dynamic image of a certain object in a certain region in a crowded street. This achieves shooting of a regional dynamic image, increases the fun of shooting, provides unique shooting experience, and further improves the degree of freedom for creation, and the user can create more personalized works to improve the user's satisfaction. |
US10237489B2 |
Method and system for configuring an imaging device for the reception of digital pulse recognition information
In one aspect, the present disclosure relates to a method for configuring one or more imaging sensors of an imaging device to capture digital images for digital pulse recognition demodulation. In some embodiments, the method includes initializing one or more imaging sensors of the imaging device, determining a subset of the one or more imaging sensors to configure, setting a configuration for each of the one or more imaging sensors of the subset by defining a region of interest as a metering area for each of the one or more imaging sensors of the subset and automatically adjusting a setting for each of the one or more imaging sensors of the subset, and adjusting input parameters of a demodulation function based on a device profile of the imaging device. In some embodiments, the adjusted setting is locked to prevent further adjustment of the adjusted setting. |
US10237488B2 |
Image capturing apparatus and image capturing method
An image capturing apparatus includes an image capturing unit having an image capturing device; and a control unit that calculates a control value of exposure conditions on the basis of an image signal for output, the image signal for output being generated by exposing the image capturing device under the exposure conditions including an exposure time for image output for every unit time, and corrects the control value on the basis of a detection image signal, the detection image signal being generated by adding at least one of an exposure time for first detection longer than the exposure time for image output and an exposure time for second detection shorter than the exposure time for image output within a unit time. |
US10237486B2 |
Image-capturing apparatus, lens apparatus and image-capturing system
The image-capturing apparatus includes a camera controller performing communication with a lens apparatus, and a camera image stabilizer performing, using image stabilization information, an image stabilization operation. The camera controller sets, before receiving from the lens apparatus first image stabilization information produced by the lens apparatus depending on a shake of the lens apparatus, second image stabilization information usable for the image stabilization operation, causes the camera image stabilizer, when receiving the first image stabilization information, to perform the image stabilization operation using the first image stabilization information, and causes the camera image stabilizer, when not receiving the first image stabilization information, to perform the image stabilization operation using the second image stabilization information. |
US10237481B2 |
Event camera for generation of event-based images
An imaging device operates as an event camera. The device includes an event sensor and a controller. The sensor comprises a plurality of photodiodes that asynchronously output data values corresponding to relative intensity changes within a local area. The controller populates an event matrix based in part on data values asynchronously received from the sensor and positions of photodiodes associated with the received data values over a first time period. The controller populates a change matrix based in part on a threshold intensity value and the photodiodes associated with the received data values over the first time period, and generates an image for the first time period using the event matrix and the change matrix. |
US10237478B2 |
System and method for correlating camera views
A method for correlating views of two or more video camera systems includes obtaining a plurality of data point coordinate sets to represent relative positioning between the camera systems and data point objects in the environment. The views may be correlated through Interpolation or extrapolation using the obtained data point coordinate sets. Devices such as lasers may also be used to correlate views of two or more video camera systems. |
US10237476B2 |
Display system for a machine
A display system for a machine includes multiple imaging devices configured to capture image data of an environment around the machine. The display system includes a position sensor configured to generate signals indicative of position of an operator seat. The display system further includes a display screen in communication with the imaging device and the position sensor. The display screen receives the image data from the multiple imaging devices. The display screen displays an image on the display screen based on the image data. The display screen receives the signals indicative of the position of the operator seat. Further, the display screen adjusts the image being displayed on the display screen based on the position of the operator seat. |
US10237473B2 |
Depth map calculation in a stereo camera system
A method for generating a depth map is described. The method includes obtaining a first image of a scene from a first image capture unit, the first image having a first depth-of-field (DOF), obtaining a second image of the scene from a second image capture unit, the second image having a second DOF that is different than the first DOF. Each pixel in the second image has a corresponding pixel in the first image. The method also includes generating a plurality of third images, each corresponding to a blurred version of the second image at each of a plurality of specified depths, generating a plurality of fourth images, each representing a difference between the first image and one or the plurality of third images, and generating a depth map where each pixel in the depth map is based on the pixels in one of the plurality of fourth images. |
US10237470B2 |
Focusing device and camera
A focusing device comprises: an image capturing unit that captures a subject image formed via an optical system including a lens for executing focus adjustment and outputs an output signal; an edge detection unit that detects an edge signal among the output signal in correspondence to each color component among a plurality of color components contained in an image based upon the output signal; a calculation unit that calculates edge differential values each of which is a differential value of the edge signal in correspondence to the each color component; and a control unit that controls a moving direction along which the lens is to move based upon a comparison of the edge differential values. |
US10237469B2 |
Information processing apparatus, information processing method, and storage medium
There is provided an information processing apparatus capable of determining an object area with high accuracy. An object area determination unit of the information processing apparatus acquires defocus information of positions in a captured image and information of a position specified as an object in the captured image, and determines, based on a difference between a defocus value at each of the positions and a defocus value at the specified position, an estimated area of the object specified in the captured image. Further, the object area determination unit determines an object area from the captured image based on an evaluation value calculated from each of a plurality of evaluation areas set for the specified position. |
US10237467B2 |
Image capturing device and an image capturing method
An image capturing device adapted to capture a plurality of images of an object and an image capturing method are provided. The image capturing device includes an image sensing element, a first lens element, a second lens element and a variable focal length lens element. The image sensing element includes a plurality of image sensing pixels. The first lens element focuses a beam coming from the object to a focus position in a range of depth of focus. The variable focal length lens element is disposed between the first lens element and the second lens element or inside the first lens element, and is configured to adjust a focus object distance of the image capturing device. The beam has an image width on an image plane of the range of depth of focus. The image width is smaller than a first pixel width. |
US10237465B2 |
Camera, method, and system for filming golf game
The present disclosure provides a camera and a method for filming a golf game. The method comprises: recording the first direction, vertical angle, and horizontal angle of a camera when a desired hitting direction, a desired hitting distance, and a desired proportion of zoom are determined; recording the second direction, vertical angle, and horizontal angle of the camera when a golfer is detected; controlling a pan-tilt head to pan a camera module to the desired hitting direction according to the first and second direction, and the first and second horizontal and vertical angles, and the desired proportion of zoom once the ball is determined to be successfully hit, so as to film the golf game; and stopping filming when a flight duration of the ball corresponding to the desired hitting distance elapses. A system including the camera, a portable device, and a cloud server is also provided. |
US10237464B2 |
Method and apparatus for recording videos
A method for recording videos includes receiving first network connection information sent from a mobile terminal and acquiring second network connection information of a bound monitoring device according to the first network connection information. The bound monitoring device is bound with the mobile terminal. The method further includes, when the first network connection information matches the second network connection information, sending a stop instruction to the monitoring device to stop recording. |
US10237459B2 |
Systems and methods for liveness analysis
In a system for determining liveness of an image presented for authentication, a reference signal is rendered on a display, and a reflection of the rendered signal from a target is analyzed to determine liveness thereof. The analysis includes spatially and/or temporally band pass filtering the reflected signal, and determining RGB values for each frame in the reflected signal and/or each pixel in one or more frames of the reflected signal. Frame level and/or pixel-by-pixel correlations between the determined RGB values and the rendered signal are computed, and a determination of whether an image presented is live or fake is made using either or both correlations. |
US10237458B2 |
Filter switching device used for camera module and mobile device including camera module
A filter switching device used for a camera module and a mobile device that includes a camera module are disclosed.The disclosed filter switching device used for a camera module comprises: a base having a light passage hole formed therein; a filter blade unit that is coupled to the base to rotate about the reference axis of the base and includes a plurality of filters; and a driving unit that rotates the filter blade unit using a magnetic force, wherein one of the plurality of filters is set to the position corresponding to the light passage hole when the filter blade unit rotates by a preset angle in a first direction, and another filter is set to the position corresponding to the light passage hole when the filter blade unit rotates by a preset angle in a second direction that is opposite to the first direction. |
US10237457B2 |
Light receiving apparatus having body and exterior portion secured to mount
Provided is a light receiving apparatus including a first light receiving unit; a body to which the first light receiving unit is secured; a first exterior portion that covers at least a portion of the body; and a mount that is capable of having an interchangeable lens attached thereto, to which the body and the first exterior portion are secured. Also provided is a light receiving apparatus, in which a body to which a first light receiving unit is secured and a first exterior portion that covers at least a portion of the body are secured to a mount that is capable of having an interchangeable lens attached thereto, and force placed on the first exterior portion is absorbed by the mount, such that transfer of the force placed on the first exterior portion to the body is reduced. |
US10237456B2 |
Vehicle camera assembly process
A camera assembly process for assembling a camera for a vehicle vision system includes aligning and affixing an imager PCB at a lens holder so as to align an imager with a lens. A connector PCB is electrically connected to the imager PCB. The lens holder, the connector PCB and the imager PCB are inserted into a housing portion, and a cage retains the connector PCB at the housing portion. A connector assembly is disposed at a rear portion of the housing, and electrically conductive terminals of the connector assembly electrically conductively connect to electrically conductive terminals of the connector PCB at a rear opening at the rear portion of the housing. The lens holder and the connector assembly are movable along a longitudinal axis of the camera assembly relative to the housing portion and adhesively retained thereat. |
US10237451B2 |
Printer cartridges and memory devices containing compressed multi-dimensional color tables
In one example in accordance with the present disclosure a printer cartridge and memory device containing a representation of a compressed multi-dimensional color table is described. The color table includes at least one low dimensional portion of the multi-dimensional color table storing color transformation information at a first resolution and a number of remaining portions of the multi-dimensional color table storing color transformation information at a second resolution. In the color table, the first resolution is greater than the second resolution and the at least one compressed multi-dimensional color table is to generate a high resolution uncompressed multi-dimensional color table the high resolution being at least as great as the first resolution. |
US10237449B2 |
Image reading apparatus and image forming apparatus using the same
An image reading apparatus includes a light source, a detector, and an image processing unit that processes an image so as to determine a color and density of each pixel based on image signals detected by the detector. The image processing unit includes a determination unit that compares a target pixel and adjacent front and rear pixels and that determines a degree of matching of the target pixel to a condition for the target pixel to be a color shift pixel between the adjacent front and rear achromatic color pixels based on a pattern of the color and density. The image processing unit further includes a correction unit that variably sets a color shift correction amount based on the degree of matching, and that corrects the image signal by the color shift correction amount such that the target pixel which is the color shift pixel becomes an achromatic color pixel. |
US10237447B2 |
Image processing apparatus, image processing method, and storage medium
In an image processing apparatus for processing image data to be input, an edge image of which a pixel value changes between pixels is extracted from a generated drawing image of each color. Further, processing for expanding an edge amount is performed with respect to the extracted edge image, and brightness of a pixel of the drawing image corresponding to a pixel of the expanded edge image is adjusted based on the extracted edge amount. |
US10237438B2 |
Reading module and image reading device and image forming apparatus therewith
A reading module has a light source, an optical system, and a sensor. The optical system images, as image light, reflected light of light radiated from the light source to a document. In the sensor, the image light imaged by the optical system is converted into an electrical signal. The optical system has a mirror array and an aperture stop portion. In the mirror array, reflection mirrors are coupled together in an array in the main scanning direction. The aperture stop portion has a first aperture adjusting the amount of the image light reflected from a reflection mirror and a second aperture shielding stray light entering the first aperture from an adjacent reflection mirror. Between the first and second apertures, a reflection reduction mechanism is provided that reduces reflection, toward the first aperture, of light other than the image light traveling from the second to first aperture. |
US10237436B1 |
Extraction of specific color plane to support multiple spot layer range for N-Up copying or printing
Print jobs call for printing multiple logical pages on the same sheet, using base colorants and an extension colorant, and call for a different number of printing passes of the extension colorant for different logical pages. A first bitmap is created, and a first printing pass prints the first bitmap. The first bitmap is then modified to leave only the extension colorant plane, to create a modified bitmap; and a second printing pass prints the modified bitmap on the same sheet. The modified bitmap is additionally modified by removing printing pixels for the logical pages that do not contain an instruction to perform an additional printing pass of the extension colorant; and an additional printing pass prints the modified bitmap. These printing passes are repeated until no more of the logical pages contain the instruction to perform an additional printing pass of the extension colorant. |
US10237435B2 |
Electronic device that ensures reduced data transfer period and recording medium
An electronic device includes a specific-type data storage device, a startup-mode determining unit, and a device startup unit. The specific-type data storage device ensures storing specific type of data. The startup-mode determining unit determines a startup mode of the electronic device. The device startup unit starts up the electronic device in the startup mode determined by the startup-mode determining unit. The startup mode includes an ordinary startup mode and a data-transfer startup mode that transfers the specific type of data to the specific-type-data storage device. The data-transfer startup mode is a mode where among the processes executed in the ordinary startup mode, at least a part of the processes unnecessary for transferring the specific type of data to the specific-type-data storage device is unexecuted. |
US10237434B2 |
Display control apparatus, display control method, and non-transitory computer readable medium for temporarily suspending automatic image switching
A display control apparatus includes an acquisition unit that successively acquires plural images, a display unit that displays the images on a display in an automatic image switching mode by successively switching from one to another the images acquired by the acquisition unit, a suspending unit that temporarily suspends the automatic image switching mode without the acquisition unit stopping acquiring the images if an instruction to suspend the automatic image switching mode is provided, and a resuming unit that resumes the automatic image switching mode that has been temporarily suspended by the suspending unit. |
US10237433B2 |
Information processing apparatus, method of controlling the same, and storage medium for specifying a setting value to be rest and resetting the setting value after initialization
The present invention provides an information processing apparatus that operates based on setting values of items and a method of controlling the same. When any one of a plurality of items corresponding to initialized setting values includes a predetermined item, the information processing apparatus performs guidance for setting a setting value of the predetermined item in order to reset the setting value of the predetermined item, so that a user can reset the setting value. |
US10237429B2 |
System and method for device gamification during job processing
A system and method for gamification of multifunction peripherals includes an intelligent controller having a processor and memory. A touchscreen user interface configured to receive a document processing instruction from an associated user. Electronic images of user fortunes are stored in memory. The processor monitors background tasks on the controller after receipt of a document processing instruction from the user and generates a user interactive graphical image of a tangible object on the touch screen. When a user touches the image on the touch screen, it then displays a user fortune image of a fortune. |
US10237426B2 |
Information processing apparatus that executes a desired wireless communication, control method of information processing apparatus, and storage medium
A communication device communicates with an external apparatus including first and second Near Field Communication (NFC) circuits. The communication device includes a display configured to display information, a third NFC circuit, and a controller configured to cause display of first and second screens that prompt a user to carry out an operation for starting NFC communication. The first screen is displayed in a state in which the third NFC circuit is not permitted to perform specified communication with the first NFC circuit but is permitted to perform specified communication with the second NFC circuit, and the second screen is displayed in a state in which the third NFC circuit is permitted to perform specified communication with the first NFC circuit but is not permitted to perform specified communication with the second NFC circuit. |
US10237424B2 |
System and method for analyzing, notifying, and routing documents
An approach is provided for classifying and routing received documents. Text documents are received by a document classification service. The document classification service determines a classification types for documents based on a keyword-to-classification mapping. A document classification notification is send to a client device. The client device then confirms the document classification. Once confirmed, the document is sent to one or more destinations based on the classification of the document and a corresponding destination mapped to the classification. |
US10237421B2 |
Printers and methods for identifying a source of a problem therein
A printer is provided. The printer has a printer body enclosing an interior, a screen, a camera, and a processor communicatively coupled to the camera. The screen overlies an exterior of at least a portion of the printer body. The camera is disposed within the interior and is configured to capture an image hereof. The processor is configured, by an analytical diagnostic software program, to display the captured image on the screen, thereby simulating a see-through view into the interior. Methods are also provided for identifying a source of a problem in the printer. |
US10237417B2 |
Routing of diameter protocol messages based on a subscriber policy
A device may determine a subscriber identifier associated with a subscriber device. The device may obtain a service indicator based on the subscriber identifier. The service indicator may identify a network service to which a subscriber, associated with the subscriber identifier, is subscribed. The device may obtain a charging data function (CDF) identifier based on the service indicator. The CDF identifier may identify a destination CDF for charging messages associated with the network service. The device may establish a communication session associated with the subscriber device and the network service. The device may transmit one or more charging messages, associated with the communication session, to the destination CDF identified by the CDF identifier. |
US10237414B2 |
Methods, systems, and products for voice-over internet protocol calls
Network resources for a call are allocated. The network resources for the call are reserved based on a reservation. The network resources are reserved before any one network resource is committed. If the call is confirmed, then the network resources are committed. |
US10237412B2 |
System and method for audio conferencing
The present disclosure is directed towards an audio conferencing method. Some embodiments may include receiving, at a first mixing device, a first audio stream from one or more participant conferencing devices. The method may further include generating a top-N voice stream at the first mixing device, wherein the top-N voice stream corresponds with at least one top-N talker and wherein the identification of the at least one top-N talker is based upon, at least in part, an activity ranking. The method may also include receiving the top-N voice stream at a centralized mixing device and generating at least one mixed audio stream at the centralized mixing device. |
US10237408B1 |
Establishing a target handle time for a communication in a contact center using analytics
Various embodiments of the invention provide methods, systems, and computer program products for establishing a target handle time (THT) for a communication routed to an agent in a contact center. Specifically, an analytics component is used to detect a keyword in the communication that identifies a reason for the communication. Accordingly, a THT is set for the communication based on the identified reason and a visual representation of the THT is displayed that includes a component decrementing with the passage of time. At some point, the communication is completed and a disposition code is entered for the communication. Accordingly, in particular embodiments, the disposition code is then confirmed to match the reason for the communication to ensure the correct reason was identified and the correct corresponding THT was set. |
US10237406B2 |
Method and system for processing a customer request through a plurality of communication interfaces and for supervising such processing
A method is provided for processing a customer request through a plurality of communication interfaces and for supervising such processing. Upon reception of a supervision request from a supervisor client application for supervising an operator client application, the connections established with the operator client application are monitored. When an operator of a supervised operator client application is selected for processing a customer, a connection between the communication interface and the supervised operator client application is supervised, and discussion context information of the established connection is stored in a data repository. If a connection through a different communication interface concerning the same request is established by the supervised operator client application, the discussion context information of the connection made through the different communication interface is added to the information already stored in association with the session. |
US10237400B2 |
Method and system for estimating the maximum speed sustainable by a broadband communication line
A method for estimating, in a broadband communication system including an access network and a plurality of broadband communication lines associated with the access network, a sustainable speed that can be sustained by a selected broadband communication line of the plurality of lines. |
US10237399B1 |
Identical conversation detection method and apparatus
An automated system is disclosed for detecting situations in which identical segments of conversation appear within two different recordings. The system automatically detects where within each of two audio recordings an identical conversation segment begins and ends, thus enabling detection of conversations where multiple prison inmates participated in a conference call. |
US10237397B2 |
Electronic terminal with motion-based function restriction
In an aspect of the invention, an electronic device includes an acceleration sensor and a controller. The acceleration sensor detects acceleration. The controller discriminates a type of moving state based on a detection result of the acceleration sensor, and restricts a function according to the discriminated type. |
US10237395B2 |
Apparatus and method for sharing information through presence service in a communication network
An apparatus is capable of sharing information through a presence service in a communication network and capable of providing presence information of a Mobile Station (MS). The apparatus extracts at least one of application information and contents indication information from an application in execution. Presence information is generated that includes at least one of the application information and the contents indication information, and transmitting the presence information to a presence server. |
US10237392B2 |
Parameter control device, parameter control program, and parameter control method
A control device includes: a display, at least one processor, and a memory configured to store a parameter and instructions that, when executed by the at least one processor, causes the control device to: determine whether a parameter of a controllable device can be changed, when the parameter of the controllable device is possible to change, display a first screen for manipulating the parameter of the controllable device on the display and update the parameter of the controllable device by user's manipulation, and when the parameter of the controllable device is not possible to change, display the second screen on the display and lock the parameter of the controllable device. |
US10237389B2 |
System and method for wireless communication of glucose data
Systems, devices, and methods are disclosed for wireless communication of analyte data. One such method includes, during a first interval, establishing a first connection between an analyte sensor system and a display device. During the first connection, the method includes exchanging information related to authentication between the analyte sensor system and the display device. The method includes making a determination regarding whether authentication was performed during the first interval. During a second interval, the method may include establishing a second connection between the analyte sensor system and the display device for transmission of an encrypted analyte value, and bypassing the exchanging of information related to authentication performed during the first connection. The method also includes, during the second interval, the analyte sensor system transmitting the encrypted analyte value to the display device, if the determination indicates that the authentication was performed during the first interval. |
US10237383B2 |
Mobile phone holder
The present invention relates to a mobile phone holder including a base, the holder: providing a flexible sheet and a sliding device so as to allow the fingers to pass through the arch-shaped flexible sheet during one-handed operations; making one-handed operations easier so as to prevent the mobile phone from dropping during one-handed operations; and allowing the height of the flexible sheet provided in an arch shape to be adjusted, thereby enabling the mobile phone to be put directly on a loading surface and allowing a user to freely use both hands. |
US10237378B1 |
Low-latency metadata-based packet rewriter
Provided are systems, methods, and integrated circuits for a low-latency, metadata-based packet rewriter. In various implementations, an integrated circuit may include a first pipeline stage operable to receive packet bytes for a packet and packet information. The first stage may further be operable to extract a first value from the packet bytes, and provide the packet bytes, packet information, and first value. The integrated circuit may further include a second stage, operable to receive the packet bytes and packet information. The second stage may further calculate a value using a value from the packet information, and provide the packet bytes, packet information, and second value. The integrated circuit may further include a third stage, operable to receive the packet bytes, packet information, and a third value. The third stage may further be operable to insert the third value into the packet bytes, and provide the packet bytes and packet information. |
US10237376B2 |
Hardware-based congestion control for TCP traffic
A method for congestion control includes receiving at a destination computer a packet transmitted on a given flow, in accordance with a predefined transport protocol, through a network by a transmitting network interface controller (NIC) of a source computer, and marked by an element in the network with a forward congestion notification. Upon receiving the marked packet in a receiving NIC of the destination computer, a congestion notification packet (CNP) indicating a flow to be throttled is immediately queued for transmission from the receiving NIC through the network to the source computer. Upon receiving the CNP in the transmitting NIC, transmission of further packets on at least the flow indicated by the CNP from the transmitting NIC to the network is immediately throttled, and an indication of the given flow is passed from the transmitting NIC to a protocol processing software stack running on the source computer. |
US10237374B2 |
Scalable content delivery network request handling mechanism to support a request processing layer
Described herein are improved systems, methods, and devices for delivering and managing metadata in a distributed computing platform such as a content delivery network (CDN) so as to configure content servers to handle client requests. The teachings hereof provide, among other things, scalable and configurable solutions for delivering and managing metadata, preferably by leveraging dynamically obtained control information. For example, in one embodiment, a given content server may store metadata, e.g., in a configuration file, that references dynamic, late-bound control information for use in satisfying dependencies. This dynamic control information can be requested by the CDN content server, typically from a remote host, when needed to parse and execute the metadata. |
US10237373B2 |
Performance-based determination of request modes
Features are disclosed for determining preferred content request modes for client computing devices when initiating content requests. The request modes may correspond to direct requests (e.g., requests made from a client device directly to a content sever hosting requested content) or to indirect requests (e.g., requests made from the client device to the content server via an intermediary system). The preferred request modes made be based on a statistical analysis of performance data (e.g., prior content load times) obtained from one or more client computing devices for a given content item, group of content items (e.g., domain), and the like. |
US10237372B2 |
Scalable programming architecture for telecommunications devices
Methods, systems, and apparatus, including computer programs encoded on a computer storage medium, for managing operation of telecommunications devices. In one aspect, a method includes actions of executing a container program that lacks scripts that manage operation of telecommunications devices that interact with a cloud platform, monitoring messages generated by the telecommunications, filtering the messages to select a subset of messages that trigger execution of the scripts by the container program, identifying, for each selected message in the subset of messages and from an index of available scripts, a particular script that is executed in response to receipt of the selected message, merging each particular script with the container program to create a management program that manages operation of the telecommunications devices based on the subset of messages, and managing operation of the telecommunications devices with the management program. |
US10237371B2 |
Content management and transformation system for digital content
A transformation engine that enables content and information to be transformed from one format, a source format, to a format that is compatible with the requesting device, a destination format. Advantageously, various device types can access and share content via a network without concern as to the original format of the content. When a client device provides a request for content, the transformation engine identifies delivery characteristics of the client device, and identifies a source for the requested content. The transformation engine then transforms the source formatted content into a format identified through a best fit analysis of the delivery characteristics of the device. |
US10237368B2 |
Semantic information processing
A system for exchanging various forms of information between computer-executable agents. A computing device is configured to determine semantic data associated with each data object (DO) of a plurality of DOs. Each DO is associated with a location, and the semantic data describes the content of the associated DO. The computing device receives, from a first user computing device, a request for DO information and, in response to the request, provides DO information including the locations and the semantic data associated with the retrieved DOs to the user computing device by (a) transmitting the locations and the semantic data to the first user computing device, and/or (b) instructing the first user computing device to request the DO information from a second user computing device to which the locations and the semantic data were previously transmitted. |
US10237362B2 |
Efficient communication within hybrid cloud system
Techniques for communicating between service nodes within a hybrid cloud computing system. These techniques include utilizing a messaging provider within an off-premise computing system to pass messages between off-premise servers holding a connection to an on-premise computer system and off-premise servers holding a connection to an end user computer system. |
US10237361B2 |
Activity graphs
The description relates to activity graphs. One example can obtain details from multiple activity cards. The example can identify relationships between at least some of the details of the multiple activity cards. The example can also generate an activity graph that reflects at least some of the relationships between individual activity cards. |
US10237355B2 |
Software-controlled cloud exchange
In some examples, a method includes: providing, by a programmable network platform (PNP), a software interface to receive service requests for configuration of services; receiving a service request to configure a service within the edge network of the one or more network data centers; generating, by the PNP and based on the service request, a service definition that specifies one or more service requirements to implement the service; determining at least one network field unit that is capable of servicing the service request, wherein the network field unit controls a portion of the edge network; determining one or more particular, physical devices of the edge network that are usable to provide the service; and configuring physical devices of the edge network to provide the service. |
US10237354B2 |
Technologies for offloading a virtual service endpoint to a network interface card
Technologies for offloading virtual service endpoint capabilities include a compute node and network controller. A device driver of a compute device may discover offloaded virtual service endpoint capability of a network interface card of the compute device. The device driver may advertise the offloaded virtual service endpoint capability to a network agent and virtual network functions provided by the compute device. An out-of-band tag may be associated with the offloaded virtual service endpoint to identify network packets to be processed by the offloaded virtual service endpoint. The network agent may add a flow entry to a forwarding table of a network switch of the compute device based on network flow information received from the network controller. The network agent may also associate the added flow entry with the offloaded virtual service endpoint based on the out-of-band tag and configure the offloaded virtual service endpoint based on the network flow information. |
US10237352B2 |
Optimal source interface selection
Aspects of the subject matter described herein relate to selecting a source interface with which to establish a connection. In aspects, a profile for each network location a host has seen is maintained in a data store. The profile includes information about the network interfaces available to a source host at the network location. This information indicates, among other things, the reliability of each interface of the source host. Based on the profile, an interface is selected with which to establish a connection. If the interface is unsuccessful in establishing the connection, the interface is de-prioritized and another interface may be selected. |
US10237350B2 |
System and method for a database proxy
A database proxy includes a request processor, a cache, a database plugin, and interfaces for coupling the database proxy client devices, other database proxies, and database servers. The request processor is configured to receive a read request from a client, determine whether the read request is assigned to the database proxy, and return results of the read request to the client. When the read request is not assigned to the database proxy, the read request is forwarded to another database proxy. When the read request is assigned to the database proxy, the read request is processed using data stored in the cache when the results are stored in the cache or forwarded to the database plugin, which forwards the read request to a database server, receives the results from the database server, and returns the results to the request processor for storage in the cache. |
US10237348B2 |
Network system and control method of a network system, and a control device
When able to communicate with a control server 15, a POS terminal 10 updates a transaction information management database 414 with transaction information data based on a transaction process. The POS terminal 10 stores the transaction information data when not able to communicate, and when communication is enabled again, causes the control server 15 to update the transaction information management database 414 based on the stored transaction information data. If queried for specific transaction information data while unable to communicate with the control server 15 and the requested transaction information data is not stored locally, the POS terminal 10 queries other POS terminals 10 through the local area network LN for the specific transaction information data. |
US10237339B2 |
Statistical resource balancing of constrained microservices in cloud PAAS environments
A resource balancer for a cluster of nodes in a cloud computing system includes a load calculation module that receives load reports for respective load metrics associated with the nodes, wherein the load reports are indicative of respective loads on a plurality of resources provided by the nodes, calculates, based on the received load reports, an expected distribution of loads across the nodes for each load metric, and calculates, based on the expected distribution of loads, probabilities that each of the load metrics will exceed a respective predetermined capacity for each node. A load balancing module selectively balances, based on the calculated probabilities, the loads on the resources provided by the nodes. |
US10237332B2 |
Sharing network addresses
A network address assigned a shared designation by a first client computer is received, in a first data format, automatically, at a host computer from the first client computer. The network address is categorized and published. Publishing the network address includes converting the network address into a second data format, receiving, at the host computer, a subscription request from a second client computer, and sending the network address to the second client computer in response to receiving the subscription request. |
US10237330B2 |
System and method for transferring data between electronic devices
A system and method are provided for transferring data from a first mobile device to another device by determining a set comprising one or more items, initiating a first transfer of at least some data associated with at least one of the one or more items to the other device, detecting an interaction with the set, and enabling a second transfer of additional data associated with the set. |
US10237328B2 |
Contextual, two way remote control
This application is directed to enabling interaction between computer devices. A first computer device is configured to access Internet-based media content sources and play media content items provided thereby on a media device. The first computer device transmits an information item and an action definition to a second computer device. The action definition includes commands associated with the information item. The information item includes information describing media content items, and the information corresponds to one of the Internet-based media content sources accessible via the first computer device and is configured to be displayed on the second computer device. In response to a user input at the second computer device, the first computer device receives, from the second computer device, and executes one of the commands in the action definition to perform a specified action with respect to the media content items corresponding to the Internet-based media content sources. |
US10237323B2 |
Communication apparatus, communication method, communication system, and storage medium
A communication apparatus establishes a connection and at least one stream for communication with another communication apparatus, determines a first window size relating to a buffer size for the connection and a second window size relating to a buffer size for the at least one stream based on communication information about communication between the communication apparatus and the other communication apparatus, and transmits to the other communication apparatus the first and second WINDOW_UPDATE frames. |
US10237320B2 |
Playback of an unencrypted portion of an audio stream
This disclosure concerns the providing of media, and more particularly to the streaming of media. In one example embodiment of a method for streaming an audio content item to an electronic device, the method comprises: receiving a first data message comprising instructions from an electronic device to return a preview segment associated with a selected audio content item, the preview segment being a portion of the selected audio content item; retrieving said selected audio content item from a first storage; analyzing audio content of the retrieved audio content item to locate a portion of the selected audio content item to be used as the preview segment; extracting the located portion of the selected audio content item from the retrieved audio content item; and transmitting the extracted portion of the selected audio content item unencrypted to the electronic device. |
US10237318B2 |
Electronic device and method for encoding image data thereof
Methods and devices for image data encoding are provided. Image data is created using at least one image captured by a camera of the electronic device. First encoded image data is created by encoding at least a portion of the image data in a first manner. Second encoded image data is created by encoding the at least a portion of the image data in a second manner. The second manner is different from the first manner. The first encoded data is stored in a memory of the electronic device. The second encoded data is transmitted from the electronic device to an external device. |
US10237315B2 |
Distributing communication of a data stream among multiple devices
Methods, apparatus and articles of manufacture for distributing communication of a data stream among multiple devices are disclosed. Example methods disclosed herein include receiving, at a first device, a sharing code from a second device, the sharing code to associate multiple devices with a shared connection to be established to distribute communication of a complete data stream among the multiple devices, the multiple devices including the first device. Disclosed example methods also include transmitting a request including the sharing code from the first device to a distribution system to establish a data connection via which the first device is to receive a first partial data stream corresponding to a portion of the complete data stream from the distribution system. Disclosed example methods further include forwarding the first partial data stream from the first device to the second device. |
US10237310B2 |
Method and apparatus for controlling information in virtual world
Provided is a method for controlling information shared in a virtual world by a server and a plurality of users' client terminals connected to each other through a network. In this information control method, a computer creates a third object between a first object and a second object in the virtual world when the first object and second object transmit communication information therebetween, and filters the information with the third object. In addition, when an object transmits visual information, the third object can be created around the object transmitting the visual information. The third object can be created as a pseudo wall. |
US10237307B2 |
Method and system for communicating between a media processor and network processor in a gateway device
A gateway device includes a media processor module comprising a gateway application module. The gateway device also includes a router module comprising a gateway abstraction module and an interface handler module. The gateway device also includes a shared interface module in communication with the router module and the media processor module. The shared interface module receives a service request signal from the gateway application module. The gateway abstraction module obtains the service request signal from the shared interface module through an interface handler module. The gateway abstraction module communicates a response signal to the media processor module through the shared interface module. The media processor module performs a function in response to the response signal. |
US10237306B1 |
Communicating service encryption key to interceptor for monitoring encrypted communications
A disclosed method includes operations of a control computer and interceptor computer. The control computer creates a certificate request and sends it to a certificate issuer, the certificate request created with an encrypted blob including a service private key S-PrK encrypted with an escrow server public key E-PuK. The control computer receives the certificate from the certificate issuer and provisions it to the service server along with S-PRK for use in secured communications with clients. The interceptor computer monitors session-establishment communications, e.g. a TLS handshake, between the service server and client to obtain the digital certificate, and retrieves the encrypted blob from the certificate and sends it to the escrow server. The escrow computer retrieves S-PrK by decrypting the encrypted blob using the escrow private key E-PrK, and returns S-PrK to the interceptor, where it is used to decrypt secure-session communications between the client and service server. |
US10237305B2 |
Methods and systems for enabling legal-intercept mode for a targeted secure element
Disclosed herein are methods and systems for enabling legal-intercept mode for a targeted secure element. In an embodiment, a method includes embedding a first key-negotiation parameter associated with a target client device into an intercept secure encryption element; configuring an encryption-management device to receive the intercept secure encryption element and to receive a second key-negotiation parameter associated with the target client device and obtained from a service-provider system, the encryption-management device configured to embed the second key-negotiation parameter into the intercept secure encryption element; and providing the intercept secure encryption element to a communications-intercept system configured to obtain intercepted messages associated with the target client device, the intercepted messages comprising session-key-negotiation messages and associated data messages encrypted with a corresponding negotiated session key, wherein the intercept secure encryption element is configured to (i) identify the negotiated session key based on the session-key-negotiation messages and the first and second key-negotiation parameters and (ii) decrypt the data messages with the negotiated session key. |
US10237302B1 |
System and methods for reverse vishing and point of failure remedial training
Embodiments of the disclosure describe a simulated phishing campaign manager that communicates a simulated phishing communication that includes at least the telephone number and reference identifier, to a device of a user. The content of the simulated phishing communication may prompt the user to call the telephone number identified in the simulated phishing communication. The security awareness system may select a telephone number and a reference identifier to use for the simulated phishing communication, the combination of which may be later used to identify a specific user if they respond to the message. Each of a plurality of users may have a unique combination of telephone number and reference identifier. The telephone number may be selected based on the geographic location of the user, or the telephone number may be selected to correspond to content in a simulated phishing communication. |
US10237297B2 |
System and method for threat incident corroboration in discrete temporal reference using 3D dynamic rendering
A system and method for evaluating cyber-security threat incidents of a computer network is described in this document. In particular, it is described that cyber-security threat incidents of a computer network may be visualized by displaying these threat incidents as a plurality of graphical objects on a display of a device. A subset of these graphical objects or threat incidents may then be selected by applying a single continuous touch input to a touch interface of the device. A risk score will then be generated and displayed based on the threat incidents that are contained within the subset of graphical objects. Mitigation actions addressing the cyber-security threats that triggered these threat incidents are then implemented by the device. |
US10237296B2 |
Automated penetration testing device, method and system
A method for performing automatic penetration testing (PT) in an organization having at least one end unit, the method comprising: providing a PT device having a PT algorithm stored in a memory unit; connecting the PT device to an active network port in the organization; performing automated penetration testing, comprising: scanning the network to identify all end units and vulnerabilities in the network; creating possible attack scenarios; attacking the network according to the PT algorithm, and based on the attack scenarios; and creating a vulnerability report, wherein the PT algorithm simulates the operation of a real hacker, and wherein overloading the network is prevented. |
US10237292B2 |
Selecting network security investigation timelines based on identifiers
Techniques and mechanisms are disclosed that enable network security analysts and other users to efficiently conduct network security investigations and to produce useful representations of investigation results. As used herein, a network security investigation generally refers to an analysis by an analyst (or team of analysts) of one or more detected network events that may pose internal and/or external threats to a computer network under management. A network security application provides various interfaces that enable users to create investigation timelines, where the investigation timelines display a collection of events related to a particular network security investigation. A network security application further provides functionality to monitor and log user interactions with the network security application, where particular logged user interactions may also be added to one or more investigation timelines. |
US10237289B2 |
Method and device for detecting network intrusion
The present disclosure provides a method and a device for detecting network intrusion. The method includes: obtaining a feature vector of a network flow to be detected; and detecting the feature vector using a deep neural network, and determining a network intrusion category of the network flow to be detected, in which the deep neural network is generated by training with training data, the training data includes feature vectors of normal samples and feature vectors of attack samples, the attack samples include original attack samples and generated attack samples by adding noise to the original attack samples. The method can improve an ability to identify unknown attacks and a normalization ability of known attacks. |
US10237287B1 |
System and method for detecting a malicious activity in a computing environment
System and method for detecting a likely threat from a malicious attack is disclosed. Communication between a user computer and a destination computer is monitored by a security appliance. Selective information from the communication is extracted. One or more weak signals of a threat is detected based on the selective information. One or more weak signals are evaluated for a likely threat based on a threshold value. A corrective action is initiated for the likely threat, based on the evaluation. |
US10237286B2 |
Content delivery network protection from malware and data leakage
Content Delivery Network (CDN) protection systems and methods, performed by a cloud node in a distributed security system include receiving traffic between one or more origin servers and the CDN; monitoring the traffic based on policy; detecting one or more of malware and data leakage in the traffic based on the policy; and blocking the traffic responsive to the detecting the one or more of the malware and the data leakage in the traffic, prior to the traffic entering the CDN. |
US10237283B2 |
Malware domain detection using passive DNS
Techniques for malware domain detection using passive Domain Name Service (DNS) are disclosed. In some embodiments, malware domain detection using passive DNS includes generating a malware association graph that associates a plurality of malware samples with malware source information, in which the malware source information includes a first domain; generating a reputation score for the first domain using the malware association graph and passive DNS information; and determining whether the first domain is a malware domain based on the reputation score for the first domain. |
US10237282B2 |
Data leak protection
Methods and systems for Data Leak Prevention (DLP) in an enterprise network are provided. According to one embodiment, a network security device maintains a filter database containing multiple filtering rules. Each filtering rule specifies a watermark value, a set of network services for which the filtering rule is active and an action to be taken. Network traffic directed to a destination residing outside of an enterprise network, associated with a particular network service and containing a file is received. A watermark value embedded within the file is identified. When there exists a filtering rule specifying a matching watermark value and for which the filtering rule is active for the particular network service, the action specified by the filtering rule is performed. |
US10237278B1 |
Permission delegation technology
In one implementation, a computer system maintains one or more permissions associated with a credential held by a first user, where at least one of the one or more of permissions is delegatable by the first user to one or more other users. The computer system receives an indication that the first user has chosen to delegate a particular permission from amongst the one or more permissions to a second user, wherein the particular permission is needed to perform a particular type of action. Based on the first user indicating a choice to delegate the particular permission to the second user, the computer system associates the delegation of the particular permission with the second user. Based on delegating the particular permission with the second user, the computer system enables the second user to perform the particular type of action. |
US10237277B2 |
Generating and utilizing digital visual codes to grant privileges via a networking system
One or more embodiments of the disclosure include systems and methods that generate and utilize digital visual codes. In particular, in one or more embodiments, the disclosed systems and methods generate digital visual codes comprising a plurality of digital visual code points arranged in concentric circles, a plurality of anchor points, and an orientation anchor surrounding a digital media item. In addition, the disclosed systems and methods embed information in the digital visual code points regarding an account of a first user of a networking system. In one or more embodiments, the disclosed systems and methods display the digital visual codes via a computing device of the first user, scan the digital visual codes via a second computing device, and provide privileges to the second computing device in relation to the account of the first user in the networking system based on the scanned digital visual code. |
US10237274B2 |
Software defined network (SDN) application integrity
A Network Function Virtualization Infrastructure (NFVI) controls a Software Defined Network (SDN) Application Programming Interface (API) between a source SDN Virtual Network Function (VNF) and a target SDN VNF. NFV circuitry executes the source SDN VNF and transfers an identity code embedded in the source SDN VNF to Management and Orchestration (MANO) circuitry. The MANO circuitry translates the SDN VNF identity code into API privileges between the source SDN VNF and the target SDN VNF. The MANO circuitry transfers the SDN API privileges to the target SDN VNF. The NFV circuitry executes the source SDN VNF and transfers SDN API data from the source SDN VNF to the target SDN VNF. The NFV circuitry executes the target SDN VNF and processes the SDN API data based on the SDN API privileges. |
US10237272B2 |
Methods, apparatus, and systems for identity authentication
An identity authentication method is provided. The method comprises receiving request information transmitted by an online terminal device for determining neighbor network devices and determining at least one neighbor network device of the online terminal device according to the request information, identifying at least one credible neighbor network device of the online terminal device from the at least one neighbor network device and identifying at least one weighted neighbor network device of the online terminal device from the at least one neighbor network device, and computing a credibility score of the online terminal device according to a number of the credible neighbor network device and a number of the weighted neighbor network device, generating authentication notification information according to the credibility score, the authentication notification information indicating whether the online terminal device passes identity authentication, and transmitting the authentication notification information to the online terminal device. |
US10237270B2 |
Distributed storage of authentication data
A biometric server receives, a transmission of a biometric sample and an encrypted enrollment template of the user from a client device, wherein the encrypted enrollment template comprises an encrypted mathematical representation of historical biometric data of the user, and wherein the biometric sample comprises a current snapshot of the biometric data. The biometric server decrypts the encrypted enrollment template to an enrollment template using an enrollment template key. The biometric server converts the biometric sample to a biometric template. The biometric server, based on determining that the biometric template is similar to the enrollment template associated with the user, sends an access token to the client device. |
US10237262B2 |
Assembly manager
An assembly management system allows a software service provider (SSP) to compile and upload client-specific client application code into a repository. The SSP deploys a client application comprising non-client-specific code to various clients. When a user logs in, a call is made to a web service, which queries the repository for code specific to the requesting client. If available, the web service sends a response with the name and version of the assembly to which the client is subscribed. If the locally-saved version does not match the version of the assembly in the repository, and if the SSP has permission to write to the client's disk, the web service retrieves the assembly and commits it to the disk. If the SSP does not have permission, the assembly is streamed to the client device and retained and executed in memory for the duration of the login. |
US10237261B2 |
Systems and methods for location-based authentication
Systems and methods are disclosed for performing location-based authentication using location-aware devices. One method includes: receiving an access request comprising authentication credentials and a first location from a first location-aware device; receiving a second location from a second location-aware device associated with the authentication credentials; and upon determining that the first location and second location are within a pre-determined distance, authenticating the authentication credentials. |
US10237257B2 |
Network service header used to relay authenticated session information
In one embodiment, a system, method, and computer program product are disclosed for authenticating a packet received from a client node, storing the results of the authentication in a cache memory of a service classifier node, and including the results of the authentication in a network service header of a packet before forwarding the packet to downstream service nodes. In one embodiment, the initial authentication is performed in conjunction with an authentication node. |
US10237253B2 |
Private cloud routing server, private network service and smart device client architecture without utilizing a public cloud based routing server
A method and system for use with a public cloud network is disclosed, wherein the public cloud network includes at least one private cloud routing server, at least one private network service and at least one smart device client in communication therewith. The method and system comprise setting up the at least one private cloud routing server, the at least one private network service and the at least one smart device client in a client server relationship. The at least one private cloud routing server includes a message box associated therewith. The first message box is located in the public network. The at least one smart client includes a second message box associated therewith. The second message box is located on the public network. The method includes passing session based message information between the at least one private cloud routing server and the at least one smart device client via the first message box and the second message box in a secure manner. The session based information is authenticated by the private cloud routing server and the at least one smart device client. The smart device client and the private cloud routing server can then communicate with each other after the session based information is authenticated. The at least one private network service is then manageable and accessible by the at least one smart device client from anywhere at anytime without utilizing a public cloud based routing server. |
US10237252B2 |
Automatic creation and management of credentials in a distributed environment
A multi-node cluster is configured for credential management. A method commences by retrieving a super-user credential from a credential record stored in a location accessible to the cluster, then propagating the super-user credential to a set of nodes in the multi-node cluster. A credential creating processes is invoked on at least some of the set of nodes. Application-level credential access can be implemented in a multi-cluster environment by carrying-out an exchange that passes credentials between a first cluster and a second cluster over a secure channel. A protocol is observed whereby one or more applications running on the first cluster receive new credentials for accessing the second cluster from the credential serving process after the credential creating process creates the new credential. |
US10237251B2 |
Communication device and system, data processing method and method for securely exchanging data
The present invention relates to a method for processing data in a communication device comprising a host and a security module associated with this host. This method comprises the steps of generating, by the security module, a plurality of initial keys from an initial keys generation function, and of transmitting said plurality of initial keys to said corresponding host. For each initial key received by the host, the method comprises a step of generating a plurality of encryption keys by an extension module of said host, by using an extension function. The method further comprises the steps of receiving the data to be processed by said host and of combining said data to be processed with said encryption keys, in a combination module of said host. |
US10237244B2 |
Method and apparatus for managing device context using an IP address in a communication system
The present invention relates to a system configuration and an apparatus for preventing the infringement of user privacy, and for collecting and analyzing information regardless of the service feature and type, while a system providing a context aware service collects and analyzes user information. The present invention discloses a method of configuring and using a context analyzer and a device profile database in order for the system providing the context aware service to collect and analyze information regardless of the service feature and type. |
US10237242B2 |
Communicating with a device
The present disclosure provides a method and apparatuses configured for identifying a server instance in communications between an entity and a bootstrapping server. In particular, the method comprises the step of sending a data communication between the entity and the bootstrapping server, wherein the data comprises a pointer to the server instance. |
US10237239B2 |
Policy-based load distribution between host-based packet processing units
A mechanism is provided in a network security subsystem in a virtual machine monitor for policy based load distribution among a plurality of packet processing units. Responsive to receiving a packet from a virtual machine, the network security subsystem compares the packet to rules in a load distribution policy in the network security subsystem. Responsive to the packet matching a rule in the load distribution policy, the network security subsystem identifies a packet processing unit list and an action in the matching rule. The network security subsystem distributes the packet to a selected packet processing unit from the packet processing unit list based on the action. |
US10237237B2 |
Communication protocols in integrated systems
Systems and methods comprise a gateway that includes a processor coupled to a security system at a premises via a channel. The channel comprises a protocol of the security system that is a proprietary bus protocol of the control panel of the security system. A touchscreen at the premises is coupled to the gateway and presents user interfaces. The user interfaces include a security interface that provides control of functions of the security system and access to data collected by the security system, and a network interface that provides access to network devices. A camera is located at the premises and coupled to the gateway. A security server at a remote location is coupled to the gateway. The security server comprises a client interface through which remote client devices exchange data with the gateway and the security system. |
US10237234B2 |
System and method for automated and semiautomated configuration of facility automation and control equipment
A system and method for configuring devices on a device network in communication with a router on a control network. An unconfigured device installed on the network receives a poll at a predetermined address from a router. The router receives a response to the poll from the unconfigured device, and requests identification of devices having a range of device object IDs and stores the MAC addresses of responding devices and the device object IDs. The unconfigured device is configured to have the proposed MAC address and device object ID. |
US10237233B2 |
Allocating identifiers with minimal fragmentation
In certain embodiments, a system includes one or more memory units and one or more processing units. The memory units store blocks that each include a number of identifiers. The memory units include executable instructions that upon execution by the processing units cause the system to receive a request to allocate an identifier to an entity. The request includes data identifying the entity. A target block of identifiers is identified. The target block includes more unallocated identifiers than any other block. The target block is split into first and second blocks. The identifiers of the second block are each higher than any identifier of the first block. The second block is assigned to the entity, and a lowest identifier of the second block is allocated to the entity. |
US10237232B2 |
Geocoding with geofences
Systems and methods of registering geocodes with a geofence and delivering geocodes which define geofences to devices are described herein. Geocodes include strings of words, letters, numbers, and combinations thereof. Geocodes associated with the same or similar geofences are cohesive and provide for improved location information. |
US10237229B2 |
Intelligently splitting text in messages posted on social media website to be more readable and understandable for user
A method, system and computer program product for improving readability and understandability in messages posted on a social media website. The messages posted on a social media website, such as the user's social networking feed, are scanned. The scanned messages are analyzed for topics, meaning and/or tenses using natural language processing. The text in the scanned messages are split into message segments based on topic, meaning, tenses, punctuation, custom identifiers, hashtags and/or @ symbols. These message segments are then grouped based on relatedness of the topics, meaning and/or tenses. The message segments are ordered in each group of message segments, such as based on timestamps. The ordered message segments are then displayed to the user. By displaying these message segments in separate groupings in a logical order, the user will be able to view the messages posted on the user's social media website in a more readable and understandable manner. |
US10237227B2 |
Efficient creation of drafts associated with sponsored content
An online system enables content providers to efficiently create and publish sponsored content in multiple phases. Each phase of the content creation is represented by a draft of the content. Multiple drafts of the content are stored in a repository of the online system for optimizing content delivery and publication, such as quick identification of conflicts in a draft based on analysis of draft fragments of the draft. Objects associated with the sponsored content are stored in an object model, including a key-value table. The connections amongst the various draft segments of a draft are stored in a hierarchy structure, e.g., a tree structure. Each user associated with a draft has a role, such as an owner or a collaborator, where all of the roles can contribute to the draft. The online system efficiently publishes the drafts of the sponsored content and presents the sponsored content to its target users. |
US10237224B2 |
Context aware serialization
Certain embodiments of the present invention relate to techniques for serializing account and message management actions performed at a message management service for synchronization with a messaging service. A message management service can receive requests from a client device and/or a messaging service to perform actions on resources for an email message account. The actions can include account-related actions, folder-related actions, message thread-related actions, and/or message-related actions. Message management service can implement techniques for serializing actions that conflict, such as actions on a resource (e.g., a message account, a message, a folder, or a message thread) that conflict with other actions on the same resource and/or other resources that have a dependency relationship. By performing serialization, message management service can prevent conflicting actions from being performed concurrently, while enabling non-conflicting actions to be performed in parallel, thereby improving performance for execution of actions. |
US10237222B2 |
Systems and methods for directing messages to multiple user profiles on a mobile device
The disclosed technology covers messaging systems and methods, and computer program products embodying such systems and methods. An example computer-implemented method includes receiving, at a message server, a plurality of messages for a plurality of remote mobile devices; and providing a single network connection between the message server and a first mobile device of the plurality of mobile devices. The first mobile device may have a first user profile and a second user profile, each with its own applications and preferences. The method may include associating the first user profile with a first user identifier, and the second user profile with a second identifier. When a message is received, and directed toward the first user profile, the method may include packaging the first message with the first user identifier, and transmitting the first message, along with the first user identifier, to the first mobile device. |
US10237214B2 |
Methods and devices for sharing media data between terminals
Methods and devices are provided for sharing media data between terminals. The method includes: a first terminal receives a media data sharing parameter through a NFC link, the media data sharing parameter including: a sharing link connection parameter, and/or, location information of media data to be shared. When the media data sharing parameter includes the sharing link connection parameter, the first terminal establishes a sharing link between the first terminal and the second terminal according to the sharing link connection parameter, and acquires the media data to be shared through the sharing link. When the media data sharing parameter includes the location information of the media data to be shared, the first terminal acquires the media data to be shared according to the location information of the media data to be shared. |
US10237212B2 |
RCS origination forking
In an IMS (IP multimedia system) and/or RCS (rich communication services) environment, devices that support origination forking of various message types are configured to register with an IMS network and to provide an indication that they support origination forking. The IMS network is configured to record this information for its subscribing devices. When the IMS network receives a message request from an origination device, the message request is forwarded to termination devices as well as to other supporting devices that are associated with the user of the origination device. |
US10237209B2 |
Initializing a conversation with an automated agent via selectable graphical element
Methods, apparatus, systems, and computer-readable media are provided for invoking an agent module in an automated assistant application in response to user selection of a selectable element presented at a graphical user interface rendered by a non-automated assistant application. The invoked agent module can be associated with other content rendered in the non-automated assistant graphical user interface, and can optionally be invoked with values that are based on user interactions via the non-automated assistant application. Responsive content can be received from the agent module in response to the invocation, and corresponding content provided by the automated assistant application via an automated assistant interface. In these and other manners, selection of the selectable element causes transition from a non-conversational interface, to a conversational automated assistant interface—where an agent (relevant to content in the non-conversational interface) is invoked in the automated assistant interface. |
US10237208B2 |
Fast mobile mail with context indicators
Facilitating replying to received email messages includes presenting a selectable set of fast reply tokens that correspond to snippets of multimedia content, providing a mechanism to superimpose a selected subset of the fast reply tokens on to different portions of the received email message to compose an annotated email messages, wherein the fast reply tokens are separate from any reply email text, and sending the annotated email message. The fast reply tokens may include action icons, status icons, emoticons, emojis, expressive elements, clip art, highlighters, geometric shapes, arrows, short tunes, audio clips, video clips, abbreviated standard texts, and freehand notes. Facilitating replying to received email messages may also include providing cultural adaptations and localization of fast reply tokens based on a setting provided by a recipient of the annotated email message. |
US10237204B2 |
Switch chassis with flexible topology
A method for communication includes providing multiple chassis. Each chassis includes a plurality of slots, which are arranged in at least an outer tier and a middle tier, and which are configured for insertion therein of respective switches. In at least a first chassis among the multiple chassis, first internal interconnects are connected between the slots in the middle tier and the slots in the outer tier, so as to connect each of the slots in the middle tier to multiple slots in the outer tier. In at least a second chassis among the multiple chassis, second internal interconnects are connected directly between the slots in the outer tier. External interconnects are connected between at least some of the slots in the outer tier of the first chassis and at least some of the slots in the outer tier of the second chassis in order to define a network. |
US10237197B2 |
System and method for processing data packets for transmission in a wireless communication network
This disclosure relates generally to communication network, and more particularly to a system and method for processing data packets for transmission in a wireless communication network. In one embodiment, a method is provided for processing data packets for transmission in a wireless communication network. The method comprises dynamically creating a plurality of data packet discard groups (DPDG's), determining a plurality of simultaneously active data packet transmission protocol (DPTP) packet discard timers (DPDT's) corresponding to the plurality of DPDG's, and assigning each of the plurality of simultaneously active DPDT's to each of the plurality of DPDG's. Each of the created plurality of DPDG's comprises a plurality of data packets. |
US10237195B1 |
IP video playback
An improved IP video playback system for quicker access and better user experience during IP video playback is provided. Aspects of the IP video playback system allow a content service provider to provide quicker access to requested content items. When a user requests access to content items, an analysis is performed to calculate the lengths of time in which a portion of the content item can be downloaded, cached, and displayed and the corresponding size of that portion. The analysis may be based on various parameters. Once the analysis is performed and the portion is downloaded, playback may begin and the process may be repeated as necessary with increasing portion sizes until a predetermined portion size is reached, the user indicates a content switch, or the content item has been fully downloaded. |
US10237193B2 |
Prioritizing short-range wireless packets for time-sensitive applications
In embodiments, a client station operates to identify and prioritize time-sensitive short-range wireless packets, such as time-sensitive Bluetooth Low Energy (BTLE) packets, in a congested wireless environment. The client station may identify time-sensitive packets using, e.g., the type of device providing the packets, a state of the device providing the packets, and/or the type of data included in the packets. The client station may prioritize the time-sensitive packets in various ways, including by providing priority scheduling to the time-sensitive packets; pausing communication of other types of Bluetooth packets, such as A2DP packets; reducing a data rate of other types of Bluetooth packets, such as A2DP packets; and/or extending a data packet size of time-sensitive BTLE packets. The time-sensitive packets may thus be prioritized over packets associated with the same wireless protocol and/or a different wireless protocol. |
US10237191B2 |
Traffic class arbitration based on priority and bandwidth allocation
This disclosure describes systems, devices, methods and computer readable media for enhanced network communication for use in higher performance applications including storage, high performance computing (HPC) and Ethernet-based fabric interconnects. In some embodiments, a network controller may include a transmitter circuit configured to transmit packets on a plurality of virtual lanes (VLs), the VLs associated with a defined VL priority and an allocated share of network bandwidth. The network controller may also include a bandwidth monitor module configured to measure bandwidth consumed by the packets and an arbiter module configured to adjust the VL priority based on a comparison of the measured bandwidth to the allocated share of network bandwidth. The transmitter circuit may be further configured to transmit the packets based on the adjusted VL priority. |
US10237187B2 |
System and method for service chain load balancing
The present disclosure is directed towards systems and methods of service chain load balancing. A controller intermediary to a client and computing infrastructure identifies a plurality of service chains. Each of the plurality of service chains include a path having an instance of a first service provided by the computing infrastructure and an instance of a second service provided by the computing infrastructure. The controller determines a path weight for each of the plurality of service chains. The path weight indicates a level of efficiency of delivering services in accordance with the service chain. The controller selects, based on a load balancing function and the path weight for each of the plurality of service chains, a service chain from the plurality of service chains to direct network traffic from a client. |
US10237183B2 |
Detecting tethering in networks
A system for detecting a computing device tethered to a mobile device is described. The system includes communicatively coupled the computing device to the mobile device with an IPv4 domain protocol. Additionally, the mobile device is communicatively coupled to the mobile data network with an IPv6 domain protocol. A network module, associated with a mobile data network, receives a DNS request packet from the mobile device. An IPv6 hostname and an IPv6 destination address is associated with the DNS response packet, when the DNS response packet is associated with the IPv6 address. Additionally, an IPv4 hostname and an IPv4 destination address is associated with the DNS response packet, when the DNS response packet is associated with the IPv4 address. A communication packet, generated by the mobile device, is also received by the network module. The communication packet includes a destination address and a hostname. The computing device is tethered to the mobile device when the destination address matches the IPv4 destination address and the hostname does not match the IPv6 hostname. |
US10237182B1 |
Systems, methods, and apparatus for implementing agents in service appliances
The present disclosure describes several key features of an agent deployable on a service appliance: agent architecture/design, transport and channel abstractions of the agent, new message definition components, channel switching (e.g., platform independent processing), Channel state machine, platform dependent hooks (e.g., memory, timers), Service key data store, and Secure channel infrastructure. Many of these features alleviate the vendor of the service appliance from having to provide the features. The features and standardization thereof enable the system to be more robust (and increases code quality). Speed of integration is decreased while the risk of integration issues is also decreased. Updates to the agent can be deployed in a controlled and efficient manner. Furthermore, the agent can ensure security between a switch and the agent. The agent deployed and running on vendor appliances provides a unique way to present transport channels that run between the switch, agent, and other service appliance components. |
US10237180B2 |
Network routing systems and techniques
A system for hierarchical topological addressing and hierarchical recursive routing in a network includes routing devices organized in a hierarchical topology forming a routing layer including levels. The levels include first and second levels including first and second subsets of the routing devices, respectively. Each routing device in the first level is directly connected to endpoint modules and to routing devices in the second level. Each routing device has a hierarchical address based on a location of the routing device within the hierarchical topology. Each routing device performs recursive one-hop routing to route packets through the network. Performing recursive one-hop routing on a particular packet includes: selecting a network port based on a destination address of the packet, wherein the destination address is a hierarchical address of a second routing device in the first level, and forwarding the packet to a routing device directly connected to the selected network port. |
US10237179B2 |
Systems and methods of inter data center out-bound traffic management
An information handling system is provided. The information handling system includes a first network device that forms at least part of a first set of network devices. The first network device provides an interface between a local first server rack and a cloud and has one or more processors in communication with a memory. The first network device is configured to receive information from a second set of network devices that identifies a default gateway for a virtual machine running on a remote server rack. The first network device is also configured to create a local destination address entry associated with information, to store the entry in an address table in the memory, and to receive a packet having the information identifying the default gateway as a destination address. The first network device is further configured to forward the packet into the cloud on in proxy for the default gateway. |
US10237176B2 |
Auto discovery and auto scaling of services in software-defined network environment
Techniques are described for automatic discovery of two or more virtual service instances configured to apply a given service to a packet in a software-defined networking (SDN)/network functions virtualization (NFV) environment. Virtual service instances may be deployed as virtual entities hosted on one or more physical devices to offer individual services or chains of services from a service provider. The use of virtual service instances enables automatic scaling of the services on-demand. The techniques of this disclosure enable automatic discovery by a gateway network device of virtual service instances for a given service as load balancing entities. According to the techniques, the gateway network device automatically updates a load balancing group for the given service to include the discovered virtual service instances on which to load balance traffic for the service. In this way, the disclosed techniques provide auto-scaling and auto-discovery of services in an SDN/NFV environment. |
US10237174B2 |
Method for establishing hard pipe in network, and method and apparatus for forwarding packet in network
The present disclosure discloses a method and apparatus for establishing a hard pipe in a network. The network includes multiple routers and a network management system. The method includes: acquiring, by the network management system, bandwidths of a plurality of links in the network; instructing, a router to allocate a fixed bandwidth from a bandwidth of the link of the network topology, where a link to which the fixed bandwidth is allocated forms a sublink, and the sublink and the router that allocates the sublink form a hard pipe stratum, which is used to carry a leased line service; and allocating, a static MPLS label set to the hard pipe stratum. A service packet that has an MPLS label in the static MPLS label set is forwarded by using the hard pipe stratum, thereby ensuring that a bandwidth of the leased line service is not occupied by a non-leased line service. |
US10237172B2 |
Using headerspace analysis to identify flow entry reachability
Some embodiments provide a method that uses headerspace analysis. The method receives several flow entries for distribution to a set of forwarding elements that implement a logical network. The method models each of the flow entries as a function that operates on a representation of a packet header. The method uses the modeled functions to identify a set of paths from a packet source to a packet destination. For each particular path of the identified paths, the method uses inverses of the modeled functions to determine a set of packet headers. Packets sent from the packet source with any packet header in the set of packet headers follow the particular path through the flow entries. |
US10237167B2 |
Intelligent routing method for routing items through a network
A method for selecting routes for items being sent from a given beginning node to a given destination node within a network. Each segment of a trip is evaluated and assigned a negative reward based on the deviation from the ideal length of time to complete that segment. Upon arrival of the item at the destination node, the route is assigned a large positive reward. The system is configured to attempt to maximize rewards at all times by selecting the routes with the lowest likelihood of delay. As more and more trips are completed, the control system may define a policy that selects routes based on their probability of yielding the highest reward. |
US10237162B2 |
Device for bundling a plurality of internet access media with forward error correction
The invention relates to a device, in particular a router, for bundling a plurality of Internet access lines into a virtual Internet access line for the purpose of providing the sum of the bandwidths of the plurality of Internet access lines for a transmission of data via the virtual Internet access line, wherein the device divides a data packet to be transmitted among a plurality of data packets for separate transmission via the plurality of Internet access lines, wherein the device is designed to calculate redundancy information and to transmit said redundancy information along, from which redundancy information lost data packets can be restored, such that packet losses on an Internet access line do not lead to packet losses on the bundled virtual line. |
US10237157B1 |
Managing host failures in a traffic forwarding system
Methods and apparatus for handling failure of servers in traffic forwarding (TF) systems between networks. A TF system may include units each including multiple servers. Outbound and inbound traffic for a local network may be distributed among the units according to a routing technique, with each unit responsible for an allocated portion of the traffic. Servers in a unit may participate in a health check protocol to detect servers that are not healthy. If the healthy servers in a unit drops below a threshold at which the unit cannot reliably handle its allocated portion of the traffic, the servers may automatically take the unit out of service, for example by stopping advertisement of routes, and the traffic may be reallocated across the remaining units. This may help prevent congestion-related delays, high latency, packet losses, and other problems on connections through the unhealthy unit. |
US10237156B2 |
Low-complexity measurement of packet traversal time in network element
A network element includes multiple interfaces and circuitry. The interfaces are configured to connect to a communication system. The circuitry is configured to receive via an ingress interface a packet that includes an Error Detection Code (EDC) field including an input EDC value, to determine an input timestamp indicative of a time-of-arrival of the received packet at the network element, and overwrite at least part of the input EDC value in the EDC field of the packet with the input timestamp, to estimate for the packet a traversal latency between reception at the ingress interface and transmission via a selected egress interface, based at least on the input timestamp, and to produce a deliverable version of the packet by writing an output EDC value to the EDC field, and send the deliverable version of the packet via the selected egress interface. |
US10237154B2 |
Method for estimating time elapsed between user selection and first bit receipt from a server
The present invention relates to a network monitoring device and methods for estimating time elapsed between a user's first selection of an item or hyperlink on a web browser of a user device and a first bit received by the user device from a corresponding web server. |
US10237150B2 |
Visualizing reach of posted content in a social networking system
Effects of content communications propagated to users of a social networking system may be tracked and measured by the social networking system. Identifiers of content presented to users within a time period before the users interact with the content are recorded. As users interact with the content, additional data describing the interactions with the content and the users interacting with the content are stored. Various metrics may be determined from the data describing interactions with the content. For example including virality metrics and reach metrics, may be determined and presented to the user that posted the content. |
US10237148B2 |
Providing a data set for tracking and diagnosing datacenter issues
Systems and methods are disclosed for aggregating data capable of diagnosing unique datacenter issues. Traffic statistic collection may be moved from intermediate, datacenter nodes to end hosts providing reports for aggregation and correlation with events at an analytic controller, uncovering implications for such events. To track metrics and/or diagnose datacenter issues not addressed in traffic statistics, information locally available to the end hosts may be combined and/or correlated with traffic statistics. Examples may involve information about: virtual and physical computing resources; a sub-cluster; an application and/or process utilized by a datacenter task; a task/job type; an implementation phase; an initiating user; a task priority; link utilization and/or other traffic statistics relative to the foregoing. Also, for efficiency purposes, the analytic controller may apply a hash to map a virtual to a physical IP address in determining a datacenter path based on topology limited to a physical network, saving computational expense. |
US10237144B2 |
Quality of user experience analysis
The techniques described herein involve analysis of client device Quality of Experience diagnostic files including an operations log or diagnostic files for a client device. The client device Quality of Experience diagnostic files may be generated by a client device and sent to a network node for analysis. The diagnostic files may be analyzed to determine device Key Performance Indicators and a device Quality of Experience, and to determine a root cause of a network problem (such as dropped calls) leading to a diminished Quality of Experience. In some embodiments, the diagnostic files may be aggregated to form a database of aggregated diagnostics, which can be used to further analyze a network to determine the root cause of a network problem. In some embodiments, the aggregated diagnostics may be indexed according to location, time, device type, device problem, or access technology. |
US10237143B2 |
Management apparatus and control method of management apparatus
A type of an access to a server, which is assigned to a user terminal as a server which provides a service to the user terminal in accordance with an access from the user terminal, is acquired, and it is judged whether or not the type is a prescribed type. When a frequency of judgment of “prescribed type” in the judgment is higher than a prescribed frequency, an apparatus which executes the assignment is set so as not to assign the server to the user terminal from the next time. |
US10237141B2 |
Method for controlling information apparatus and computer-readable recording medium
A method of the present disclosure causes a computer of an information apparatus to: display device type icons on a display; display room icons on the display; when it is determined that selection of any one of the device type icons is detected, display room icons each representing a room, in which a target device of a type corresponding to the selected device type icon is installed, in a different display mode from a mode for room icons each representing a room in which the target device of the type is not installed; when it is determined that selection of a room icon displayed in the different display mode is detected, display a first operation screen for operating a controlled target device on the display; and output a control command for controlling the controlled target device to the network based on an operation on the first operation screen. |
US10237140B2 |
Network management method using specification authorizing network task management software to operate on specified task management hardware computing components
Systems, software, and methods for managing networks of connected electronic devices are described. In one example, network management policy and network management applications are transferred automatically upon detection and identification of a new device, protocol or application on the network. In another example, information related to at least one aspect of the network is obtained by an NMAS, and at least one applicable management policy is identified by the NMAS; and the identified policy is used to manage at least one aspect of the network's operation. |
US10237138B2 |
System architecture for cloud-platform infrastructure layouts
A system maintains, generates, and manages infrastructure layouts. The infrastructure layouts interconnect infrastructure components and capture relational aspects between the components within the interconnections. The infrastructure layouts map northbound services, which are service outputs, to southbound services, which are service capabilities, for fulfillment. The system may traverse a mapping from a northbound service to a fulfilling southbound service to generate a workflow to support deployment of the northbound service. In various implementations, the system may compare a path, which maps a northbound service to a southbound service, to a policy model to determine compliance with the policy. |
US10237135B1 |
Computing optimization
A technology to optimize virtualized computing is described. Usage of a plurality of virtualized computing instances is identified in a virtualized computing environment. Purchasing configuration optimization rules are applied to calculate an optimized purchasing configuration for the plurality of virtualized computing instances in a virtualized computing environment. The optimized purchasing configuration is recommended for the plurality of virtualized computing instances. |
US10237134B2 |
Method and system for updating distributed resilient network interconnect (DRNI) states
A method of configuring a set of conversation identifiers (IDs) at a network device in a distributed resilient network interconnect (DRNI) of a link aggregation group is disclosed. The method starts with initializing the set of conversation IDs, wherein the initialization includes setting entries of a Boolean vector associated with the set of conversation IDs to be a sequence of zeroes, and wherein the Boolean vector includes values indicating processing the set of conversation IDs through the single gateway or the single aggregator of the network device. The method continues with determining that distribution of the set of conversation IDs needs to be updated, setting values of an operational vector indexed by the conversation IDs, and setting values of the Boolean vector, wherein the Boolean vector lists whether the single gateway or the single aggregator of the network device is associated with each of the conversation IDs. |
US10237133B2 |
Techniques of restoring BMC configurations to multiple BMCs
In an aspect of the disclosure, a method, a computer-readable medium, and a computer system are provided. The computer system may include a first embedded-system device and a second embedded-system device. The first embedded-system device receives a first message instructing the first embedded-system device to make configurations of the first embedded-system device available at a location in a network. The first embedded-system device makes the configurations available at the location. The second embedded-system device obtains a subset of the configurations made available at the location. The second embedded-system device restores itself with the subset of the configurations. |
US10237132B2 |
Configuration method, network device, and user equipment
Embodiments relate to the communications field, and provide a configuration method, a network device, and user equipment, so as to correctly configure different types of radio bearers and correctly configure a MAC entity. The method includes determining, by the network device, a configuration type and generating a first message according to the configuration type. The method also includes sending the first message to the user equipment. The method embodiment is used to configure a radio bearer. |
US10237128B2 |
Method for the installation with an electronic device of an outdoor unit and electronic device for such an installation
A method for installing an outdoor unit, the outdoor unit for receiving signals from a satellite, the method including determining, with an electronic device, pointing information to be applied to the outdoor unit; receiving signal quality information on the electronic device and from an indoor unit, the indoor unit including a modem or receiver and being connected to the outdoor unit, and pointing the outdoor unit according to the received signal quality information. |
US10237125B2 |
Dynamic line management system
A method and Dynamic Line Management, DLM, system for controlling Digital Line Subscriber, DSL, connections, comprising applying a first line profile to a DSL connection, the first line profile specifying a first virtual noise template for the DSL connection, wherein the first virtual noise template specifies a first set of frequency dependent virtual noise levels for the DSL connection; configuring a connection parameter (such as the data rate) of the DSL connection based on the first set of frequency dependent virtual noise levels; applying a second line profile to the DSL transceiver unit, the second line profile specifying a second virtual noise template for the DSL connection, wherein the second virtual noise template specifies a second set of frequency dependent virtual noise levels for the DSL connection; and reconfiguring the connection parameter for the DSL connection based on the second set of frequency dependent virtual noise levels. |
US10237122B2 |
Methods, systems, and computer readable media for providing high availability support at a bypass switch
Methods, systems, and computer readable media for providing high availability support at a bypass switch are disclosed. One method occurs at a bypass switch. The method includes determining that an inline tool associated with a bypass switch is unavailable. The method also includes determining whether the inline tool is required or optional. The method further includes in response to determining that the inline tool is required, disabling at least one link associated with the bypass switch so as to trigger a switchover involving a second bypass switch. |
US10237121B2 |
Apparatus and method for interconnecting multiple linear protection domains
A linear protection switching-based domain connecting method includes determining, by a protection interconnecting node, a user traffic transceiving path based on information on a presence or absence of defect in working paths and protection paths, generating working path control information and protection path control information based on information on the determined user traffic transceiving path and the information on the presence or absence of the defect in the protection paths, and transmitting the generated working path information to the working interconnecting node, and connecting two paths among the protection paths, and the connection path based on the protection path control information. |
US10237113B2 |
Apparatus and a method for approximating a first signal using a second signal
A method for approximating a first signal having a first oscillation period within a quantized time interval using a second signal is provided. The second signal has a second oscillation period. The method includes calculating a phase offset of the first signal at at least one position within the quantized time interval. Further, the method comprises shifting the second signal within the quantized time interval until a phase offset of the second signal at the at least one position satisfies a quality criterion related to the phase offset of the first signal. |
US10237109B2 |
Mitigating passive intermodulation interference in a wireless network
Interference (I1, I2) is mitigated in a waveform received at the input of a receiver in a wireless network, the interference comprising passive intermodulation PIM products of at least a first signal (C1). A first stream of time samples (5) is generated of a simulated first PFM product of at least the first signal (C1), and a second stream of time samples (6) is generated of the simulated first PIM product. The second stream has a delay with respect to the first stream. A replica (8) is generated of the interference by processing (7) at least the first stream and the second stream, the processing comprising reducing a degree of correlation between the first stream and the second stream, and the replica of the interference is combined with a stream of time samples of the received waveform (40) to reduce the interference in the received waveform. |
US10237106B2 |
Method and system for combining DFT-transformed OFDM and non-transformed OFDM
Methods and systems are provided that enable an OFDM transmitter to be used for transmitting conventional OFDM or a form of transformed OFDM. A technique is provided for transforming a coded and modulated sequence of samples prior to an IFFT that enables the transformed sequence of samples to be transmitted using conventional OFDM or transformed OFDM. The selection of a transform function for transforming the coded and modulated sequence of samples may be based on optimizing the transform function for particular operating conditions between the transmitter and receiver. In some embodiments of the invention OFDM and time transformed OFDM are multiplexed in time and/or frequency in a transmission frame. In some embodiments of the invention a pilot pattern is provided in which the pilot are sent using OFDM and data is sent using OFDM and/or transformed OFDM. |
US10237101B2 |
Receiving device
A receiving device according to the present invention includes: a search range control unit that determines, for a reception signal including a plurality of wireless signals partially overlapping on at least one of a time axis and a frequency axis and received by a moving object, a search range for the plurality of wireless signals in a search space including the time axis and the frequency axis based on information on position and velocity of the moving object; a time frequency detection unit that generates information on time and frequency at which a wireless frame included in each of the plurality of wireless signals is received in the search range for the reception signal determined by the search range control unit; and a detection unit that demodulates the reception signal to acquire the wireless frame based on the information on time and frequency generated by the time frequency detection unit. |
US10237100B2 |
Method and apparatus for digitization of broadband analog signals
Methods and systems for digitization of broadband analog signals may comprise in a radio frequency (RF) transceiver comprising a diplexer, first and second automatic gain and slope control (ASCS) modules, and a combiner): receiving an input RF signal comprising at least two signals, splitting the input RF signal in the frequency domain into first and second signals of different frequency utilizing the diplexer, configuring a frequency-dependent gain level for each of the first and second signals utilizing the first and second ASCS modules, and combining output signals from the first and second ASCS modules utilizing the combiner. The frequency dependent gain levels of the first and second signals may be configured to enable an ADC receiving the combined signal to operate with an effective number of bits (ENOB) of approximately 10. |
US10237099B2 |
Systems, methods, and devices for electronic spectrum management for identifying open space
Systems, methods, and apparatus are provided for automated identification of open space in a wireless communications spectrum, by identifying sources of signal emission in the spectrum by automatically detecting signals, analyzing signals, comparing signal data to historical and reference data, creating corresponding signal profiles, and determining information about the open space based upon the measured and analyzed data in near real-time. |
US10237098B2 |
Method and apparatus for generating a multi-level pseudo-random test signal
The present invention relates to a method for generating multi-level PRBS patterns for testing purposes, wherein the method includes the steps of providing a binary PRBS signal with a binary bit pattern sequence and mapping each bit of the binary bit pattern sequence to a symbol of a multilevel output. |
US10237096B2 |
Processing of a faster-than-Nyquist signaling reception signal
There is provided mechanisms for processing a reception signal r. The method is performed by a receiver. The method comprises receiving a reception signal r representing a sequence of input symbols xn, the reception signal comprising a sequence of pulse forms gT selected from a set of pulse forms. The method comprises generating a set of decoded symbols Formula (I) from the reception symbols by subjecting a set of sampled symbols yn derived from the reception signal to a whitening filter defined by second coefficients K, and to an equalizer defmed by first coefficients R. The first coefficients R and the second coefficients K are selected from respective matrices of a Toeplitz decomposition of a Gram matrix G defmed by the inner product of all pulses in the set of pulse forms. {circumflex over (x)}n (I) |
US10237094B2 |
Method for receiving radio signals in a receiving device, and receiving device
Radio signals are received in a receiving device having an internal radio receiver that is designed to carry out a channel estimation for error correction, in the course of a receiving process of the radio signals received in a radio channel. The internal radio receiver communicates with an external radio receiver, which receives the same radio signals as the internal radio receiver at the measuring time, carries out a channel estimation for error correction, and transmits the channel estimation to the internal radio receiver, wherein the internal radio receiver uses the channel estimation of the external radio receiver in order to improve its own channel estimation. |
US10237092B2 |
Technique for routing and switching redundancy
A technique for routing datagrams between customer equipments, CEs, (102) and a pool (110) of broadband network gateways, BNGs, (112) is provided. As to a device aspect (120) of the technique, a first establishing unit (122) is configured to establish a first connection (123) between the device (120) and each of the CEs (102). A second establishing unit (124) is configured to establish a second connection (125) between the device (120) and each BNG (112) in the pool (110) of BNGs (112). A mapping unit (126) is configured to map each of the first connections (123) to one of the second connections (125). The mapping specifies the routing of the datagrams. |
US10237087B2 |
Method for controlling transmission speed and electronic device thereof
A method of operating an electronic device is provided. The method includes communicating data with a wireless network using a wireless communication, connecting to an external electronic device using a wired communication, exchanging data with the external device at a first data throughput using the wired communication while performing the wireless communication, and changing the first data throughput to a second data throughput while performing the wireless communication. |
US10237086B2 |
Smart home wireless control system
A smart home wireless control system includes a mobile control terminal, a plurality of remote-controlled devices and a signal access point (AP), wherein the mobile control terminal and the remote-controlled devices exchange data through the AP, each remote-controlled device includes an access controller and a controlled appliance connected to the access controller, the inside of the access controller is provided with a wireless signal station and a counter, the mobile control terminal detects the signal intensities of the wireless signal stations of each remote-controlled device, and the mobile control terminal conducts smart control on the remote-controlled devices according to the changes of the received signal intensities of the remote-controlled devices. |
US10237084B2 |
Method for transmitting and receiving single-cell multi-transmission data and apparatus therefor
Provided are a method and an apparatus for providing point-to-multipoint transmission in a single cell in E-UTRAN. The method may include receiving system information for single-cell multi-transmission in a primary cell (PCell); determining whether to transmit a multimedia broadcast multicast service (MBMS) interest information message; and transmitting the MBMS interest information message, wherein the MBMS interest information message is determined to be transmitted when the terminal sets an RRC connection or when interest group communication service information of the previous interest information message, which the terminal transmitted last, has been changed. |
US10237083B2 |
RBridge for routing and forwarding a data packet
In an approach to routing and forwarding a data packet with a forwarding RBridge and a corresponding RBridge, a computer, in response to receiving a TRILL data packet, performs the following steps: parsing a TRILL header of the TRILL data packet, and acquiring an egress RBridge nickname of the TRILL data packet; obtaining routing information corresponding to the egress RBridge nickname, wherein the information indicates whether the forwarding RBridge is the penultimate hop RBridge on the forwarding path to which the egress RBridge nickname is corresponding; and in response to the indication in the information that the forwarding RBridge is the penultimate hop RBridge on the forwarding path to which the egress RBridge nickname is corresponding, stripping the TRILL header of the TRILL data packet off to obtain an original data packet, and forwarding the original data packet to the egress RBridge from an out port specified by the information. |
US10237080B2 |
Tracking data usage in a secure session
A first device may obtain a session time record that includes information relating to a secure session. The session time record may include a content identifier and a device identifier that is associated with a second device. The content identifier may be associated with content to be provided via a secure session and via the second device. The content may be associated with a sponsored data campaign. The first device may obtain, from the second device, data usage information identifying an amount of data provided via the second device and in association with the secure session. The first device may determine information identifying a party responsible for the amount of data provided in association with the secure session based on the session time record and based on the data usage information. The first device may store or provide the information identifying the party responsible for the amount of data. |
US10237079B2 |
Intelligent network sleep proxy for low power sleeping devices
In one embodiment, a sleep proxy device identifies one or more services offered by a first node in the network. The sleep proxy device announces the one or more identified services to a second node in the network on behalf of the first node. The sleep proxy device intercepts an attempt by the second node to use the one or more services offered by the first node. The sleep proxy device causes the first node to switch from a low power state to an awake state, based on the intercepted attempt. |
US10237076B2 |
Communication device
A communication device includes a first interface configured to perform communication using a first network and a second interface configured to perform communication using a second network. The communication device is configured to generate a first server certificate including first device information for identifying the communication device in the first network and a second server certificate including second device information for identifying the communication device in the second network, receive a communication request, execute communication with the first specific device through the first interface by using the first server certificate in a case where the communication request is received from a first specific device through the first interface, and execute communication with the second specific device through the second interface by using the second server certificate in a case where the communication request is received from a second specific device through the second interface. |
US10237075B2 |
Reconstructable content objects
One embodiment of the present invention provides a system for delivering a content piece over a network using a set of reconstructable objects. During operation, the system obtains a metadata file that includes a set of rules; generates the set of reconstructable objects for the content piece based on the set of rules included in the metadata file; cryptographically signs the set of reconstructable objects to obtain a set of signed reconstructable objects; and delivers, over the network, the set of signed reconstructable objects along with the metadata file to a recipient, thereby enabling the recipient to extract and store a copy of the content piece and then to reconstruct the set of signed reconstructable objects from the stored copy of the content piece and the metadata file. |
US10237072B2 |
Signatures for near field communications
A data-carrying device and methods of authenticating the same are disclosed. The data-carrying device is described as being capable of communicating via the Near Field Communications (NFC) protocol and may have one or more NFC Data Exchange Format (NDEF) records stored in its memory. The data-carrying device also comprises or has the ability to generate a signature that proves the data-carrying device is the authorized device for storing the one or more NDEF records. A data-carrying device that attempts to transmit an NDEF record without a valid signature may be identified as an unauthorized data-carrying device. |
US10237071B2 |
Authentication of a card by contactless reading
The invention relates to a method of authentication of a contactless communication circuit, PICC, by a contactless communication terminal, PCD. Within the PCC, a first number is generated and without encryption, transmitted to the PCD. Within the PCD a second number is determined by decryption of the first number with a first key. The determination of a third number is based on the second number, and the determination of a fourth number is by encryption of the third number with the first key. Decryption of the fourth number with the first key to obtain the third number and an interruption of the authentication if a sixth number obtained from the third number is different from a seventh number, is performed by the PICC. |
US10237069B2 |
On-car-data recording apparatus and in-train-image data managing system
An on-car-data recording apparatus mounted on a train to record image data, which is data of a video or an image photographed by an image pickup apparatus set in the train, including: a secret-key retaining unit that retains a secret key paired with a public key for electronic signature for which certification by a certification authority is completed, a train-specific-information managing unit that manages information specific to the own train capable of specifying a traveling position of the own train, an electronic-signature generating unit that integrates, the image data and the information managed by the train-specific-information managing unit, calculates a hash value of obtained integrated data, and generates an electronic signature on the basis of the calculated hash value and the secret key, and an image data managing unit that retains the generated electronic signature and the image data used in generating the electronic signature in association with each other. |
US10237065B2 |
Cryptographic chip and related methods
There is described a chip for performing cryptographic operations. The chip comprises a key storage module, a rule storage module, an interface module and a cryptographic module. The key storage module is configured to store one or more cryptographic keys. The rule storage module is configured to store one or more rules, each rule comprising respective rule data, the rule data identifying a respective predetermined cryptographic operation associated with the rule and further identifying at least one of the one or more cryptographic keys to be used in the respective predetermined cryptographic operation. The interface module is configured to receive a rule execution request, wherein the rule execution request comprises a rule identifier to identify a specific rule of the one or more rules to be executed. The cryptographic module is configured to execute the specific rule so as to perform the respective predetermined cryptographic operation in response to the rule execution request. The chip is configured such that the cryptographic keys and the cryptographic module may only be used by executing rules from the one or more rules in response to associated rule execution requests received by the interface module. There is also described a set top box comprising the chip, a chip-implemented method of performing a cryptographic operation, and a method of loading a new rule into a rule storage module of a chip. |
US10237064B2 |
Using everyday objects as cryptographic keys
This disclosure involves the notion of using physical objects to generate public key-based authenticators and, in particular, to use “everyday” physical objects to create a generator seed for a key generator that will use that seed to generate a key pair comprising a public key, and its associated private key. In a preferred approach, the physical object is used to create a digital representation (of the physical object) that, together with some uniqueness associated to the user, gives rise to a key generator seed value. Without knowledge of (a) the physical object itself, (b) how the physical object characteristic is converted (to a digital representation), and (c) the uniqueness value, an attacker cannot reproduce the key generator seed (or the key(s) generated from that seed). |
US10237061B2 |
Generating master and wrapper keys for connected devices in a key generation scheme
Provided are a computer program product, system, and method for generating master and wrapper keys for connected devices in a key generation scheme. For each of the devices, a wrapped master key comprising a master key for the device and a wrapper key is stored. The wrapper keys for a plurality of the devices are generated by another of the devices. For each of a plurality of the devices, the master key for the device is used to generate the wrapper key for a target device comprising another of the devices. For each of the devices, the wrapper key for the device is used to decrypt the stored wrapped master key. At least one of the devices uses the master key for the device to encrypt and decrypt data at the device. |
US10237058B2 |
Identification of artificail object and artifical object used therein
The present invention can be a method, system, and computer program product. One embodiment of the present invention provides a computer-implemented method for identifying an artificial object. The method includes receiving capacitance data which is obtained by measuring with at least one electrodes in a sensor at least one predefined surfaces of the artificial object placed against the sensor; converting each of the obtained capacitance data into an evaluation level in an evaluation system having more than two evaluation levels, to obtain a capacitance distribution on the surface of the artificial object; determining whether the obtained capacitance distribution matches a pre-registered capacitance distribution or one of pre-registered capacitance distributions; and if the determination result is positive, concluding the artificial object is identified. The method can further include identifying or performing an authentication of a user associated with the artificial object when artificial object is identified. |
US10237057B2 |
Method and system for controlling the exchange of privacy-sensitive information
A method for controlling the exchange of private data, associated with a client device, between an application in execution on or for the device and a serving node in a data network, comprising transmitting a request to the serving node from the application for access to a service requiring use of the private data, receiving challenge data at the application from the serving node, requesting authorization for the use of the private data using a secure user interface of the client device to a trusted information manager on the basis of the challenge data, transmitting an obfuscated version of the private data for use with the service from the trusted information manager to the application on the basis of the authorization. |
US10237055B1 |
Method and systems for radio transmission with distributed cyclic delay diversity
Systems and methods for a communication system including a set of transmitters, wherein operations of the set of transmitters are synchronized with an accuracy bound by a synchronization error. A controller forms a message with ordered symbols including data symbols and at least one identification symbol, and controls transmitters from the set of transmitters to transmit the message using a cyclic delay diversity (CDD). Wherein each transmitting transmitter prior to transmitting, circularly rotates the ordered symbols of the message with a unique shift, then copies some symbols located at an end of the message. Wherein a number of the copied symbols is based on a predetermined cyclic prefix length, into a first position in the rotated message, to form a transmitter identifiable message, and transmits via each transmitting transmitter the transmitter identifiable message. |
US10237052B1 |
Multiphase clock generation and interpolation with clock edge skew correction
Systems and methods disclosed herein provide for effectively eliminating the rotational and static phase skews between the in-phase (I) and quadrature (Q) clocks generated by phase interpolators in decision feedback equalizer based receivers. Embodiments of the systems and methods provide for (i) a ring oscillator that eliminates the rotational phase skews and (ii) a plurality of clock mixers that eliminate the static phase skews. |
US10237051B2 |
Jitter sensing and adaptive control of parameters of clock and data recovery circuits
In accordance with embodiments disclosed herein, there is provided systems and methods for jitter sensing and adaptive control of parameters of clock and data recovery (CDR) circuits. A receiver component includes an adaptive CDR loop dynamic control circuit. The adaptive CDR loop dynamic control circuit is to detect first sinusoidal jitter at a first frequency and a first amplitude and update parameters of the CDR circuit to a first plurality of values based on the first frequency and the first amplitude. The adaptive CDR loop dynamic control circuit is further to detect second sinusoidal jitter at a second frequency and a second amplitude and update the parameters of the CDR circuit to a second plurality of values based on the second frequency and the second amplitude. The first sinusoidal jitter is in a first incoming data signal and the second sinusoidal jitter is in a second incoming data signal. |
US10237050B2 |
Tunable filter for LTE bands
A tunable filter reduces the total number of filters used in TDD (Time-Division Duplex) communication circuitry. The communication circuitry may include a tunable filter and a first switch associated with the tunable filter. The tunable filter may include a tuning component and a filtering component. The tuning component may be located with the first switch on a first die. The filtering component may be located in a laminate underneath the first switch. Power amplifiers for amplifying transmission signals may be located on a second die, and the second die may be located on the laminate. |
US10237048B2 |
Method and apparatus for scheduling data in a wireless communication system
A method and an apparatus for scheduling data in a wireless communication system are provided. The method includes checking first control information in a first subframe, checking a first block including second control information and first data based on the first control information in the first subframe, and decoding the first data based on the second control information in the first subframe. The first control information includes resource allocation information related to the first block, and the second control information includes one of channel state information about the first data, or resource allocation information related to a second block in a second subframe. |
US10237043B2 |
Terminal device, base station device, retransmission method, and resource allocation method
The present invention provides a terminal device that allows constraints on user allocation to be prevented and spread codes to be allocated in a scheduler when non-adaptive HARQ is employed using a PHICH. A codeword generator (103) generates code words (CW) by encoding data, a layer mapping unit (108) places each CW in one or a plurality of layers, a DMRS generator (110) generates a reference signal for each layer in which a CW is placed by using any resource among a plurality of resources defined by a mutually orthogonal plurality of OCCs, and an ACK/NACK demodulator (102) receives a response signal indicating a retransmission request. When a response signal requesting retransmission of only a CW placed in a plurality of layers is received, the DMRS generator (110) uses each resource having the same OCC among the plurality of resources for the reference signals generated in the corresponding layers. |
US10237042B2 |
Method and apparatus for feeding back and receiving acknowledgement information of semi-persistent scheduling data packets
The application relates to radio communications and discloses a method and apparatus for feeding back and receiving acknowledgment (ACK) information of semi-persistent scheduling (SPS) data packets. The method includes receiving downlink data packets and an uplink data assignment indicator (UL DAI) from a base station, wherein a value of the UL DAI indicates a number (N) of all scheduled downlink sub-frames which scheduled by the base station for the user equipment, the number N is greater than 1, and a number k (k |
US10237037B2 |
Pilot reconfiguration and retransmission in wireless networks
Adaptive pilot signaling is disclosed in which resources allocated to pilot symbols are allowed to vary to more closely match channel conditions. User equipments (UEs) may request different pilot configurations depending on channel conditions. In one embodiment, a method includes receiving a first set of pilot symbols using a first number of resource elements during a first transmission time interval (TTI), and receiving a second set of pilot symbols using a second number of resource elements during a second TTI. In the embodiment, the first TTI and the second TTI include the same number of resource elements, and the first number of resource elements is different than the second number of resource elements. |
US10237029B2 |
Transmitter and method of transmitting payload data, receiver and method of receiving payload data in an OFDM system
A transmitter transmitting payload data using OFDM symbols includes a frame builder configured to receive the payload data, receive signalling data for use in detecting and recovering the payload data at a receiver, and form the payload data with the signalling data into transmission frames. A modulator is configured to modulate a first OFDM symbol with the signalling data forming a part of each of the frames and to modulate one or more second OFDM symbols with the payload data to form each of the frames. The transmitter combines a signature sequence with the first OFDM symbol, so that the first OFDM symbol can be detected within the frame before the second OFDM symbol. The transmitter includes a pilot signal inserter configured to insert a number of pilot symbols on selected sub-carriers of the first OFDM symbol sufficient to estimate a coarse frequency offset of the transmitted OFDM symbol. |
US10237027B2 |
Method and apparatus for implementing dual connectivity
A wireless access node (e.g. a master eNB (MeNB)) is described for a wireless communication system that comprises a first wireless access network and a second wireless access network supporting a dual connectivity terminal device arranged to communicate with both the wireless access node (MeNB) on the first wireless access network and a second wireless access node (e.g. a secondary eNB (SeNB)) on the second wireless access network is provided. The wireless access node comprises a communication unit and a controller. The controller is operably coupled to the communication unit and configured to control the communication unit to transmit a first message including configuration information for a secondary cell group (SCG) to perform a certain action, to the other base station, and to receive a second message in response to the first message from the other base station, and to control the communication to transmit a third message based on the second message to the terminal, wherein the configuration information includes multimedia broadcast multicast service (MBMS) interest information. |
US10237024B2 |
Method and apparatus for allocating HARQ-ACK channel resources supporting transmit diversity and channel selection
Examples of the present invention provide a method for allocating Hybrid Automatic Repeat Request Acknowledgement (HARQ-ACK) channel resources supporting transmit diversity and channel selection. The method includes: receiving, by a UE, Physical Downlink Control Channel (PDCCH) information and Physical Downlink Shared Channel (PDSCH) data from a base station through two Carrier Components (CCs); obtaining, by the UE according to specific indication information, Physical Uplink Control Channel (PUCCH) channel resources required for transmitting HARQ-ACK feedback information using a transmit diversity technique; and transmitting, by the UE, the HARQ-ACK feedback information on the obtained PUCCH channel resources adopting the transmit diversity technique. According to the method provided by the examples of the present invention, it is possible to allocate HARQ-ACK channel resources to the UE reasonably and avoid waste of channel resources in the premise that channel selection and SORTD technique are supported. |
US10237023B2 |
Hybrid automatic repeat request method and apparatus in relay wireless communication system using compressed-and-forward scheme
The present disclosure relates to a 5G or pre-5G communication system which will be provided in order to support a higher data transmission rate than in 4G communication systems such as LTE. A method at a relay node according to one example of the present invention is a hybrid automatic repeat request method at the relay node in a relay wireless communication system using a compress-and-forward (CF) scheme, and may comprise the steps of: when receiving data from a previous node, performing mesh quantization so as to have redundant information at the time of quantization for transmitting, to a next node, the data received from the previous node; transmitting to the next node by channel-coding only an amount transmittable to a channel set for transmitting to the next node from upper information among the quantized information; and when receiving negative acknowledgement (NACK) from the next node, retransmitting to the next node by channel-coding only an amount transmittable to the set channel among the other information which is not transmitted among the quantized information. |
US10237021B2 |
Network device and method thereof
The present invention relates to a network device (1) for joint decoding of received signals in a cellular wireless communication system (50) comprising a plurality of spatially distributed base stations (10) interconnected to said network device (1) by means of at least one backhaul interface having a round-trip delay being larger than a transmission interval for a HARQ cycle of a HARQ algorithm employed by the cellular wireless communication system (50), said network device (1) comprising a processor ( ) adapted to: receive a plurality of baseband signals (BBSs) corresponding to uplink signals received by said plurality of base stations (10) in uplink radio links, said uplink signals being associated with an uplink transmission (ULT) from a user device (20); and decode said uplink transmission (ULT) from said user device (20) by combining said plurality of baseband signals (BBSs). |
US10237017B1 |
Power saving in stations connected to a very high throughput access point
A system and method for power saving in power saving stations connected to a Very High Throughput (VHT) access point is disclosed. The access point receives triggers from power saving stations. The power saving stations are one of VHT Transmission Opportunity (TXOP) power saving stations and non-VHT TXOP power saving stations. Successively, Quality of service (QoS) requirements of buffered data for the power saving stations connected to the VHT access point is determined. In a first case, the QoS requirements of buffered data corresponding to the non-VHT TXOP power saving stations exceed the QoS requirements of the VHT TXOP power saving stations. During the first case, the VHT TXOP power saving stations are sent into a sleep state and buffered data corresponding to the non-VHT TXOP power saving stations is transmitted. In a second case, the QoS requirements of buffered data corresponding to the VHT TXOP power saving stations either exceeds or equal to the QoS requirement of buffered data corresponding to the non-VHT TXOP power saving stations. During the second case, the non-VHT TXOP power saving stations are sent into a sleep state and the buffered data corresponding to the VHT TXOP power saving stations is transmitted. |
US10237014B1 |
Link adaptation enhancements
Certain aspects relate to methods, apparatuses, computer readable mediums and wireless nodes. For example, an apparatus generally includes an interface configured to obtain, during a TXOP owned by a second apparatus, at least one first frame from the second apparatus and a processing system configured to (i) determine a MCS associated with the at least one first frame, (ii) increase a value of a counter if the MCS associated with the at least one first frame is the same as a MCS associated with a frame previously obtained by the apparatus during the TXOP and (iii) take one or more actions based on the counter value. |
US10237011B2 |
Methods and apparatus for pre-programming layer-0 service turn up speeds for photonic service provisioning or restoration
Pre-programming Layer-0 optical protection path restoration speeds is provided based on available path margin. Higher layer routers and switches can be made aware of the expected Layer-0 restoration time, and their switch time can be programmed accordingly. The proposed method can provide users an option to program a restoration speed for a specific photonic service on a per restoration path basis. The method can highlight which services will potentially be impacted by the selected restoration speed on that path. The user can proceed with the selected speed for restoring high priority layer-0 services even if that means the fast restoring event can potentially impact other low priority services already in-service on the restoration path. |
US10237009B2 |
Dynamic hitless resizing in optical transport networks
The invention relates to techniques for controlling a dynamic hitless resizing in data transport networks. According to a method aspect of the invention, a network connection comprises M tributary slots defined in a payload area of a higher order transport scheme of the data transport network and the method comprises the steps of receiving a connection resize control signal at each of the nodes along the path of the network connection; adding at each node along the path in response to the connection resize control signal a second set of N tributary slots to the first set of the M tributary slots, such that the network connection comprises M+N tributary slots; and increasing, after M+N tributary slots are available for the network connection at each node along the path, a transport data rate of the network connection. |
US10237006B2 |
Spectrum detection method and apparatus, and base station
Embodiments of the present invention provide a spectrum detection method and apparatus, and a base station. According to the method, in the embodiments, a first base station sends spectrum detection information to an auxiliary device, where the spectrum detection information is used to instruct the auxiliary device to detect whether a first spectrum is occupied; then, the first base station receives first spectrum status information sent by the auxiliary device, so that the first base station determines whether the first spectrum in an area in which the auxiliary device is located is occupied. Therefore, it is implemented that the first base station may determine whether user equipment is interfered by another communications system device in a process in which the user equipment uses the first spectrum, so as to implement sharing of a spectrum by the user equipment with the another communications system device. |
US10237005B2 |
Apparatus and method in radio communications system
The present invention provides an apparatus and a method in a radio communications system. The apparatus in a radio communications system comprises an estimation unit and a statistics collection unit. The estimation unit is used for estimating a signal receiving strength of each of multiple transmission positions, compared with a signal transmission strength of each of one or more possible transmission positions of a first-type node of a to-be-test communications system on a transmission resource block. The statistics collection unit is used for collecting, according the estimation result, statistics on power space distribution of the first-type node of the to-be-tested communications system. |
US10237003B2 |
Method and apparatus for estimating channel communication quality of wireless communication technology
Provided is a channel communication quality estimating method of a wireless communication technology. A method for estimating a channel communication quality of a second wireless communication technology whose frequency band entirely or partially overlaps a frequency band of a first wireless communication technology includes: transmitting predetermined data to an external device through each of a plurality of first channels which forms a frequency band of the first wireless communication technology; generating a channel map which represents a communication quality for each of the plurality of first channels based on a data transmission result through each of the plurality of first channels; and calculating a communication quality index for each of a plurality of second channels which forms a frequency band of the second wireless communication technology, based on the channel map. |
US10237002B2 |
Method for determining calibration parameter of zero intermediate frequency radio receiver, and zero intermediate frequency radio receiver
A method for determining a calibration parameter of a zero intermediate frequency radio receiver, and a zero intermediate frequency radio receiver are provided. The method includes: obtaining a plurality of sub-band training signals, where a sum of the plurality of sub-band training signals is a fullband training signal; determining a sub-band calibration parameter corresponding to each of the plurality of sub-band training signals; determining a fullband calibration signal according to the plurality of sub-band training signals and the sub-band calibration parameter corresponding to each of the plurality of sub-band training signals; and performing coefficient fitting on the fullband training signal and the fullband calibration signal, to determine a fullband calibration parameter. Because sub-band calibration parameters are obtained according to a plurality of different sub-band training signals, aliasing between an image signal and a training signal is reduced. Therefore, a speed and precision of determining a calibration parameter can be improved. |
US10237001B2 |
Method and measuring device for intermodulation measurement
A method for intermodulation measurement for locating points in a signal transmission path for a high-frequency signal that are faulty with regard to HF transmission properties of the signal transmission path, by generating a first HF signal uTest, having a carrier frequency f1 and a digital signal uCode modulated thereon; generating a second HF signal u2 having a frequency f2; introducing the first HF signal uTest and the second HF signal u2 into the signal transmission path at a predetermined introduction point; receiving an intermodulation product, which is generated in the signal transmission path from the first HF signal and the second HF signal at at least one faulty point, as an intermodulation product signal uRX; recovering a digital signal udemod from uRX; and determining a time shift tx between the digital signal uCode and the recovered digital signal udemod. The invention further relates to a measuring device for performing this method. |
US10237000B1 |
Phase compensation in an NFC system
A method for compensating phase shift is provided. The method includes sweeping a transmitter (TX) clock frequency over a frequency range and sampling resistance values at a receiver (RX) circuit while sweeping the TX clock frequency. A first frequency in the frequency range is determined which corresponds to a maximum resistance value sampled at the RX circuit. Using a look-up table (LUT), a phase adjust value is determined based on the first frequency. A phase of the TX clock is adjusted based on the phase adjust value. |
US10236995B2 |
Optical receiver sensitivity system
A system includes a detector array having a plurality of level detectors to monitor an optical input signal. Each level detector of the detector array operates in a different operating range, and each operating range for each level detector has a different saturation level and a different cutoff level based on a power level of the optical input signal. A controller monitors the plurality of level detectors of the detector array to detect a present power level for the optical input signal by selecting the operating range that is associated with the level detector operating between its saturation level and its cutoff level. |
US10236986B1 |
Systems and methods for tiling free space optical transmissions
Systems and methods for optical narrowcasting are provided for transmitting various types of content. Optical narrowcasting content indicative of the presence of additional information along with identifying information may be transmitted. The additional information (which may include meaningful amounts of advertising information, media, or any other content) may also be transmitted as optical narrowcasting content. Elements of an optical narrowcasting system may include optical transmitters and optical receivers which can be configured to be operative at distances ranging from, e.g., 400 meters to 1200 meters. At such far-field distances, light beams emitted from the optical transmitters can be combined in a tiled fashion to create energy efficient and directable optical transmissions. |
US10236984B2 |
Mitigating noise and OBI in RFOG networks
A bi-directional optical transceiver includes multiple single mode optical ports and a multi-mode optical port. A multi-mode optical combiner combines single mode optical signals received at the single mode optical ports into a multi-mode optical signal at the multi-mode optical port. Each single mode optical signal has a distinct optical mode that does not interfere with the optical mode of the other single mode optical signals. A photo detector detects a total optical power of the plurality of single mode optical signals in the multi-mode optical signal. An amplifier is coupled to receive an output of the photo detector. |
US10236983B2 |
Communication system
A communication system includes a vehicle exterior antenna, an electro-optic converter, and a multimode optical fiber. The vehicle exterior antenna transmits and receives an electric signal to and from a cloud system in the exterior of a vehicle. The electro-optic converter is connected to the vehicle exterior antenna and converts an electric signal received by the vehicle exterior antenna into an optical signal. The multimode optical fiber has a plurality of propagation modes for propagation of optical signals and connects the electro-optic converter and an on-vehicle device (an opto-electric converter, an on-vehicle router, and the like) in the interior of the vehicle. |
US10236982B1 |
Fiber parameter identification
Technology for fiber parameter identification in an optical communications network is described. One or more C-matrices are calculated from one or more corresponding signals received at a receiver from a transmitter over a link in the optical communications network, where each C-matrix comprises a plurality of C-coefficients representative of nonlinear noise in the received signal. A combination of chromatic dispersion (CD) pre-compensation applied at the transmitter and CD post-compensation applied at the receiver may substantially compensate for a net CD of the link. The one or more C-matrices are used to identify one or more fiber parameter estimates of the link, such as one or more fiber types, one or more nonlinear coefficients, or one or more dispersion coefficients. A controller of the optical communications network may use the identified fiber parameters estimates to control a state of the network to achieve an objective, such as improved network performance. |
US10236977B2 |
Management system for GPON based services
A computerized system and method for managing a passive optical network (PON) is disclosed. The system includes a detection and analysis module adapted for receiving uploaded measurement data from an optical line terminal (OLT) and at least one optical network terminal (ONT), and at least one of technical tools data, service failure data, and outside plant data. The detection and analysis module is adapted for determining a source of failure or potential failure in the PON by correlating the uploaded measurement data and the at least one of technical tools data and service failure data with information stored in a memory medium for the OLT and each ONT. |
US10236975B2 |
Programmable photonic-electronic integrated circuit for optical testing
The present disclosure provides a programmable integrated circuit die for optical testing. The integrated circuit die includes both photonic and electronic elements. In particular, the integrated circuit die may include a memory block, a programmable logic block (for example, a field programmable gate array), an electrical transceiver block, an optical transceiver block, and an optical test interface unit. The programmable logic block may be programmed to have logic functionalities of an embedded microcontroller and of various encoders/decoders. The logic functions may be soft, hard, or mixed. The memory may be used to store test patterns, look-up tables, measured waveforms, error time profiles and statistics. The electrical and optical transceivers may implement PAMn, NRZ, or QAMn modulations and may have programmable parameters, including: voltage levels; optical power; slew rate; magnitude/phase; clock generation and recovery; equalizations; sampling levels; and sampling times. Other embodiments and features are also disclosed. |
US10236972B2 |
Method and apparatus for providing expanded interface operation between a portable communication device and an accessory
Improved connectivity between a portable communication device and an accessory is provided through the configuration of a USB type interface. Independent control of a mission-critical subsystem and auxiliary subsystems allow for mission-critical audio and push-to-talk (PTT) to be maintained even in the event of a fault condition within the system. The configurability aspect of the interface allows for additional mission-critical input features to be configured into independent mission-critical subsystems. |
US10236968B2 |
High altitude point to multipoint links
Systems and methods for communicating in a point to multipoint (PTMP) network that includes an aerial station and a plurality of ground stations. The method includes the aerial station receiving positional information of a ground station of the plurality of the ground stations, selecting antenna elements on a phased antenna array for generating a directional beam based on the positional information, sweeping the directional beam to cover the plurality of ground stations, determining that the directional beam has a beam width sufficient to cover the plurality of ground stations and a transmit power sufficient to provide signals to the ground stations based on the sweeping of the directional beam; and establishing a radio link between the aerial station and the ground station in the plurality of ground stations. |
US10236966B2 |
Beamforming of harmonics
Apparatus and methods related to beamforming of harmonics are provided herein. In certain implementations, a communication device for operating in a wireless network is provided. The communication device includes an antenna array including a plurality of antenna elements that generate a plurality of receive signals in response to a radio wave, a plurality of signal conditioning circuits operatively associated with the plurality of antenna elements and that condition the plurality of receive signals to provide beamforming of a receive beam, and a beam control circuit that controls the plurality of signal conditioning circuits to provide beam steering of the receive beam based on a direction of one or more harmonic lobes of the receive beam. |
US10236964B2 |
Method and apparatus for beamforming training in basic service set discovery
A method and apparatus for beamforming training in basic service set discovery is provided. Beacon data is transmitted from a first wireless communication device, the beacon data comprising: beacon data parameters for operation of a basic service set: and beamforming data that includes receive beamforming training fields. Beamforming occurs with a second wireless communication device that receives beacon data. |
US10236963B2 |
Method and apparatus for CSI feedback in a MIMO wireless communication system with elevation beamforming
A method of operating a communication system is disclosed. The method includes transmitting a plurality of channel state information reference signal (CSI-RS) sub-resources and a plurality of mode configuration signals to a remote transceiver. The method further includes receiving channel state information (CSI) signals according to the mode configuration signals for the respective sub-resources. |
US10236957B2 |
Method and apparatus for transmitting uplink signals using multi-antenna
A method and apparatus for allowing a UE to transmit uplink signals using a MIMO scheme are disclosed. In order to maintain good Peak power to Average Power Ratio (PAPR) or Cubic Metric (CM) properties when the UE transmits uplink signals using the MIMO scheme, the UE uses a precoding scheme based on a precoding matrix established in a manner that one layer is transmitted to each antenna in specific rank transmission. |
US10236956B2 |
Beamforming handover messaging in a wireless network
A wireless device may support a plurality of channel state information (CSI) processes. The wireless device may send an indication of a number of supported CSI processes to a first base station. The first base station may send an indication of a number of CSI processes supported by the wireless to a second base station. This information may be used during handover of the wireless device. |
US10236953B2 |
Packet capture grouping for MU-MIMO (multi-user / multiple input—multiple output) access points
An access packet group is formed for packet capture of MU-MIMO capable access points. A neighbor list for a plurality of access points discovered by an access point is received. The access point has MU-MIMO capability for multiple concurrent streams of data with multiple clients. A frame report is received from the access point of RSSI values for the plurality of access points on the neighbor list. A group of access points is selected from the plurality of access points to switch into packet capture mode, based on RSSI values. The group of access points is configured into packet capture mode. |
US10236949B2 |
Multiple-antenna data transmission method, base station, user equipment, and system
Embodiments of the present invention disclose a base station, including: a first channel state information acquiring module, configured to acquire channel state information of dimension-reduced channel subspace by means of level-one channel state information measurement; a set determining module, configured to: schedule the user equipment, and determine a set of user equipment involved in multiple-input multiple-output; a second channel state information acquiring module, configured to: perform level-two channel state information measurement on user equipment in the set of user equipment to acquire state information of a dimension-reduced real-time channel; and a data sending module, configured to: process downlink data and a user-specific demodulation reference signal by means of two-level precoding, and send processed downlink data and a processed user-specific demodulation reference signal to the user equipment in the set of user equipment. |
US10236944B2 |
Establishing wireless communication between a train and base stations
One or more communication channels may be setup for communicating between a first transceiver from a plurality of transceivers in a transportation object and a network transceiver of a communication network that is in proximity to the transportation object. Channel related data may be determined for the first transceiver; and the determined channel related data may be determined to a second transceiver that is determined to come within communication range of the network transceiver due to movement of the transportation object. The channel related data may then be used for communicating via the one or more communication channels with the second transceiver. Cooperative communication schemes may be used when communication via the one or more communication channels. The cooperative communication schemes may comprise use of one or both of spatial diversity and spatial multiplexing. |
US10236942B2 |
Electronic device having loop antenna
An electronic device is provided that includes a housing having a first surface facing a first direction, and a second surface facing a second direction opposite to the first direction. The electronic device also includes a conductive pattern having a first conductive coil with an axis that is substantially perpendicular to the first direction or the second direction. The electronic device additionally includes a communication circuit configured to cause the first conductive coil to generate a magnetic flux. The second surface includes a first region made of a conductive material and a second region made of a nonconductive material. When viewed from the second surface, the first conductive coil is disposed mostly under the first region. The first conductive coil is configured to include a first section disposed near or on the second region to cause the magnetic flux to pass through the second region. |
US10236939B1 |
Sharing system of near-field communication and high-frequency wireless charging coils
The present invention relates to a sharing system of the near-field communication and the high-frequency wireless charging coils. The main structure comprises a wireless signal transmission unit for receiving signals which will then transmit to a first resonance unit and a second resonance unit at both ends, and then the signals will enter a first notch filter module and a second notch filter module and then charge a load element. The near-field communication module connects with the wireless signal transmission unit to receive a signal. Such that, the near-field communication module can share coils with the high-frequency wireless charging coils to achieve the space-saving effect. |
US10236936B2 |
Link emission control
Establishing a communication link may include transmitting by a first device an unmodulated first electromagnetic EHF signal and receiving by a second device the first electromagnetic EHF signal. The second device may determine whether the received first electromagnetic EHF signal indicates that a first shield portion and a second shield portion are in alignment. The transmission of a modulated second electromagnetic EHF signal may be enabled when the received first electromagnetic EHF signal indicates that both the shield portions are in alignment and may be disabled when the received first electromagnetic EHF signal indicates that the first and second shield portions are not in alignment. |
US10236934B2 |
Multi-length cyclic prefix for OFDM transmission in PLC channels
Embodiments of the invention provide multiple cyclic prefix lengths for either both the data-payload and frame control header or only the data payload. Frame control header (FCH) and data symbols have an associated cyclic prefix. A table is transmitted in the FCH symbols, which includes a cyclic prefix field to identify the cyclic prefix length used in the data payload. A receiver may know the cyclic prefix length used in the FCH symbols in one embodiment. In other embodiments, the receiver does not know the FCH cyclic prefix length and, therefore, attempts to decode the FCH symbols using different possible cyclic prefix lengths until the FCH symbols are successfully decoded. |
US10236932B1 |
Signal isolator having magnetic signal latching
Methods and apparatus for transmitting signals that are magnetically latched at a receiver. In embodiments, a signal isolator comprises a transmitter and a receiver on separate die. Signal disruptions may be minimized. In embodiments, the transmitter and/or receiver can be monitored for proper operation. |
US10236930B1 |
Automatically activated flotation device for use on a mobile communications device and methods of making and using same
An automatically activated flotation device for use on a mobile communications device, including a mobile communications device, and a case operatively attached to the mobile communications device, wherein the front side of the case is located adjacent to the mobile communications device, wherein the case further includes a rod carrier connected to the case such that the at least one rod carrier, a one-way valve assembly operatively connected to a first end of the rod carrier, a chemical rod located within the rod carrier and located adjacent to the one-way valve assembly, and an inflation assembly operatively connected to the case and operatively connected to the at least one rod carrier, wherein if the mobile communications device contacts a body of water, a portion of the body of water interacts with the chemical rod to produce a gas that triggers the inflation assembly to inflate and cause the mobile communications device to float on the body of water. |
US10236928B2 |
Molded mobile device case with storage compartment having hinged access
A protective case for a mobile device having a molded multi-layered construction is disclosed. The case includes a mobile device cavity that is configured to receive and retain the mobile device and a storage cavity that extends internally from an upper portion of the case to a lower portion of the case. The lower and upper portions of the case are hinged to one another to facilitate access to the storage compartment. The exterior back surface of the case is configured to conceal the existence of the storage compartment. Other and various unique aspects relating to the construction, configuration and manufacture of the case are disclosed. |
US10236924B2 |
Reducing out-of-channel noise in a wireless distribution system (WDS)
Embodiments of the disclosure relate to reducing out-of-channel noise in a wireless distribution system (WDS). A digital filter in a remote unit is configured to suppress out-of-channel noise in a downlink digital communications signal based on at least one filter configuration parameter received from a control circuit. The control circuit is configured to determine the filter configuration parameter based on physical characteristics of the downlink digital communications signal. By suppressing the out-of-channel noise of the downlink digital communications signal, it is possible to provide a downlink RF communications signal communicated from the remote unit that complies with a spectrum emission mask (SEM). Further, by suppressing the out-of-channel noise at the remote unit, it is not necessary for a central unit to perform digital filtering before distributing the downlink digital communications signal to the remote unit, thus helping reduce complexity, cost, physical size, and power consumption of the central unit. |
US10236923B2 |
Method of processing a digital signal derived from an analog input signal of a GNSS receiver, a GNSS receiver base band circuit for carrying out the method and a GNSS receiver
For suppression of continuous wave interferers at, e.g., up to four interferer frequencies (f1, f2, f3, f4) in a GNSS receiver base band circuit a raw digital signal is, in a band stop unit (21), shifted, by a first mixer (31a), by the negative of the first interferer frequency (f1) in the frequency domain whereupon the continuous wave interferer is suppressed by a band stop filter (30a), a linear phase FIR filter with a suppression band centered at zero, e.g., a filter subtracting a mean over previous subsequent signal values from the actual signal value. After further shifting of the shifted digital signal by the negative of the difference between the second interferer frequency (f2) and the first interferer frequency (f1) the shifted digital signal is again filtered by an identical band stop filter (30b) and so on. After the last filtering step the shifted digital signal is shifted back to its original position in the frequency domain to provide a filtered digital signal which corresponds to the raw digital signal with narrow interferer bands centered at the interferer frequencies (f1, f2, f3, f4) suppressed. |
US10236918B2 |
Transmission apparatus including encoder, reception apparatus including decoder, and associated methods
An encoder and decoder using LDPC-CC which avoid lowering the transmission efficiency of information while not deteriorating error correction performance, even at termination; and an encoding method of the same. A termination sequence length determining unit determines the sequence length of a termination sequence transmitted added to the end of an information sequence, according to the information length (information size) and encoding rate of the information sequence. A parity calculation unit carries out LDPC-CC coding on the information sequence and the known-information sequence necessary for generating a termination sequence of the determined termination sequence length, and calculates a parity sequence. |
US10236917B2 |
Providing memory bandwidth compression in chipkill-correct memory architectures
Providing memory bandwidth compression in chipkill-correct memory architectures is disclosed. In this regard, a compressed memory controller (CMC) introduces a specified error pattern into chipkill-correct error correcting code (ECC) bits to indicate compressed data. To encode data, the CMC applies a compression algorithm to an uncompressed data block to generate a compressed data block. The CMC then generates ECC data for the compressed data block (i.e., an “inner” ECC segment), appends the inner ECC segment to the compressed data block, and generates ECC data for the compressed data block and the inner ECC segment (i.e., an “outer” ECC segment). The CMC then intentionally inverts a specified plurality of bytes of the outer ECC segment (e.g., in portions of the outer ECC segment stored in different physical memory chips by a chipkill-correct ECC mechanism). The outer ECC segment is then appended to the compressed data block and the inner ECC segment. |
US10236915B2 |
Variable T BCH encoding
A system for implementing variable T BCH encoders includes: a polynomial multiplier for multiplying a message polynomial by a difference polynomial to achieve a first value, wherein the message polynomial comprises data bits as coefficients and the difference polynomial comprises minimal polynomials that are present in a T error correcting code and are absent from a T−ΔT error correcting BCH code; a shifter/zero-padder coupled with the BCH encoder, the shifter/zero-padder for multiplying the first value by xN-{tilde over (K)} to achieve a second value; a BCH encoder coupled with the polynomial multiplier, the BCH encoder for dividing the second value by a generator polynomial of the T error correcting BCH code and calculating a remainder based on the dividing to achieve a third value; and a polynomial divider for dividing the third value by the difference polynomial to achieve a fourth value comprising parity of the T−ΔT error correcting BCH code. |
US10236914B2 |
Transmitter, receiver, transmission method, and reception method
One coding scheme is selected from a plurality of coding schemes, an information sequence is encoded by using the selected coding scheme, and an obtained encoded sequence is modulated to obtain a modulated signal. The obtained modulated signal is subjected to a phase change and is transmitted. The plurality of coding schemes include at least a first coding scheme and a second coding scheme. The first coding scheme is a coding scheme with a first coding rate for forming a generated first codeword as a first encoded sequence by using a first parity check matrix. The second coding scheme is a coding scheme with a second coding rate obtained after puncturing processing, for generating a second encoded sequence by performing the puncturing processing on a generated second codeword by using a second parity check matrix different from the first parity check matrix. The number of bits of the first encoded sequence is equal to the number of bits of the second encoded sequence. |
US10236909B2 |
Bit-order modification for different memory areas of a storage device
A storage device may program data differently for different memory areas of a memory. In some embodiments, the storage device may use different codebooks for different memory areas. In other embodiments, the storage device may modify bit orders differently for different memory areas. What codebook the storage device uses or what bit order modification the storage device performs for a particular memory area may depend on the bad storage locations specific to that memory area. Where different codebooks are used, optimal codebooks may be selected from a library, or codebooks may be modified based on the bad storage locations of the memory areas. |
US10236906B2 |
Compression and timely delivery of well-test data
A modem is described having a transceiver assembly, a non-transitory processor readable medium coupled to the transceiver assembly, transceiver electronics coupled to the transceiver and the non-transitory processor readable medium, and a power supply supplying power to the transceiver assembly and the transceiver electronics. The transceiver electronics are configured to calculate a size of an output bit stream based on an encoding scheme to encode for transmission data stored in the non-transitory processor readable medium, decimate the data if the size of the output bit stream exceeds a predetermined size, recalculate the size of the output bit stream, after decimation of the data, based on the encoding scheme to encode for transmission the decimated data, and encode the data using the encoding scheme. |
US10236898B2 |
Digital synthesizer, communication unit and method therefor
A digital synthesizer is described that comprises: a digitally controlled oscillator, DCO; a feedback loop; a ramp generator configured to generate a signal of frequency control words, FCW, that describes a desired frequency modulated continuous wave; and a phase comparator configured to compare a phase of the FCW output from the ramp generator and a signal fed back from the DCO via the feedback loop and output a N-bit oscillator control signal. The digital synthesizer comprises a gain circuit coupled to a multiplier located between the ramp generator and the DCO and configured to apply a frequency-dependent gain signal to the N-bit oscillator control signal to maintain an open loop gain of the all-digital phase locked loop, ADPLL, and a PLL loop bandwidth that is substantially constant across a frequency modulation bandwidth. |
US10236894B2 |
Digital phase locked loop and method for operating the same
The present disclosure relates to a Digital Phase Locked Loop (DPLL) for phase locking an output signal to a reference clock signal. The DPLL comprises a phase detector for detecting a phase error of a feedback signal with respect to the reference clock signal. The DPLL comprises a digitally controlled oscillator for generating the output signal based at least on a frequency control word and at least one control signal representative of the phase error. The phase detector comprises an integer circuit for generating a first control signal representative of an integer phase error. The phase detector comprises a fractional circuit comprising a Time-to-Digital Converter (TDC) for processing the feedback signal and a delayed reference clock signal. The fractional circuit is provided for generating from the TDC output a second control signal representative of a fractional phase error. The DPLL comprises an unwrapping unit for unwrapping the TDC output. |
US10236892B2 |
System and method for maintaining high speed communication
A data communication system includes a transmitter, a channel, and a receiver includes a Clock and Data Recovery (CDR) phase detector that is configured to: calculate an overall pulse response of the data communication system; obtain a function value for each of a plurality of phases, the plurality of phases corresponding to a resolution per symbol; set a crossing phase to be a phase at which this value is minimum among the plurality of phase; and set the CDR locking phase to be the crossing phase plus a midpoint from among the plurality of phases. |
US10236891B1 |
Lock time measurement of clock and data recovery circuit
A lock time measurement system to determine a lock time includes a measurement device, a serializer-deserializer (SERDES), a pattern generator, and a splitter. In a first mode, the SERDES receives first data from the pattern generator by way of the splitter. A receiver of the SERDES outputs a recovered clock signal based on the first data to a transmitter. The transmitter includes a serializer and a multiplexer. The serializer receives the recovered clock signal by way of the multiplexer and modifies second data based on the recovered clock signal and outputs serial data. A measurement device, connected to the transmitter and the splitter determines the lock time. In a second mode, the SERDES functions as a transmitter for transmitting data and a receiver for receiving data in a communication link. The system has a better accuracy and utilizes existing receiver and driver circuits. |
US10236890B2 |
Semiconductor device and method for controlling the same
A semiconductor device includes a mode determination unit configured to determine a power mode based on a temperature of the semiconductor device and a reference temperature, the power mode including one of a first mode which sets the operating frequency of the operation clock to be a first operating frequency and a second mode which sets the operating frequency of the operation clock to be a second operating frequency, and output a control signal according to the power mode to a clock generating unit. |
US10236888B2 |
Correlated electron switch device
Disclosed are a circuit and method for implementing a switching function. In an embodiment, the circuit includes a first logic circuit, a second logic circuit, and a Correlated electron switch (CES) element. The CES element is configurable to have a non-volatile state to enable or disable an electrical connection between the first logic circuit and the second logic circuit. |
US10236886B2 |
Multiple via structure for high performance standard cells
A MOS device of an IC includes pMOS and nMOS transistors. The MOS device further includes a first Mx layer interconnect extending in a first direction and coupling the pMOS and nMOS transistor drains together, and a second Mx layer interconnect extending in the first direction and coupling the pMOS and nMOS transistor drains together. The first and second Mx layer interconnects are parallel. The MOS device further includes a first Mx+1 layer interconnect extending in a second direction orthogonal to the first direction. The first Mx+1 layer interconnect is coupled to the first Mx layer interconnect and the second Mx layer interconnect. The MOS device further includes a second Mx+1 layer interconnect extending in the second direction. The second Mx+1 layer interconnect is coupled to the first Mx layer interconnect and the second Mx layer interconnect. The second Mx+1 layer interconnect is parallel to the first Mx+1 layer interconnect. |
US10236883B1 |
All-digital low voltage swing circuit for intra-chip interconnection
A circuit includes a first driver unit and a second driver unit. The first driver unit is configured to generate a first output signal in response to a data signal and an enable signal, and drive the first output signal towards a power supply voltage, or towards a reference voltage, or hold the first output signal at a previous voltage level. The second driver unit is configured to generate a second output signal in response to the data signal and the enable signal, and drive the second output signal towards the power supply voltage, or towards the reference voltage, or hold the second output signal at a previous voltage level. The first output signal and the second output signal are complementary to each other. |
US10236874B2 |
Overvoltage protection circuit for a power semiconductor and method for protecting a power semiconductor from over-voltages
The invention relates to an overvoltage protection circuit (5) and a method for protecting a power semiconductor (31, 32) from over-voltages. For this purpose, a voltage applied across a power semiconductor switch is first converted to a lower voltage signal corresponding to the voltage applied to the power semiconductor switch by means of a resistance voltage divider. The reduced voltage signal is then evaluated by means of an overvoltage detector, such as a Zener or suppression diode, and the power semiconductor switch to be protected is activated if the response voltage of said diode is exceeded. By lowering the voltage level by means of a voltage divider, a Zener or suppression diode having a lower voltage level can be used for monitoring the overvoltage, said Zener or suppression diode having improved operating properties in comparison with corresponding diodes having a higher voltage level. |
US10236868B2 |
Semiconductor device
A semiconductor device includes: a semiconductor chip including a level shift circuit to output a high amplitude signal from an input of a logical signal, the level shift circuit including a series coupling circuit coupled to a second power supply, a control circuit coupled to the series coupling circuit for controlling the series coupling circuit based on the logical signal, and a first potential conversion circuit coupled between the series coupling circuit and the control circuit and coupled to a first power supply. The series coupling circuit includes a plurality of first MOS transistors coupled in series between the second power supply and a reference power supply, and a plurality of second MOS transistors coupled in series between the second power supply and the reference power supply in series with the plurality of first MOS transistors. |
US10236866B2 |
Pulse width modulation signal frequency generation
A timer including a Pulse Width Modulation (PWM) signal generator configured to generate, based on a clock, a PWM signal having a first frequency resolution; a PWM time shifter configured to receive from the PWM signal generator the PWM signal having the first frequency resolution, and output a PWM signal having a second frequency resolution, wherein the second frequency resolution is higher than the first frequency resolution; and a control monitor configured to: control the PWM time shifter to time shift rising edges or falling edges of the PWM signal by an amount corresponding with a second frequency resolution. |
US10236859B2 |
Filter component with passive element and radio-frequency module
A filter component with a passive element includes a filter substrate, an elastic wave filter including an elastic wave resonator in a predetermined region of one main surface of the filter substrate, and a support substrate on another main surface of the filter substrate, wherein a passive element is provided in or on a support substrate, the passive element includes a wiring electrode and is electrically connected to the elastic wave filter. |
US10236858B1 |
Differential split-electrode feedthrough cancellation mechanism
An electromechanical resonator including a drive electrode set having at least one pair of alternating pole interdigitated drive electrodes and including a sense electrode set having at least one pair of alternating pole interdigitated sense electrodes. |
US10236844B2 |
Active inductor and amplifier circuit
According to an embodiment, an active inductor has a first conductivity type MOS transistor with a source that is connected to an electrical power source supply line and a drain that is connected to an output terminal. It has a capacitance between a gate of the first conductivity type MOS transistor and the electrical power source supply line. It has a diode element that is connected between a drain and a gate of the first conductivity type transistor. It has an electric current source that supplies a bias electric current in a forward direction to the diode element. |
US10236837B2 |
Circuits, devices and methods for reducing co-channel interference
Circuits, devices and methods are disclosed, including radio-frequency circuitry comprising a polar modulator configured to invert a sampled transmitted signal into an inverted sampled transmitted signal, a signal combiner configured to combine the inverted sampled transmitted signal with a received signal and a control logic circuit coupled to the polar modulator, the control logic circuit configured to adjust one or more tuning parameters of the polar modulator for inverting the sampled transmitted signal. |
US10236836B1 |
Tuned amplifier matching based on band switch setting
Methods and devices for tuning a configurable amplifier of a multi-band RF front-end stage based on a setting of a band switch are described. A lookup table provided with the configurable amplifier is used to map configuration control data of the band switch to configuration control data of the configurable amplifier. The configurable amplifier can be part of a transmit path or a receive path of the multi-band RF front-end stage. |
US10236832B1 |
Audio system
An audio system includes a reference voltage generation circuit to generate a digital encoding signal and generate an analog reference voltage according to the digital encoding signal, wherein, during a booting procedure and/or a shutdown procedure, the analog reference voltage is smoothly increased and/or decreased at a smooth rate related to a bit number of the digital encoding signal; a first analog operational amplifier for receiving the analog reference voltage to generate a common voltage, which is smoothly increased and/or decreased during the booting procedure and/or the shutdown procedure; and a differential analog operational amplifier pair, coupled to the first analog operational amplifier, for receiving a differential audio input signal pair and outputting a differential output voltage pair to drive a load, wherein, during the booting procedure and/or the shutdown procedure, the differential audio output signal pair is smoothly increased and/or decreased. |
US10236830B2 |
Signal processing system and method thereof
A signal processing system and method is disclosed, applicable to environment providing voltage to Class-H driver. When using the signal processing system, the first is to detect the volume change of inputted voice and use the detection result as a power source to generate an expectation value for the circuit; the input end of the power generation circuit also adds a voltage offset in addition to the expectation value, so that the output voltage from the output end of the power generator provided to the Class-H driver is higher than a fixed value. The signal processing system and method of the present invention can adjust automatically and rapidly the output voltage signal for the power voltage provided to the Class-H driver by using negative feedback controller (such as, negative feedback loop, voltage offset and proportional integral differential (PID)) based on the detected volume change of inputted voice. |
US10236829B2 |
Dynamic error vector magnitude duty cycle correction
Aspects of this disclosure relate to dynamic error vector magnitude (DEVM) compensation. In one embodiment, an apparatus includes an amplifier, a low pass filter, and a bias circuit. The amplifier, such as a power amplifier, can amplify an input signal. The low pass filter, such as an integrator, can generate a correction signal based at least partly on an indication of a duty cycle of the amplifier. The indication of the duty cycle of the amplifier can be an enable signal for the amplifier, for example. The bias circuit can generate a bias signal based at least partly on the correction signal and provide the bias signal to the amplifier to bias the amplifier. |
US10236828B2 |
Power amplifier
A power amplifier has improved power added efficiency at high output power. The power amplifier includes: a first transistor for amplifying an input signal input to the base thereof and outputting the amplified signal from the collector thereof; a second transistor with power-supply voltage applied to the collector thereof to supply bias voltage or bias current from the emitter thereof to the base of the first transistor; a third transistor whose collector is connected to the collector of the first transistor to amplify the input signal input to the base thereof and output the amplified signal from a collector thereof; a fourth transistor whose base and collector are connected to supply bias from the emitter thereof to the base of the third transistor; and a first resistor with bias control voltage applied to one end thereof and the other end connected to the bases of the second and fourth transistors. |
US10236815B2 |
Sensor error detection and correction
Electric motors may include one or more sensors usable to determine rotor alignment and/or speed. A method and apparatus for rotor alignment and/or speed error detection and/or correction are proposed, such as using signals from one or more sensors. A method and apparatus for controlling stator tooth activation based, at least in part, on corrections and offsets is also disclosed. |
US10236813B2 |
Method and device for detecting a control method of an inverter
The invention relates to a method for detecting a control method of an inverter (11) for supplying current to an electric machine (1), in particular a synchronous machine, having N phases. The method comprises sensing a control signal (CU, DU, CV, DV, CW, DW), which is fed to the inverter and which is clocked with a periodic cycle, over a specified number of periodic cycles of the control signal; determining a duty cycle frequency for the sensed control signal over the specified number of periodic cycles; and detecting the control method on the basis of the determined duty cycle frequency. The invention further relates to a device (5) designed to perform the method. In addition, the invention further relates a use of the method or of the device to monitor a torque of the electric machine and in particular to estimate an operating-point-dependent power loss of the electric machine according to the detected control method. |
US10236812B2 |
Stray magnetic field compensation for a rotor position sensor
A method may be used to error compensate a measurement of an electric motor's rotor position in a power steering system of a motor vehicle. The electric motor generates torque for assisting steering of the motor vehicle, and stray magnetic fields of motor currents affect the measurement of the rotor position with a magneto-resistive rotor position sensor. The method may involve calculating at least two compensation values based on a current vector, rotor position, and hardware dependent parameters. The at least two compensation values account for errors in the rotor position measurement due to stray magnetic fields of motor currents. The method may also involve calculating a compensated rotor position signal by subtracting the at least two compensation values of the measured rotor position, as well as transferring the compensated rotor position signal as part of a feed-back loop to a target motor torque determination in the motor control. |
US10236807B2 |
Shift range controller
A shift range controller includes a target angle setter obtaining, from an output shaft sensor, sensor signals provided by switches. The target angle setter corrects a target count value that corresponds to a requested shift range, based on the respective sensor signals. When a legitimate number of edges are detected within a signal detection range, or an edge detection window for detecting at least one of the sensor signals (e.g., P1 signal), the target angle setter calculates a post-correction target count value based on an actual count value at an edge detection timing. When the number of edges detected in the detection window is greater than the legitimate number, or, when the edge is detected at a position outside the detection window for at least one of the sensor signals, the target angle setter invalidates the correction of the target count value based at least on the one of the sensor signals. |
US10236806B2 |
Power module, power module group, power output stage, and drive system comprising a power output stage
The present disclosure relates to electric motors. The teachings thereof may be embodied in a power module, e.g., a power module for the delivery of a phase current for a current phase of an electric motor. For example, a power module may include: a circuit carrier having a surface; at least two first contact surfaces, a second contact surface, at least two third contact surfaces defined on the surface; a first power transistor connected to each of the at least two first contact surfaces; at least two second power transistors connected to the second contact surface; wherein the at least two second power transistors are connected via a further contact surface to one of the at least two third contact surfaces; and the at least two first and the at least two third contact surfaces are arranged one after the other, in one direction, and the second contact surface is disposed next to both the at least two first and the at least two third contact surfaces. |
US10236792B2 |
Control system for power conversion apparatus
A first drive circuit Da includes a temperature information transmission unit that transmits a binary output signal changing between High and Low and an abnormality information transmission unit that transmits an output signal fixed to Low, and a second drive circuit Db includes the abnormality information transmission unit. A temperature information signal and an abnormality information signal from the first drive circuit Da are subjected to OR operation on the side nearer the first drive circuit Da than to a magnetic coupler Ma so the abnormality information signal takes precedence when the abnormality information signal represents an abnormality, or on the side nearer a control unit than to the insulation elements Da and Db so the output signal from the insulation element Db takes precedence when the abnormality information signal from the second drive circuit Da represents an abnormality, and then the logical sum is output to the control unit. |
US10236789B2 |
Load control device for controlling a driver for a lighting load
A load control device is configured to generate a control signal having a desired magnitude for controlling a load regulation device adapted to control the power delivered to an electrical load. The load control device may comprise a control terminal arranged to provide the control signal to the load regulation device, a communication circuit for generating the control signal, and a control circuit configured to generate an output signal that is provided to the communication circuit. The communication circuit may be characterized by non-linear operation. The control circuit may adjust the magnitude of the output signal in response to the difference between the magnitude of the control signal and the desired magnitude to adjust the magnitude of the control signal towards the desired magnitude. The control circuit may also be configured to determine if an incompatible load regulation device is coupled to the load control device. |
US10236788B2 |
Temperature-compensated rectifying component
A temperature-compensated rectifying component is configured to receive an input signal and comprises: a diode part, for rectifying the received input signal and providing a rectified output signal thereby, the diode part having an operational temperature; and a temperature compensation controller, configured to control a power dissipated by the diode part over a predetermined period of time, such that an average of the operational temperature over the predetermined period of time meets pre-set criteria. This may be used in a RF detector for generating a DC level from a RF input signal, which may form part of a control circuit for setting an amplitude of an RF potential for supplying to an electronic amplifier in an analytical instrument, such as an ion optical device. |
US10236787B2 |
Device for operating a rectifier, in particular a semi-controlled rectifier bridge, and method for operating a rectifier
In a device for operating a rectifier, in particular a semi-controlled rectifier bridge, and a method for operating a rectifier, in particular a power converter, the rectifier is supplied from system phases, in particular from a three-phase AC voltage system, and supplies a unipolar voltage on the output side, the rectifier including controllable switches, in particular semiconductor switches such as thyristors, etc., a respective system phase supplying a respective current source, the current generated in each case being used as trigger signal for the controllable switch allocated to the respective system phase as a function of the state of a controllable switch unit. |
US10236782B2 |
Module having measurement signal feedback via a galvanically isolated converter
The invention relates to a module for operating at least one illuminant, preferably at least one LED, said module having at least one electrically insulating barrier, which separates a primary side that can be supplied, originating from a mains voltage, from a secondary side of the module, from which the at least one illuminant can preferably be supplied. A secondary-side passive circuit is provided, which is connected in a supply path to a primary-side control circuit across the barrier via a galvanically isolated converter. The primary-side control circuit is designed to apply a test signal with predetermined temporal development to the secondary-side passive circuit (via the converter) and simultaneously to monitor a measurement signal generated thereby on the secondary side and fed back into the supply path, more particularly to monitor an increase of current in the converter. |
US10236776B2 |
Inter-supply bidirectional DC-DC converter of a non-insulation type
A bidirectional DC-DC converter includes: a voltage conversion circuit; a first switch circuit connected between the voltage conversion circuit and a high-voltage DC power supply; a second switch circuit connected between the voltage conversion circuit and a low-voltage DC power supply; a smoothing capacitor provided between the first switch circuit and the voltage conversion circuit; and a control unit. The control unit controls the second switch circuit such that turn-on time of the second switch circuit gradually increases, and thereafter, controls the voltage conversion circuit such that a voltage-boosting switching element of the voltage conversion circuit is turned on and off. After a predetermined time elapses from when the voltage-boosting switching element starts to be turned on and off, the control unit turns off the voltage-boosting switching element and turns on the first switch circuit. |
US10236773B2 |
Low quiescent current DC-to-DC converter with increased output voltage accuracy
Systems and methods for driving a low quiescent current DCDC converter are disclosed. An error threshold compensation circuit of the DCDC converter is configured to detect an output voltage of the DCDC converter, compare the output voltage to a target voltage, and modify a first threshold voltage of the hysteresis control circuit based on the comparison. |
US10236764B2 |
Snubber circuits for power converters
A power converter for converting an input power at an input of the converter to an output power at an output of the converter includes a power conversion circuit and a snubber circuit coupled to the power conversion circuit. The power conversion circuit includes a switching device coupled to a reference potential, and an inductance coupled to the switching device. The snubber circuit includes a snubber switching device, a capacitance coupled to the snubber switching device, and an auxiliary inductance coupled to the inductance of the power conversion circuit and the snubber switching device. The snubber switching device and the capacitance are coupled across the switching device of the power conversion circuit. The capacitance is adapted to store leakage energy output from the power conversion circuit and discharge at least a portion of the stored leakage energy via the snubber switching device. Other example power converters are also disclosed. |
US10236763B2 |
Reverse current blockage through buck controller block
A switching circuit with reverse current prevention for use in a Buck converter includes a power switch coupled to a coupling node, which is an interconnection point of a power switch, an inductor and a freewheeling diode of the Buck converter. The inductor is coupled between the coupling node and an output of the Buck converter, and the freewheeling diode is coupled between coupling node and an output return of the Buck converter. A controller is coupled to receive a feedback signal to control switching of the power switch to regulate a transfer of energy from the input to the output of the Buck converter. A reverse current prevention circuit is coupled to detect a reverse current condition of the power switch to generate an inhibit signal to inhibit the power switch from receiving a drive signal to prevent a reverse current through the power switch. |
US10236762B2 |
Common-stator macro/micro integrated precision motion one-dimensional linear motor assembly
A common-stator macro/micro integrated precision motion one-dimensional linear motor assembly, includes a base, linear guide rails, slide blocks, a U-shaped linear motor stator, a macro motion rotor, a micro motion rotor and a macro/micro integrated platform. A macro and micro motion platforms are connected to form an integrated platform through an elastic member, an outer frame of the macro/micro integrated platform is mounted on the linear guide rails and the slide blocks, the U-shaped linear motor stator is arranged on the base, rotors are respectively mounted on the macro and micro motion platforms, and large-scale overall high-speed motion can be realized when macro and micro rotors are simultaneously driven, and when a motion deviation occurs, the micro motion platform realizes precise displacement output by virtue of elastic deformation due to small inertia and zero friction, and high-frequency motion deviation compensation can be realized by virtue of individual drive. |
US10236761B2 |
Vibrating motor
A vibrating motor is provided in the present disclosure. The vibrating motor includes a shell, a base covered by the shell for forming an accommodating space, a vibrating system accommodated in the accommodating space, and a pair of elastic connectors connected to two opposite ends of the vibrating system respectively for elastically suspending the vibrating system in the accommodating space. Each elastic connector includes a first elastic member and a second elastic member for connecting a corresponding end of the vibrating system to the shell; the first elastic member includes a first elastic arm, and the second elastic member includes a second elastic arm crossing over and interesting with the first elastic arm. |
US10236759B2 |
Stator structure and resolver
A stator structure includes a stator unit, and first and second coil covers, the stator unit having: a stator core having a first main body portion with a plurality of through holes, and a plurality of teeth portions; and a coil, and the first and second coil covers covering the coil from a stator core axial direction; the first and second coil covers have first and second protecting portions and first and second engagement portions; each of the first and second protecting portions is arranged between the teeth portions; the first and second protecting portions arranged between the same teeth portions are in a non-restrained state, where their mutual movement in the axial direction is not restrained; their distal end portions overlap each other; and the first and second engagement portions are engaged with each other through the respective through holes. |
US10236758B2 |
Single phase permanent magnet motor and stator core thereof
The present invention provides a stator core comprising an end portion and a pole arm extending from the end portion. The pole arm includes two spaced connecting arms and two pole claws respectively formed at distal ends of the connecting arms. The two pole claws define a space for receiving a rotor therein. The pole claws surround the space and form an arc pole surface. The arc pole surface forms a discontinuity opening along a circumferential direction. The discontinuity opening faces a spacing between the connecting arms and has a width changed along an axial direction. |
US10236757B2 |
Rotating electric machine and method for manufacturing the rotating electric machine
A rotating electric machine includes: a stator core having a plurality of slots aligned along a circumferential direction; a stator having a stator coil with an enamel coating inserted into the slots of the stator core; and a rotor rotatably arranged over the stator core through a given gap. The stator coil includes: main coils of a plurality of phases in which a plurality of segment coils each having a rectangular cross-section wire formed into a substantially U-shaped wire in advance is connected to each other; a first sub-coil having a lead wire led from the slots and attached with an AC terminal, and connected to one end of the respective main coils; and a second sub-coil having a neutral wire led from the slots, and connected to the other end of the respective main coils. The lead wire and the neutral wire are each formed of a wire with a bend structure having a plurality of straights and bends. |
US10236756B2 |
Rotating electric machine
A rotating electric machine includes a multi-phase coil, an armature core, a rotor, a yoke core and a superimposer. The armature core has the multi-phase coil wound thereon. The rotor is rotatably disposed and has a plurality of magnetic poles facing the armature core. The yoke core is arranged so as to surround outer peripheries of the multi-phase coil and the armature core. The yoke core is magnetically connected with the magnetic poles of the rotor. The superimposer superimposes a DC component on a multi-phase alternating current supplied to the multi-phase coil, thereby supplying a DC field magnetic flux to a magnetic circuit that is formed by the armature core, the magnetic poles of the rotor and the yoke core. |
US10236755B2 |
Method for manufacturing rotors
A method includes steps of: preparing first and second plates, each first plate having surrounding apertures, each aperture including a first accommodation portion and an outer necking portion, each second plate having surrounding accommodation portions; stacking the first plates by aligning necking portions to form band gaps extending linearly and parallel to each other; stacking two second plates to two opposing terminal portions of the stacked first plates; penetrating metal bars into the aligned first and second accommodation portions by exposing both ends of each metal bar; beetling the necking portions at each band gap so as to locate firmly the corresponding metal bar; positioning a first mold and a second mold to clamp the stacked plate structure; injecting metal slurries into the first and second molds and then solidifying the metal slurries to form two end rings; and, removing the first and second molds to complete a rotor structure. |
US10236748B2 |
Cooling of an active part of an electric machine
An active part of an electric machine includes at least two teeth, each having a magnetically permeable material and protruding from a lateral surface of the active part in a radial direction. Each tooth has an open recess in a plane spanned by a substantially radial direction and a direction substantially along an axis of rotation. A winding groove is arranged between the two teeth substantially along an axis of rotation of the active part for receiving an electrical winding. Arranged at least partially in the open recess is a thermally conductive element having a thermally conductive material with a thermal conductivity which is greater than a thermal conductivity of the magnetically permeable material. |
US10236745B2 |
Motor
A circuit board is in the shape of a strip and includes: in one longitudinal end portion thereof, power connection portions connected to an external power supply; in another longitudinal end portion thereof, land portions to which portions of conducting wires drawn out downwardly of a base portion are connected; and pattern portions electrically connected to the power connection portions and the land portions. The land portions include a first land portion, and a second land portion arranged adjacent to the first land portion. The pattern portions include a first pattern portion electrically connected to the first land portion, and a second pattern portion arranged adjacent to the first pattern portion, and electrically connected to the second land portion. The first pattern portion and the second land portion are arranged to overlap with each other when viewed in a widthwise direction of the circuit board. Alternatively or additionally, the first and second land portions are arranged to overlap with each other when viewed in a longitudinal direction of the circuit board. |
US10236743B2 |
Motor having structures for improving dynamic balance and improving installation of a reset protector
A motor, including: a rotational shaft, a rotor, a stator, a housing, a first end cover, and a second end cover. The first end cover includes: a cover body including a bottom surface and a top surface, a bearing cavity, and a plurality of first bosses. The rotor is mounted on the rotational shaft; the stator is nested and installed inside the housing. The rotor is nested inside the stator; the first end cover and the second end cover are disposed on a rear end and a front end of the housing, respectively. The bearing cavity is disposed in the middle of the bottom surface of the cover body. The first bosses are circumferentially disposed at intervals on the top surface of the cover body. Outer side surfaces of the first bosses are located on a circle having a circle center coincident with the center of the cover body. |
US10236738B2 |
Rotary electric machine
The armature winding is configured by mounting into slot pairs n types of winding bodies that are each configured by winding a conductor wire for m turns into a helical shape, where m is a natural number that is greater than or equal to two, the n types of winding bodies have different spacings between rectilinear portions that are linked by coil ends, are housed in n adjacent pairs of slot pairs, and are configured so as to be concentric, the coil ends include a top portion at an approximately central portion, and the radial displacement at the top portion is approximately a×d, where a is a natural number that is greater than or equal to 1 and less than or equal to (m−1), and d is a radial thickness of the rectilinear portions that are housed inside the slots. |
US10236737B2 |
Synchronous generator, in particular multipole synchronous annular generator of a direct-drive wind turbine, and wind turbine having the same
A synchronous generator, in particular a multipole synchronous annular generator of a direct-drive wind turbine, for generating electricity, with a rotor and a stator, wherein the stator has multiple grooves in which a stator winding is arranged, wherein the stator winding releases heat energy as a result of the generation of power, and wherein a cooling body for absorbing and dissipating released heat energy is arranged in one groove, multiple grooves or all of the grooves. |
US10236735B2 |
Electric conductor for coil and rotating electric machine
An electric conductor is provided for forming a coil upon being bent. The electric conductor includes a pair of electric conductor wires and an insulating coat. The electric conductor wires each have a substantially rectangular cross section and are arranged in alignment with each other in an alignment direction. The alignment direction is perpendicular to corresponding sides of the substantially rectangular cross sections of the electric conductor wires; the corresponding sides have the same length. The insulating coat is formed on outer peripheral surfaces of the electric conductor wires. The insulating coat has a thick portion formed at a boundary between the electric conductor wires. The thick portion is thicker than and protrudes outward from other portions of the insulating coat which adjoin the thick portion in a circumferential direction of the electric conductor. |
US10236729B2 |
Method and system for regulating contactless transformer
Disclosed are a regulating method and system for a contactless transformer, applied to a regulating system including a contactless transformer and a detecting device (2), wherein the contactless transformer includes a transmitting end (11) and a receiving end (12), and the method includes: using the detecting device (2) to detect power transmission parameter information between a transmitting coil (111) and a receiving coil (121) of the contactless transformer, and a transmitting end controller (113) regulating operating parameters of a power transmitting end circuit (112) based on the power transmission parameter information; a receiving end controller (123) regulating operating parameters of a power receiving end circuit (122) based on the power transmission parameter information. |
US10236723B2 |
Wireless power transmission system, and method for controlling wireless power transmission and wireless power reception
A wireless power transmission system, and a method for controlling wireless power transmission and wireless power reception are provided. According to an aspect, a method for controlling a wireless power transmission may include: detecting a plurality of target devices used to wirelessly receive power; selecting a source resonating unit from among a plurality of source resonating units, based on the amount of power to be transmitted to one or more of the plurality of target devices, a coupling factor associated with one or more of the plurality of target devices, or both; and wirelessly transmitting power to a target device using the selected source resonating unit. |
US10236720B2 |
Wireless power transfer system and driving method thereof
A wireless power transmission system according to an exemplary embodiment of the present invention transmits power from a primary side to a secondary side, and includes: a secondary coil provided at the secondary side; a capacitor and a control switch electrically coupled in series between lateral ends of the secondary coil; and a regulation controller controlling a switching operation of the control switch according to a result of comparison between a control signal synchronized by a frequency at the primary side and a feedback signal corresponding to an output of the wireless power transmission system. |
US10236714B2 |
UPS operation with high converter efficiency
The present invention provides a method for controlling an uninterrupted power supply system comprising multiple converters and a control device, the multiple converters are connected in parallel between a power supply side and a load side of the uninterrupted power supply system, each converter is provided to be individually activated by the control device to provide power from the power supply side to the load side, comprising the steps of determining a system load level at the load side of the uninterruptable power supply system, determining a number of required active converters based on the system load level and a system efficiency depending on the number of active converters, and activating the number of required converters based on the above determination. |
US10236713B2 |
Monitor housing apparatus
A monitor housing apparatus comprises an opening and closing mechanism, a drive motor, a power supply, a backup capacitor, a contact switch, and a controller. The opening and closing mechanism turns a monitor around a rotation shaft. The power supply supplies electrical power to the drive motor. The backup capacitor supplies power to the drive motor in the event that the supply of power from the power supply to the drive motor is shut off. The controller applies voltage to the backup capacitor when the contact switch detects that the monitor is in its deployed state, and halts the application of voltage from the power supply to the backup capacitor when the contact switch detects that the monitor is in its stowed state. |
US10236712B2 |
Dual-distribution lighting device for lighting systems
Aspects are described for dual-distribution lighting devices. For example, a dual-distribution lighting device includes a forward-throw module with a first lighting element that can provide directional light, a wide-throw module with a second lighting element that can provide light with a wide distribution, an interface element that can to receive input, and a processing device. In response to the input being received via the interface element, the processing device deactivates one of the forward-throw and wide-throw modules and activates the other of the forward-throw and wide-throw modules, thereby causing the dual-distribution lighting device to switch between providing directional light and a wide distribution of light. |
US10236708B2 |
Re-charging pack for an e-cigarette
Various embodiments provide a pack for holding and re-charging an e-cigarette. The pack includes a pack battery; a body portion including a tube for receiving an e-cigarette; a re-charging mechanism for re-charging the e-cigarette received into the tube using the pack battery, wherein the e-cigarette can be re-charged in the tube without disassembly of the e-cigarette; and a dual activation mechanism comprising two separate triggers for causing the re-charging mechanism to begin re-charging the e-cigarette received into the tube using the pack battery. The re-charging begins only in response to the direct activation by a user of both of the separate triggers. |
US10236707B2 |
Charging system using wound rotor synchronous motor
Disclosed herein is a charging system using a wound rotor synchronous motor (WRSM), capable of reducing volume, weight, and cost of a vehicle increased due to an on-board charging circuit and increasing a battery charge capacity. The charging system using a wound rotor synchronous motor (WRSM) includes an inverter converting power of a battery into alternating current (AC) powers having a plurality of different phases, a WRSM having a plurality of stator coils each receiving AC power of a different phase and a field coil forming mutual inductance with the plurality of stator coils and installed in a rotor to form a magnetic flux using power of the battery, and a controller controlling the battery side and the field coil side are insulated from each other in a charge mode in which grid power is applied to the field coil side of the WRSM. |
US10236706B2 |
Configurable apparatus and methods for supplying power and data to electronic devices
Embodiments of a system, topology, and methods for providing electrical power to electronic devices from various power sources are described generally herein. Other embodiments may be described and claimed. |
US10236705B2 |
Electric vehicle supply equipment and charging method
An Electric Vehicle Supply Equipment includes a plurality of first power modules and a control circuit. The first power modules are electrically coupled in series at output and configured to provide a charging current and a charging voltage to charge an Electric Vehicle. The control circuit is configured to output a first current control signal to control one of the first power modules to be operated in a constant current mode and output first voltage control signals to control the other first power modules to be operated in a constant voltage mode respectively. The control circuit controls the charging current via the first power module operated in the constant current mode, and controls the first power modules operated in the constant voltage mode have the output voltages corresponding to their first voltage control signals respectively. |
US10236703B2 |
Apparatus and method for controlling charge and discharge of secondary battery
Disclosed is an apparatus and method for controlling charge and discharge of a secondary battery. The apparatus for controlling charge and discharge of the secondary battery includes the power control unit calculates an amount of discharging power of the secondary battery, and when voltage value of the secondary battery is less than a preset reference voltage value, outputs a compensation charge control signal to charge the secondary battery with an amount of charging power obtained by adding an amount of power compensation to the calculated amount of discharging power. According to the present disclosure, the problem of a charge amount of the secondary battery reducing gradually with increasing charge and discharge cycles of the secondary battery may be prevented by compensating for the charge amount of the secondary battery. |
US10236702B2 |
Method and apparatus for rapidly charging battery
Provided are a method and an apparatus for rapidly charging a battery, such that a battery can be rapidly charged while having an extended lifetime. The method for charging a battery according to the present invention charges a battery by starting from an initial charging rate higher than 1 C, while stepwise decreasing the charging rate, such that a negative electrode potential of the battery does not drop to a level less than or equal to 0V. An occurrence of Li-plating of a negative electrode of the battery can be prevented by the criteria for preventing the negative electrode potential from dropping to a level less than or equal to 0V, thereby providing an effect of rapidly charging the battery while extending the lifetime of the battery. |
US10236701B2 |
System, mobile device, and charging device
Embodiments of the present invention relate to a charging method, a mobile device, and a charging device. The mobile device includes a battery and a connector, where the connector includes a charging pin and a ground pin. When the charging pin establishes a connection to an output pin of the charging device by using a charging cable or a battery charging stand, the charging pin receives a first current signal transmitted by the output pin of the charging device, and transmits the first current signal to an anode pin of a battery, so as to charge the battery. |
US10236698B2 |
Movable charging apparatus
The present invention provides a movable charging apparatus comprising a house, at least one storage portion and a plurality of movable units. The house is provided to allow a power converting module. The storage portions, located at a side of the house, is provided to allow a power cable and a charging cable. The plurality of movable units are located at a bottom of the house. The apparatus further comprises a control module, an auxiliary power module, a circuit breaker, a metering module, a thermal unit and a filtering unit. |
US10236688B2 |
Method for regulating an electrical power circuit and an electrical power regulating apparatus
An electrical power regulating apparatus includes a controller arranged to detect an electrical source characteristic of an electrical power source; and a power converter arranged to adjust an electrical load characteristic of an electrical loading stage in connection with the electrical power source based on the at least one electrical source characteristic being detected by the controller, such that the electrical power source is arranged to deliver a maximum amount of electrical power to the electrical loading stage. A method for regulating an electrical power circuit is also provided. |
US10236673B2 |
Cover assemblies for cables and electrical connections and pre-expanded units and methods including same
An integral, unitary pre-expanded cover assembly unit for covering an electrical connection between first and second electrical cables each having a primary conductor and a sleeve defines a cable passage to receive the electrical connection and the primary conductors of the first and second cables. The outer sleeve surrounds the inner sleeve. The duct is neutral conductor includes a cover assembly and a removable holdout. The cover assembly includes an elastomeric inner sleeve, an elastomeric outer sleeve, and a duct. The inner interposed radially between the inner and outer sleeves. The duct defines a duct passage configured to receive at least one of the neutral conductors therethrough. The holdout is mounted within the inner sleeve. The holdout is operative to temporarily maintain the inner sleeve in an expanded state and the outer sleeve in an expanded state. The duct is flexible. The duct is operative to resist radial collapse of the duct and to bend radially inwardly as the holdout is axially removed from the inner sleeve. |
US10236665B2 |
Insulated electrical switch cabinet cover
A temporary insulating switch cabinet cover is installed in the doorway of an electrical switch cabinet having high voltage energized switchgear in order to enable a worker to perform maintenance tasks on the switchgear while the switchgear is energized. The cover is designed to expose a part of the energized switchgear while covering and isolating another part of the energized switchgear so that a worker is enabled to work on the exposed part of the energized switchgear while being protected and insulated from the unexposed covered part of the energized switchgear. |
US10236663B2 |
Semiconductor optical device
A semiconductor optical device includes a laminated structure constituted of a first compound semiconductor layer of an n type, an active layer, and a second compound semiconductor layer of a p type, the active layer including at least 3 barrier layers and well layers interposed among the barrier layers, and the semiconductor optical device satisfying Egp-BR>Egn-BR>EgWell when a bandgap energy of the barrier layer adjacent to the second compound semiconductor layer is represented by Egp-BR, a bandgap energy of the barrier layer between the well layers is represented by EgWell, and a bandgap energy of the barrier layer adjacent to the first compound semiconductor layer is represented by Egn-BR. |
US10236660B2 |
Submount, optical transmitter module, optical module, optical transmission equipment, and control method therefor
A submount which has a mounting surface on which three or more semiconductor lasers are arranged in a first direction, and includes a heat generator configured to increase the temperatures of the three or more semiconductor lasers, in which, where the heat generator generates heat, a first heat of the heat absorbed by a first semiconductor laser of the three or more semiconductor lasers disposed at one end along the first direction is larger than a second heat of the heat absorbed by a second semiconductor laser of the three or more semiconductor lasers disposed to be adjacent to the first semiconductor laser on the mounting surface. |
US10236658B2 |
Light source utilizing wavelength conversion
There is herein described a light source comprising a semiconductor device emitting a primary light, a thermally conductive optic having a reflective coating and a wavelength converter having a front surface and a rear surface. The optic is mounted to the rear surface of the wavelength converter and the primary light impinges on the wavelength converter in an emission region. The wavelength converter converts at least a portion of the primary light into a secondary light that is emitted from the front and rear surfaces of the converter and the optic reflects secondary light emitted from the rear surface back into the emission region. The light source may be used in either transmissive or reflective configurations. |
US10236655B2 |
Solid laser amplification device
A solid laser amplification device having a laser medium that has a solid medium, into which a laser light enters and from which the laser light is emitted, and an amplification layer, provided on the surface of the medium, receives the laser light in the medium, and amplifies and reflects the light toward the exit; and a microchannel cooling part that has a plurality of cooling pipelines, into which a cooling solvent is conducted and which are arranged parallel to the surface of the amplification layer, and a cooling surface, at the outer periphery of the cooling pipelines and attached on the surface of the amplification layer, the microchannel cooling part cooling the amplification layer. The closer the position of the cooling pipeline to a position facing a section of the amplification layer that receives the laser light, the greater the cooling force exhibited by the cooling part. |
US10236652B2 |
Terminal-equipped electric wire, terminal crimping apparatus, and method of manufacturing terminal-equipped electric wire
An electric wire connection portion of a crimp terminal includes a core wire crimping portion crimped to a core wire at an end of an electric wire, a bottom of the core wire crimping portion includes a recess, formed by causing a part of a supported surface on an outer wall surface side to be recessed to an inner wall surface side and a protrusion protruding from the inner wall surface toward the core wire at the end of the electric wire by the recess of the recess, and ends of the recess and the protrusion on a side opposite to the sheath crimping portion side are inclined to the sheath crimping portion side as being directed from the outer wall surface side to the inner wall surface side. |
US10236650B2 |
Contact bending unit and contact bending apparatus
A contact bending unit comprises a frame and a bending tool pivotally mounted on the frame. The bending tool has a row of receiving slots formed in a bottom surface of the bending tool receiving a plurality of contacts. The bending tool bends the contacts when the bending tool is rotated. |
US10236648B2 |
Power distribution unit system incorporating smart cables and adapters
A power distribution unit including a plurality of outlet cores arranged along an outlet panel of a housing and mounted to one or more circuit boards with an unobstructed space between adjacent pairs of the plurality of outlet cores. The outlet cores each extend a portion of the distance between the circuit boards and the outlet panel, and the outlet panel includes a plurality of apertures each corresponding to an associated one of the plurality of outlet cores. One or more overcurrent protection devices are mounted in a non-outlet panel aligned along the length of the housing. |
US10236647B2 |
Routing members connection structure
A routing members connection structure electrically connects a long first trunk routing member which is routed in a vehicle and can transmit power and a first branch routing member routed in the vehicle, can transmit power, and branches off from the first trunk routing member. Each of the first trunk routing member and the first branch routing member has a flat conductor and an insulator that is formed on the outer circumferential surface of the flat conductor. The first trunk routing member has a post-shaped first stud bolt that is made of a metal and extends outward from a first branching portion where the flat conductor is exposed without being covered with the insulator. The flat conductor of the first trunk routing member and the flat conductor of the first branch routing member are electrically connected to each other via the first stud bolt. |
US10236646B2 |
Shielded coaxial connector
A coaxial connector has a selectively engageable radio frequency interference shield. |
US10236645B1 |
Electrical connector
An electrical connector includes a terminal module and a housing receiving the terminal module therein. The terminal module includes a first, a second, a third and a fourth terminal module, which include a first, a second, a third and a fourth terminal unit, respectively. The second and the third terminal unit are located at a distance behind the first and the fourth terminal unit. At least one of the first, the second, the third and the fourth terminal unit includes one ground terminal and two signal terminals arranged on at least one lateral side thereof from an outmost position to two inner positions. The housing includes an upper cover and a lower cover that are connected and closed to each other; the lower cover includes a hollow-out area, in which a connection end of each of the first, the second, the third and the fourth terminal unit is received. |
US10236639B2 |
Attachment structure of shield connector for directly mounting on device
An attachment structure for directly attaching a shield connector to an opening formed in a metal casing is provided. A shield connector for directly mounting on a device is attached to an opening formed in a metal casing. A connector housing is fastened to the casing with bolts from outside the casing. The opening of the metal casing has a diameter-enlarged portion formed in an end portion of the opening in an outside direction of the casing to be enlarged toward the outside direction. The shield shell has a contact piece at an end portion of the shield shell, and the contact piece is housed in and contacts with the diameter-enlarged portion in a state that the shield connector is attached to the opening. |
US10236636B2 |
Coaxial cable connector with integral RFI protection
A coaxial cable connector for coupling an end of a coaxial cable to a terminal is disclosed. The connector has a coupler adapted to couple the connector to a terminal, a body assembled with the coupler and a post assembled with the coupler and the body. The post is adapted to receive an end of a coaxial cable. The post has an integral contacting portion that is monolithic with at least a portion of the post. When assembled the coupler and post provide at least one circuitous path resulting in RF shielding such that RF signals external to the coaxial cable connector are attenuated, such that the integrity of an electrical signal transmitted through coaxial cable connector is maintained regardless of the tightness of the coupling of the connector to the terminal. |
US10236632B2 |
Electrical connector for safely conveying high voltage
An electrical connector includes: an insulative housing having a base portion; a number of conductive terminals affixed to the insulative housing and arranged in two rows, each conductive terminal comprising a contacting portion, and each row of conductive terminals comprising a pair of grounding terminals and a number of internal terminals located between the pair of grounding terminals; a metal shielding plate affixed to the insulative housing and sandwiched between the two rows of conductive terminals; and a main shell enclosing the insulative housing; wherein a distance between the contacting portions of the internal terminals and the metal shielding plate is greater than a distance between the contacting portions of the grounding terminals and the metal shielding plate. |
US10236627B1 |
Electrical connectors for lighting and the like
An electric light including a lamp base and lamp housing with electrical connectors that are closed by magnetic attraction when polarity is correct. The lamp base is held physically in place in the lamp housing by the magnetic attraction of two pair of magnets without the need of a threaded connection. The magnet pairs hold the lamp base to the lamp housing in a fixed orientation allowing electricity to flow through the terminals in the lamp housing regardless of whether the source of electrical current is direct or alternating, and, if direct current is the source, such as electrical current from solar cells or batteries, preserves polarity, and can deliver direct current when the light source uses direct current, such as LEDs. |
US10236626B2 |
Plug insert with positioning element
A plug insert comprises a base body, a receptacle extending through the base body, and a positioning element at least partially received in the receptacle. The base body has a plug side and an opposite contact side. The receptacle extends through the base body from the plug side to the contact side and at least partially receives a connector. The positioning element is accessible from both the plug side and the contact side and positions a contact pin of the connector. |
US10236625B2 |
Cable retention device
A retention device includes a ruggedized electrical cable including a first electrical connector at a first end and a second electrical connector at a second end, and a cap including a threaded interior for removably capturing the first electrical connector and the second electrical connector, wherein the threaded interior is configured to screw onto a corresponding threaded surface comprising the exterior of the first end and the exterior of the second end. |
US10236624B2 |
High voltage connector for vehicle
A high-voltage connector for a vehicle is provided. The high-voltage connector includes a first connector having an open circuit terminal configured to transmit an electrical signal in one direction. Additionally, a second connector is provided and includes a plating part which is electrically in contact with the open circuit terminal of the first connector. |
US10236623B1 |
Connector for sealably engaging and disengaging contacts, and methods of making and/or using same
A connector for sealably engaging contacts therein can include a first unit having one or more first contacts that can each include an elongated shaft with a conductive tip. A second unit can have one or more closed chambers therein. Each closed chamber can contain fluid. At least one of the closed chambers can contain one or more second contacts. Each closed chamber can have at least one resilient end wall portion including a bore and a tap integrally formed with the resilient end wall portion. The bore and the tap can create a sealing engagement therebetween when not engaged with the first contact, and create a sealing engagement with the first contact when engaged with the first contact. At least a portion of each closed chamber can be configured to be movable with respect to another portion of the closed chamber. |
US10236621B2 |
Electrical connector with conduit adapter
An electrical connector is provided that includes a housing defining a cavity and an aperture extending into the cavity. The electrical connector further includes an electrical contact supported by the housing, in which the electrical contact is electrically connected with a conductor. The electrical connector further includes a conduit fitting assembly connecting a conduit to the housing. The conduit feeds the conductor into the cavity of the housing through the aperture via the conduit fitting assembly. |
US10236611B2 |
Electrical interfaces using modular VPX technologies
A printed circuit board assembly and method for electrically communicating between a first printed circuit board and a second printed circuit board is disclosed. The method includes coupling the first printed circuit board to the second printed circuit board via an electrical communication cable. The electrical communication cable includes a VPX-compliant electrical interface, a flat flex interface, and a flexible cable that electrically couples the VPX-compliant electrical interface to the flat flex interface; and electrically communicating over the electrical communication cable. |
US10236602B2 |
L-shaped PCB terminal
The present disclosure includes a method of assembling a power distribution box, that may include providing a circuit board and providing a first L-shaped terminal. The first L-shaped terminal may include a horizontal portion and a vertical portion. A second L-shaped terminal may include a horizontal portion and a vertical portion. In embodiments, the first terminal and/or the second terminal may be inserted into the circuit board such that the horizontal portion of the second terminal may be disposed above the horizontal portion of the first terminal with an air gap between the horizontal portion of the first terminal and the horizontal portion of the second terminal. A power distribution box cover may include a recess that may be configured to receive the first terminal and the second terminal without contacting the first terminal. |
US10236601B2 |
Card edge connector with anti-wicking structure
A card edge connector forms a plurality of passageways in the elongated housing to receive the corresponding contacts. Each contact has a retaining section secured to the housing, a resilient arm extending from an upper part of the retaining section with a contacting section exposed in the central slot, a soldering section extending from a lower part of the retaining section and out of the housing. A width of the passageway around the contacting section in the longitudinal direction is smaller than that around the soldering section. |
US10236598B2 |
Method of installing an electrical grounding system at a site
A method of installing an electrical grounding system at a site can comprise the steps of inserting a hollow electrode into a hole formed into native soil at the site, the hollow electrode comprising an electrically conductive tube configured for communication with a fault current source, and a carbon fiber layer in conductive relationship with at least a portion of the electrically conductive tube; positioning the hollow electrode in the hole circumferentially around a ground member driven into native soil at a bottom of the hole; and electrically interconnecting the ground member and the hollow electrode. |
US10236595B2 |
Terminal fitting with a plurality of wire connection portions
A terminal fitting includes a fastening portion and a wire connection portion. The fastening portion is formed with an installation insertion hole through which a fixing member is inserted. The wire connection portion extends from a peripheral edge portion of the fastening portion. A notch is provided in the fastening portion so as to extend along the peripheral edge of an opening of the installation insertion hole and between the installation insertion hole and the wire connection portion. A through hole or a thin recessed portion is formed on the notch at an intersection portion between the notch and an axis in an extending direction of the wire connection portion. |
US10236593B2 |
Stacked patch antenna array with castellated substrate
Described is a printed circuit antenna array including at least one castellated substrate. Also described is a stacked patch antenna array having at least one castellated substrate. |
US10236592B2 |
Wide band antenna array platform that can find direction on azimuth and elevation angles
The present invention relates to an antenna array platform comprising at least one bottom plate, at least one magnet preferably located on the bottom side of the bottom plate, which provides the bottom plate to be attached to suitable platforms made of a material that can be attracted by magnetic field force without the need for any mechanical adaptations, at least one box located on the bottom plate, at least one lid covering the upper part of the box, a number of antenna slots equal to the number of antennas to be used, made in the lid, at least one plate that provides support so that the box stays at a required position, at least one screw positioned on at least one side of the plate and entering the angling hole that corresponds to the required angle, providing the plate stays fixed. |
US10236590B1 |
Foldable segmented structure and deployable reflector antenna comprised thereof
A foldable segmented structure includes a substantially center portion and a plurality of strut assemblies radially disposed around the center portion. Each strut assembly includes an inner and outer strut. The inner strut includes a first end portion rotatably coupled at the center portion and a second end portion rotatably coupled to the outer strut at an intermediate portion of the strut assembly. The intermediate portion is spaced apart from the center portion. At least one shell segment is disposed on at least one of the inner and outer strut. Each inner strut is configured to rotatably articulate about the first end portion in a first angular direction. Each outer strut is configured to rotatably articulate about the second end portion in a second angular direction opposite to the first angular direction to form an axially extending structure from the center portion to the intermediate portion in a stowed configuration. |
US10236579B2 |
Dual-band dual-port antenna structure
A dual-band dual-port antenna structure is provided. The dual-band dual-port antenna structure includes a first antenna structure and a second antenna structure. The first antenna structure operates in a high-frequency band and includes a first feeding port, a first feeding path electrically connected to the first feeding port, and a first radiating element. The second antenna structure operates in a low-frequency band and includes a second feeding port, a second feeding path electrically connected to the second feeding port, and a second radiating element. The first feeding path includes a first capacitor and a first feeding line. The second radiating element of the second antenna structure at least partially surrounds the first radiating element of the first antenna structure. |
US10236576B2 |
Wireless power transfer using tunable metamaterial systems and methods
The present disclosure provides system and methods for optimizing the tuning of impedance elements associate with sub-wavelength antenna elements to attain target radiation and/or field patterns. Both static and variable (tunable) antenna systems may be manufactured. Static embodiments may be entirely passive in some embodiments. A scattering matrix (S-Matrix) of field amplitudes for each of a plurality of modeled lumped ports, N, may be determined that includes a plurality of lumped antenna ports, Na, with impedance values corresponding to the impedance values of associated impedance elements and at least one modeled external port, Ne, located external to the antenna system at a specified radius vector. Impedance values may be identified through an optimization process, and the impedance elements may be tuned (dynamically or statically) to attain a specific target radiation pattern. |
US10236573B2 |
On-chip coupling capacitor with patterned radio frequency shielding structure for lower loss
A capacitor radio frequency (RF) shielding structure may include a ground plane partially surrounding a coupling capacitor in an RF signal path. The ground plane may include a first ground plane portion extending between a positive terminal of the RF signal path and a negative terminal of the RF signal path. The ground plane may include a second ground plane portion extending between the positive terminal and the negative terminal of the RF signal path. The second ground plane portion may be opposed the first ground plane portion. The capacitor RF shielding structure may also include a patterned shielding layer electrically contacting the first ground plane portion and/or the second ground plane portion. The patterned shielding layer may electrically disconnecting a return current path over the patterned shielding layer to confine a return current to flowing over the first ground plane portion or the second ground plane portion. |
US10236572B2 |
Radio frequency chokes for integrated phased-array antennas
Embodiments described herein provide for integrating a transmit phased-array (Tx) antenna and a receive phased-array (Rx) antenna onto an electrically-conductive plate that forms a ground plane. The plate includes groves that operate as an RF choke. The RF choke mitigates the energy coupling between the Tx antenna and the Rx antenna. Spatial features of the grooves are selected based on a scan angle of at least one of the Tx antenna and the Rx antenna. Due to the electronic scanning performed by the Tx antenna and the Rx antenna, the energy coupling between the Tx antenna and the Rx antenna dynamically varies and may depend upon the relative scan angles between main beams of the antennas. The energy coupling may also depend upon the side lobe energy pattern of the Tx antenna, which varies based on the scan angle of the Tx antenna. |
US10236570B1 |
Cable management floor system
A floor system uses interlocking elements to form a surface raised above a floor surface for the distribution of electrical power and data throughout the floor of a building. The base units of the interlocking elements define channels which receive cables for data and power transmission. Channel and corner covers overlie the channels and interlock with the base units to form the raised surface. Fused electrical feed modules within channels provide electrical power to bus bars which distribute the power to fused terminal boxes and radio frequency sensors and beacons mounted in the base units. |
US10236568B2 |
Curve-typed rigid board and three dimensional antenna having curve-typed rigid board
The curved-type rigid board includes: a main sheet layer capable of maintaining a curved state with a certain curvature; a first adhesive layer formed on the main sheet layer; a sub sheet layer bonded onto the main sheet layer by the first adhesive layer while the sub sheet layer forms a line structure having diagonal lines, which do not match directions of horizontal and vertical lines of the mesh structure of the thermosetting resin of which the main sheet layer is made, in order for the main sheet layer to have rigidity to maintain the curved state; a second adhesive layer formed on the sub sheet layer; and a pattern forming layer bonded onto the sub sheet layer by the second adhesive layer. |
US10236567B2 |
Third brake light antenna mount
A third brake light antenna adapter includes an antenna base attached to a spacer that is installed between the vehicle third brake light and the third brake light opening. The spacer is provided with gaskets, substantially identical to the OEM third brake light gaskets, which provide weatherproof seals between the spacer and the vehicle and between the spacer and the third brake light. Special support brackets enable the spacer to grip the inside of the vehicle passenger compartment to hold it securely to the vehicle without extensive modifications to the vehicle structure itself. |
US10236564B2 |
Wearable electronic device with detachable antenna support
Embodiments herein relate to the detection and switchable use of a detachable GNSS antenna with a wearable electronic device. In various embodiments, a wearable electronic apparatus may include a multi-band antenna to receive satellite positioning signals in a first frequency band and local radio frequency communication signals in a second frequency band, an antenna connector to optionally receive a detachable satellite positioning antenna, and a switch having a switching terminal, a first input terminal coupled with the multi-band antenna, a second input terminal coupled with the antenna connector, and an output terminal, wherein the switch is to selectively connect the first input terminal or the second input terminal to the output terminal, in response to a state of a switching signal received at the switching terminal. Other embodiments may be described and/or claimed. |
US10236562B2 |
Separated and optimization sensor pad design for dual mode LTE application
A mobile device includes a dual band T-shaped antenna and a sensing element. The sensing element includes a first sensing part extending in first direction, a second sensing part, and a third sensing part, wherein the second sensing part and the third sensing part each includes portions that extend in a second direction that is perpendicular to the first direction. The sensing element is used to both detect proximity to an object, to meet specific absorption rate (SAR) criteria, and to affect resonance of the dual band T-shaped antenna. |
US10236557B2 |
Electronic device and antenna device
An electronic device and an antenna device are provided that use a coil module which is thinned without losing the characteristics of the coil module and which can be mounted in a narrowed space. A coil module including a loop-shaped antenna coil wounded in a planar shape and a sheet-shaped magnetic sheet which is formed of a magnetic material and which overlaps with at least a part of the antenna coil is included. In the coil module, a part of the antenna coil is overlapped with an internal structure of a housing and the magnetic sheet is provided in a position that does not overlap with the internal structure on an antenna coil surface side that overlaps with the internal structure. |
US10236556B2 |
Antenna structure and wireless communication device using same
An antenna structure includes a metallic member. The metallic member includes a front frame, a backboard, and a side frame. The side frame defines a slot. The front frame defines a first gap and a second gap. The front frame between the first gap and the second gap forms a first radiating section, the front frame between the first gap and an end of the slot forms a third radiating section. Current enters the first radiating section from the first feed portion, the current flows through the first radiating section and towards the first gap and the second gap, respectively, thus activating radiating signals in a first frequency band and a second frequency band, the third radiating section obtains current from the first radiating section by coupling, thus activating radiation signals in a fourth different frequency band. A wireless communication device using the antenna structure is provided. |
US10236555B2 |
Device for transferring signals from a metal housing
The present disclosure relates to a device for transferring signals from at least one housing opening of a housing, which is metallic at least in part, by means of electromagnetic waves of at least one specific wavelength. The device includes a transmitting/receiving unit arranged in the housing; at least one primary antenna arranged in the housing; a first secondary antenna for receiving the electromagnetic waves decoupled from the primary antenna; and a second secondary antenna for receiving the electromagnetic waves transferred from outside the housing, wherein the second secondary antenna is arranged outside the housing on the housing opening, wherein a reflection point is arranged between the first and second secondary antennas, such that an impedance jump occurs between the first and second secondary antennas. |
US10236553B2 |
Method and apparatus of coupling dielectric waveguide cables
A method for coupling dielectric waveguide cables is disclosed. The method comprises positioning a first dielectric waveguide cable and a second dielectric waveguide cable such that a first segment of the first dielectric waveguide cable and a second segment of the second dielectric waveguide cable are disposed side by side, generating an electromagnetic coupling between the first segment and the second segment, and transmitting an electromagnetic wave signal from the first dielectric waveguide cable to the second dielectric waveguide cable through the electromagnetic coupling. |
US10236550B2 |
In-line filter having mutually compensating inductive and capacitive coupling
An in-line resonator filter has a linear array of three or more conductors. A first pair of adjacent conductors has inductive main coupling and oppositely signed capacitive main coupling, while a second pair of non-adjacent conductors has inductive cross-coupling. The first and second pairs have one conductor in common. Between the second pair of non-adjacent conductors, there is no direct ohmic connection that provides the corresponding inductive cross-coupling. The oppositely signed capacitive main coupling compensates for at least a portion of the inductive main coupling between the first pair of adjacent conductors. The in-line resonator filter is able to provide one or more transmission zeros without requiring any discrete bypass connectors that provide direct ohmic connection between pairs of non-adjacent conductors. As such, the in-line resonator filters can be smaller, less complex, and less susceptible to damage. |
US10236549B2 |
Lithium air battery and method of manufacturing the same
A lithium air battery includes: a composite cathode including a porous material and a first electrolyte; an anode including lithium metal, and an oxygen blocking layer disposed between the composite cathode and the anode, wherein a weight ratio of the porous material and the first electrolyte in the composite cathode is less than about 1:3. Also a method of manufacturing the lithium air battery. |
US10236547B2 |
Battery thermal management systems including heat spreaders with thermoelectric devices
Disclosed embodiments include thermoelectric-based thermal management systems and methods configured to heat and/or cool an electrical device. Thermal management systems can include a heat spreader positioned near a localized heat general of the electrical device. A fin can be connected to the heat spreader with a thermoelectric device positioned on the fin. Electric power can be directed to the thermoelectric device to provide controlled heating and/or cooling to the electrical device. |
US10236544B2 |
Heater for electric vehicle batteries
A battery pack assembly interleaves pouch cells with thin flexible heater elements to provide for distributed low-power heating with reduced thermal resistance between the heater elements and the cells. The heater elements may include terminals for direct attachment to pouch cell electrodes and to each other to facilitate power distribution among the heater elements. |
US10236543B2 |
Rapid charging electric vehicle and method and apparatus for rapid charging
An electric vehicle is provided. The electric vehicle includes an electric battery powering a drive system of the vehicle. The battery has a housing and a plurality of cells within the housing. The cells are spaced apart by interconnectors. The electric vehicle also includes a coolant delivery. The coolant delivery delivers coolant to the interconnectors. An electric battery is also provided. |
US10236541B2 |
Battery cell testing fixture
A battery cell testing fixture is provided which includes a user interface, a separator assembly and an optional stand. The user interface includes a module for receiving input voltage data from at least one circuit to provide a variety of battery cell conditions. The separator assembly includes an integrated reference electrode or reference component. The separator assembly is operatively configured to be used with a plurality of test batteries in succession. The separator assembly may be operatively configured to communicate with a current collector, a meter and the user interface. |
US10236539B2 |
Battery cell for a battery of a motor vehicle, battery, and motor vehicle
A battery cell for a battery of a motor vehicle having a galvanic element, a battery cell housing for accommodating the galvanic element, and at least two microelectronic circuits for monitoring the battery cell, wherein a first microelectronic circuit of the at least two microelectronic circuits is attached to a first carrier and a second microelectronic circuit of the at least two microelectronic circuits is attached to a second carrier, wherein the two carriers are arranged on top of one another and thus form a stack such that a top side of the first carrier is facing toward a bottom side of the second carrier. Embodiments of the invention further relates to a battery and a motor vehicle. |
US10236536B2 |
Secondary battery including electrolyte storage portion
A secondary battery including: an electrode assembly including a first electrode, a second electrode, and a separator interposed between the first electrode and the second electrode; and an accommodation portion, wherein the electrode assembly is disposed in the accommodation portion, and wherein a semipermeable electrolyte solution storage portion is adjacent to the electrode assembly in an upper end or a lower end of the accommodation portion. |
US10236533B2 |
Electrode assembly having separator exhibiting high elongation property and secondary battery including the same
Disclosed herein is a stacked/folded type electrode assembly configured to have a structure in which two or more unit cells, each of which includes a separator disposed between a positive electrode and a negative electrode, each having an electrode mixture including an electrode active material applied to a current collector, are wound using a sheet type separation film, wherein the positive electrode is configured to have a structure in which a positive electrode mixture is coated on an aluminum foil as the current collector and the negative electrode is configured to have a structure in which a negative electrode mixture is coated on a metal foil, other than the aluminum foil, as the current collector, the unit cells include one or more full-cells and/or bi-cells, one of the unit cells located at each outermost side of the electrode assembly is configured such that one outermost electrode of the unit cell is a single-sided electrode, the single-sided electrode being configured such that the electrode mixture is applied only to one major surface of the current collector facing the separator, and the separation film has higher elongation than the separator. |
US10236531B2 |
Powder for negative electrode of lithium ion secondary battery, and method for producing such powder
This invention provides a powder for a negative electrode of a lithium ion secondary battery, which is a powder that includes a silicon oxide powder containing Li. When a molar ratio between Li, Si and O is taken as y:1:x, the average composition of the powder overall satisfies the relation 0.5 |
US10236529B2 |
Fuel cell stack
Provided is a fuel cell stack capable of suppressing damage to a seal member and suppressing leakage of a reaction gas to the outside of a casing or entry of water from the outside of the casing for a long period of time. The fuel cell stack includes a pair of end plates and holds a laminate from two sides in a direction, a casing which houses the laminate and has connection bars extended between the pair of end plates, a fastening member inserted into an end plate side mounting hole and a connection bar side mounting hole, and chamfered parts formed in an end plate side small diameter part of the end plate side mounting hole. A chamfer angle between an inner surface of the outer chamfered part and the direction is larger than a chamfer angle between an inner surface of the inner chamfered part and the direction. |
US10236528B2 |
Three dimensional extrusion printed electrochemical devices
Solid oxide electrochemical devices, methods for making the electrochemical devices, and methods of using the electrochemical devices are provided. The electrochemical devices comprise a plurality of stacked functional layers that are formed by a combination of three-dimensional (3D) extrusion printing and two-dimensional (2D) casting techniques. |
US10236526B2 |
On-line monitoring of process/system
Disclosed herein are methods and systems that relate to an on-line monitoring of a process/system by controlling rate of oxidation of metal ions at an anode in an anode electrolyte of an electrochemical process and controlling rate of reduction of the metal ions in a catalysis process to achieve steady state. |
US10236524B2 |
Method for purging a fuel cell and device for carrying out said method
A method is provided for purging a fuel cell. The method is characterized by suctioning the purge gas through the fuel cell by use of low pressure. |
US10236517B2 |
Method for manufacturing and cleaning a stainless steel fuel cell bipolar plate
A method for manufacturing a coated metal substrate includes the steps of: (1) inserting a substrate with a chromium(III) oxide layer inside a CVD chamber; (2) heating the substrate to a temperature which falls in the range of 400 to 500 degrees Celsius; (3) transporting gaseous nitrogen (N2) and tantalum chloride (TaCl5) into the CVD chamber for at least two cycles; (4) ceasing the transportation of tantalum chloride (TaCl5) while nitrogen continues to flow from the inlet to the outlet; (5) reacting the tantalum chloride and the chromium(III) oxide and creating by-products; and (6) vacuuming the by-product matter from the CVD chamber via the flowing nitrogen gas. |
US10236514B2 |
Lithium ion flow battery
A lithium ion flow battery comprising cathode current collectors (21), an anode current collector (22), a cathode reaction chamber (24), an anode reaction chamber (25), a separator (23), a cathode suspension solution (26) and an anode suspension solution (27), wherein the cathode and anode current collectors are located at both sides of the separator respectively and are in close contact with the separator to form sandwich composite structure layers of the cathode current collector, the separator and the anode current collector; and in that several sandwich composite structure layers are arranged in sequence in an order that current collectors with the same polarity are oppositely arranged, and the electrode suspension solution continuously or intermittently flows in a battery reaction chamber between adjacent sandwich composite structure layers. Thus, the size of the battery reaction chamber can be flexibly designed according to the viscosity of the electrode suspension solution without increasing the polarization internal resistance of the battery, thereby solving the restriction conflict existing in the existing lithium ion flow battery between the size of the battery reaction chamber and the polarization internal resistance of the battery. |
US10236513B2 |
Alkali-metal batteries with a dendrite-free anode interfacing an organic liquid electrolyte
A rechargeable battery cell has an organic-liquid electrolyte contacting a dendrite free alkali-metal anode. The alkali-metal anode may be a liquid at the operating temperature that is immobilized by absorption into a porous membrane. The alkali-metal anode may be a solid that wets a porous-membrane separator, where the contact between the solid alkali-metal anode and the liquid electrolyte is at micropores or nanopores in the porous-membrane separator. The use of a dendrite-free solid lithium cell was demonstrated in a symmetric cell with a porous cellulose-based separator membrane. A K+-ion rechargeable cell was demonstrated with a liquid K—Na alloy anode immobilized in a porous carbon membrane using an organic-liquid electrolyte with a Celgard® or glass-fiber separator. |
US10236507B2 |
Nickel-manganese composite hydroxide particles, method for producing same, cathode active material for non-aqueous electrolyte secondary batteries, method for producing same, and non-aqueous electrolyte secondary battery
The object of the present invention is to improve the roundness of nickel-manganese composite hydroxide particles obtained by a crystallization process, and to improve the filling characteristic of cathode active material produced using the nickel-manganese composite hydroxide particles as a precursor. A reaction aqueous solution is formed by supplying a raw material aqueous solution including at least Ni and Mn, an aqueous solution including an ammonium-ion donor, and an alkali solution into a reaction tank, and mixing, then nickel-manganese composite hydroxide particles are crystallized. When doing this, the oxygen concentration inside the reaction tank is controlled to be 3.0% by volume or greater, the temperature of the reaction aqueous solution is controlled to be 35° C. to 60° C., and the nickel-ion concentration is controlled to be 1,000 mg/L or greater. As a result, nickel-manganese composite hydroxide particles are obtained that include secondary particles formed by an aggregation of plural primary particles and expressed by the general formula: NixMnyMt(OH)2+α (where 0.05≤x≤0.95, 0.05≤y≤0.95, 0≤t≤0.20, x+y+t=1, 0≤α≤0.5, and M is one or more elements selected from among Co, Ti, V, Cr, Zr, Nb, Mo, Hf, Ta and W); and the average value of the roundness of cathode active material having a particle size that is 70% the average particle size or more is 0.82 or greater, and the tap density is 2.20 g/cm3 or greater. |
US10236503B2 |
Mixing device for preparing lithium composite transition metal oxide, lithium composite transition metal oxide prepared using the same, and method of preparing lithium composite transition metal oxide
A device for preparing a lithium composite transition metal oxide includes first and second mixers continuously arranged in a direction in which a fluid proceeds, wherein the first mixer has a closed structure including a hollow fixed cylinder, a rotating cylinder having the same axis as that of the hollow fixed cylinder and having an outer diameter that is smaller than an inner diameter of the fixed cylinder, an electric motor to generate power for rotation of the rotating cylinder, a rotation reaction space, as a separation space between the hollow fixed cylinder and the rotating cylinder, in which ring-shaped vortex pairs periodically arranged along a rotating shaft and rotating in opposite directions are formed, first inlets through which raw materials are introduced into the rotation reaction space, and a first outlet to discharge a reaction fluid formed from the rotation reaction space. |
US10236494B2 |
Secondary battery
A secondary battery may include a fuse formed in an electrode terminal. In exemplary embodiments, the secondary battery may include an electrode assembly including a first electrode plate, a second electrode plate and a separator, a current collector plate electrically connected to the electrode assembly, a case accommodating the electrode assembly, the current collector plate and an electrolyte, and an electrode terminal electrically connected to the current collector plate and protruded to an outside of the case, wherein the electrode terminal portion includes a protrusion part electrically connected to the current collector plate in a normal condition and selectively electrically disconnectable therefrom. |
US10236492B2 |
Secondary battery
A positive electrode and a negative electrode include stress relaxation regions each of which includes a tab and a lead of each electrode and may include a region divided by a slit provided for the electrode. In the case where the stress relaxation region includes a tab and a lead, the length of the stress relaxation region is 20% or more and 50% or less of the sum of the lengths of the lead and the electrode not overlapping with the lead in an inner region of a secondary battery. In the case where the stress relaxation region further includes a region divided by the slit, the length of the stress relaxation region is 20% or more and 95% or less of the sum of the lengths of the lead and the electrode not overlapping with the lead in an inner region of a secondary battery. |
US10236490B2 |
Separator for rechargeable lithium battery and rechargeable lithium battery including the same
A separator for a rechargeable lithium battery and a rechargeable lithium battery including the same, the separator including a substrate, and a heat-resistant porous layer on at least one side of the substrate, the heat-resistant porous layer including a crosslinked binder and a non-crosslinked binder, wherein the crosslinked binder has a cross-linked structure of at least one crosslinkable compound, the at least one crosslinkable compound including a multi-functional urethane-based compound, and the crosslinked binder and the non-crosslinked binder are included in a weight ratio of about 3:7 to about 8:2. |
US10236488B2 |
Battery pack
The present application relates to the field of battery technologies and, particularly, relates to a battery pack, which includes a battery box, a battery module and a liquid cooling pipe are arranged inside the battery box and the liquid cooling pipe is arranged at bottom of the battery module, a moisture absorbing area is arranged inside the battery box and the moisture absorbing area is configured to absorb inner moisture of the battery box. The present application has the following beneficial effects: the battery box of the present application adopts a passive moisture-removing function and achieves controlling of the air humidity in the battery box, thereby guaranteeing the reliability of the battery system, and reducing the risk of failure of the electric components. |
US10236486B2 |
Rechargeable battery pack
A rechargeable battery pack is disclosed. In one aspect, the rechargeable battery pack includes multiple a plurality of sets of unit cells adjacently placed to each other, wherein each unit cell includes a rechargeable battery and a plurality of rechargeable battery modules each configured to electrically connect a corresponding set of the unit cells to each other, wherein each rechargeable battery module includes a pair of end plates respectively placed on outermost opposing sides thereof. The rechargeable battery pack also includes a coupling member connecting the end plates of the rechargeable battery modules to each other. Each of the end plates includes a first flange having a first width and extending from a bent portion of a main body to be fastened to the coupling member and a second flange having a second width smaller than the first width and extending from a middle portion of the first flange. |
US10236485B2 |
Battery module
A battery module, including a plurality of battery units each including a plurality of bare cells, each bare cell having a first electrode tab and a second electrode tab; a holder at one side of each of the battery units, the holder including a plurality of penetrating holes into each of which the first electrode tab and the second electrode tab are penetrated; a plurality of electrode plates in the holder, the plurality of electrode plates electrically connecting the bare cells in each of the battery units; connection members coupled to ends of one of the plurality of electrode plates, the connection members electrically connecting the plurality of battery units to each other, and a pair of mounting protrusions protruding from one side of the holder and being spaced apart from each other, a portion of one of the plurality of electrode plates passing between the pair of mounting protrusions. |
US10236476B2 |
Flexible electrochemical device including electrode assembly
An electrochemical device includes a plurality of electrode assemblies arranged spaced apart from each other in a same direction and a casing member which packages the electrode assemblies, in which the casing member includes a plurality of accommodation portions which accommodates the electrode assemblies, respectively, and a connecting portion which connects between two adjacent accommodation portions, a thickness of the connecting portion is less than a thickness of the accommodation portions, and the connecting portion is bent defining a curved bending portion. |
US10236475B2 |
Mask frame assembly and manufacturing method thereof
A mask frame assembly including a frame, a mask coupled to the frame and including a pattern region for deposition, and a partitioning stick coupled to the frame and configured to partition the pattern region of the mask into unit cell patterns. The partitioning stick includes a pair of opposing fixing ends fixed to sides of the frame, and a narrow width portion connecting the pair of fixing ends and including a first partitioning portion and a second partitioning portion respectively recessed inwards from both edges of the fixing ends in a width direction to maintain rigidity balance between the first and second partitioning portions. |
US10236474B2 |
Organic light emitting display device having a resonance structure of proper internal reflection by including a light extraction reduction preventing layer
In an organic light emitting display device according to an embodiment of the present disclosure, a light extraction reduction preventing layer is disposed between a display unit disposed on a substrate and an encapsulation layer for protecting the display unit, and as a result, light emission efficiency may be improved by reducing an amount of light dissipating while light generated from an emission layer of the display unit is extracted to the outside. |
US10236471B2 |
Flexible display device
A flexible display device includes a flexible display panel having a bending area to be folded, and including a display substrate, and a thin-film encapsulation layer above the display substrate, a driving portion, and a function layer below the flexible display panel, and including a step portion below which the flexible display panel is electrically connected to the driving portion. |
US10236467B2 |
Organic light emitting display device and method for manufacturing the same
Disclosed is an OLED device capable of reducing the number of manufacturing processes to apply a micro-cavity structure, and a method for manufacturing the same, wherein the OLED device may include a pixel having first to third subpixels, wherein each of the first to third subpixels includes a first electrode including a reflective metal material, an organic light emitting layer on the first electrode, a second electrode disposed on the organic light emitting layer and formed of a transparent metal material, and a semi-transmissive electrode on the second electrode, wherein a distance between the first electrode and the semi-transmissive electrode in the first subpixel, a distance between the first electrode and the semi-transmissive electrode in the second subpixel, and a distance between the first electrode and the semi-transmissive electrode in the third subpixel are different from one another. |
US10236466B2 |
Organic light emitting device
According to one embodiment, an organic light emitting device is described including a first light emitting unit, a second light emitting unit and a charge generation layer wherein the second light emitting unit is stacked over the first light emitting unit and is connected to the first light emitting unit by means of the charge generation layer and wherein the charge generation layer includes an electron transport layer, a transition metal oxide layer arranged over the electron transport layer and a diffusion suppressing layer arranged between the electron transport layer and the transition metal oxide layer to separate the electron transport layer from the transition metal oxide layer. |
US10236464B2 |
Organic light emitting diode
An organic light emitting diode, including a first electrode; a second electrode facing the first electrode, the second electrode including magnesium; an emission layer between the first electrode and the second electrode; and an electron injection layer between the second electrode and the emission layer, the electron injection layer including a dipole material including a first component and a second component having different polarities, the dipole material including halide, and a content of the magnesium included in the second electrode being in a range of from 10 to 40 volume %. |
US10236453B2 |
Dibenzoborole-based compound and organic light emitting device including the same
A dibenzoborole-based compound and an organic light-emitting device including the same. The dibenzoborole-based compound is represented by the formula A1-(A2)n1, wherein A1 may be selected from specific aromatic and non-aromatic carbocycles and heterocycles, n1 may be an integer selected from 1 to 10, and each A2 moiety may independently be selected from a group represented by Formula 2: The dibenzoborole-based compound may be included in the hole transport region or hole transport layer. When the dibenzoborole-based compound has strong electron acceptor characteristics, the hole injection barrier between the anode and the organic layer may be reduced, and thus, an organic light-emitting device including the dibenzoborole-based compound may have high efficiency and a long lifespan. |
US10236452B2 |
Compound and organic light-emitting device including the same
An organic light-emitting device includes: a first electrode; a second electrode facing the first electrode; and an organic layer between the first electrode and the second electrode, the organic layer including a compound represented by Formula 1. The organic light-emitting device including the compound of Formula 1 may have high efficiency, low driving voltage, high brightness, and long lifespan characteristics. |
US10236450B2 |
Organic conductive materials and devices
Embodiments described herein relate to compositions including bridged bicyclic compounds such as iptycene-based structures and extended iptycene structures. In some embodiments, the compositions may be useful in organic light-emitting diodes (OLEDs), organic photovoltaics, and other devices. |
US10236447B2 |
Selective die repair on a light emitting device assembly
A method of repairing a light emitting device assembly includes providing a light emitting device assembly including a backplane and light emitting devices, where a predominant subset of pixels in the light emitting device assembly includes an empty site for accommodating a repair light emitting device, generating a test map that identifies non-functional light emitting devices in the light emitting device assembly, providing an assembly of a repair head and repair light emitting devices, wherein the repair light emitting devices are located only on locations that are mirror images of empty sites within defective pixels that include non-functional light emitting devices, and transferring the repair light emitting devices from the repair head to the backplane in the empty site in the defective pixels. |
US10236445B2 |
Organic optoelectronic component and method for producing an organic optoelectronic component
According to the present disclosure, a method for producing an optoelectronic component is provided. The method includes forming an organic first layer above a substrate, and forming an organic second layer above the first surface region. The first layer includes a surface. The surface is opposite the substrate and includes a first surface region and a second surface region. The second surface region surrounds the first surface region. The second surface region remains free of the second layer. The first layer and the second layer differ in their chemical composition. |
US10236443B2 |
Combined CMP and RIE contact scheme for MRAM applications
A method is presented for establishing a top contact to a magnetic tunnel junction (MTJ) device, the method including selectively etching, via a first etching process, an oxide layer to expose a top surface of a nitride layer of a dummy fill shape and selectively etching, via a second etching process, a top portion of the nitride layer of the dummy fill shape to expose a top surface thereof. The method further includes selectively etching, via the second etching process, the oxide layer to expose a top surface of a nitride layer of the MTJ device, and selectively etching, via the first etching process, a top portion of the nitride layer of the MTJ device to expose a top surface thereof such that a height of the MTJ device is approximately equal to a height of the dummy fill shape. |
US10236440B2 |
Semiconductor device
The vertical Hall element includes: a second conductivity type semiconductor layer; electrodes aligned along a straight line in a surface of the semiconductor layer, and each include a second conductivity type impurity region that is higher in concentration than semiconductor layer; and first conductivity type electrode isolation diffusion layers isolating the electrodes from one another, each of the electrode isolation diffusion layers being provided between each pair of the electrodes in the surface of the semiconductor layer, the electrodes including an electrode that functions as a drive current supply electrode and an electrode that functions as a Hall voltage output electrode, the drive current supply electrode and the Hall voltage output electrode being arranged alternately, the Hall voltage output electrode having a first depth, the drive current supply electrode having a second depth that is larger than the first depth and a depth of the electrode isolation diffusion layers. |
US10236437B2 |
Magnetic memory device
A magnetic memory device includes a semiconductor substrate; a magnetoresistive element provided on the semiconductor substrate. The magnetoresistive element includes a storage layer, a tunnel barrier layer, and a reference layer which are stacked, the reference layer having a magnetization direction perpendicular to a principal surface of the semiconductor substrate. The magnetic memory device further includes a magnetic field generation section provided away from the magnetoresistive element and configured to generate a magnetic field perpendicular to the principal surface of the semiconductor substrate. The semiconductor substrate, the magnetoresistive element and the magnetic field generation section are integrated as one unit. |
US10236431B2 |
Thermoelectric conversion element and thermoelectric conversion module
Provided are a thermoelectric conversion element and a thermoelectric conversion module which can efficiently generate power by preventing heat from being accumulated in a cooling side even in a naturally cooled environment. The thermoelectric conversion element includes a substrate having a sheet-like low thermal conduction portion, a first high thermal conduction portion, and a second high thermal conduction portion, a thermoelectric conversion layer provided on the substrate, a coating layer covering the thermoelectric conversion layer, a third high thermal conduction portion which is provided on the coating layer, has thermal conductivity higher than that of the coating layer, and does not completely overlap the first high thermal conduction portion in a plane direction, and a pair of electrodes, in which the second high thermal conduction portion is thinner than the first high thermal conduction portion and separated from the first high thermal conduction portion in the plane direction, and at least a portion of the second high thermal conduction portion overlaps the thermoelectric conversion layer and the third high thermal conduction portion. |
US10236427B2 |
Light emitting device package
Embodiments provide a light emitting device package including a first lead frame and a second lead frame, a light emitting device electrically connected to each of the first lead frame and the second lead frame, the light emitting device having a first electrode pad asymmetrically formed on a top surface thereof, and a reflective member disposed around the light emitting device to reflect light emitted from the light emitting device. The reflective member is configured such that a standard deviation of tilts of a reflective surface of a first area, in which the first electrode pad is disposed, is greater than a standard deviation of tilts of a reflective surface of a second area opposite to the first area. |
US10236411B2 |
Light-emitting device
A light-emitting device comprises a first light-emitting semiconductor stack comprising a first active layer; a second light-emitting semiconductor stack below the first light-emitting semiconductor stack, wherein the second light-emitting semiconductor stack comprises a second active layer; a reflector between the first light-emitting semiconductor stack and the second light-emitting semiconductor stack; a protecting layer between the reflector and the second light-emitting semiconductor stack; and wherein the first light-emitting semiconductor stack further comprises a first semiconductor layer and a second semiconductor layer sandwiching the first active layer, the second light-emitting semiconductor stack further comprises a third semiconductor layer and a fourth semiconductor layer sandwiching the second active layer, wherein the second semiconductor layer has a first band gap, the third semiconductor layer has a second band gap, and the protecting layer has a third band gap between the first band gap and the second band gap. |
US10236410B2 |
Semiconductor nanocrystals, methods for making same, compositions, and products
A semiconductor nanocrystal characterized by having a solid state photoluminescence external quantum efficiency at a temperature of 90° C. or above that is at least 95% of the solid state photoluminescence external quantum efficiency of the semiconductor nanocrystal at 25° C. is disclosed. A semiconductor nanocrystal having a multiple LO phonon assisted charge thermal escape activation energy of at least 0.5 eV is also disclosed. A semiconductor nanocrystal capable of emitting light with a maximum peak emission at a wavelength in a range from 590 nm to 650 nm characterized by an absorption spectrum, wherein the absorption ratio of OD at 325 nm to OD at 450 nm is greater than 5.5. A semiconductor nanocrystal capable of emitting light with a maximum peak emission at a wavelength in a range from 545 nm to 590 nm characterized by an absorption spectrum, wherein the absorption ratio of OD at 325 nm to OD at 450 nm is greater than 7. A semiconductor nanocrystal capable of emitting light with a maximum peak emission at a wavelength in a range from 495 nm to 545 nm characterized by an absorption spectrum, wherein the absorption ratio of OD at 325 nm to OD at 450 nm is greater than 10. A composition comprising a plurality of semiconductor nanocrystals wherein the solid state photoluminescence efficiency of the composition at a temperature of 90° C. or above is at least 95% of the solid state photoluminescence efficiency of the composition 25° C. is further disclosed. A method for preparing semiconductor nanocrystals comprises introducing one or more first shell chalcogenide precursors and one or more first shell metal precursors to a reaction mixture including semiconductor nanocrystal cores, wherein the first shell chalcogenide precursors are added in an amount greater than the first shell metal precursors by a factor of at least about 2 molar equivalents and reacting the first shell precursors at a first reaction temperature of at least 300° C. to form a first shell on the semiconductor nanocrystal cores. Populations, compositions, components and other products including semiconductor nanocrystals of the invention are disclosed. Populations, compositions, components and other products including semiconductor nanocrystals made in accordance with any method of the invention is also disclosed. |
US10236409B2 |
Methods for using remote plasma chemical vapor deposition (RP-CVD) and sputtering deposition to grow layers in light emitting devices
Described herein are methods for using remote plasma chemical vapor deposition (RP-CVD) and sputtering deposition to grow layers for light emitting devices. A method includes growing a light emitting device structure on a growth substrate, and growing a tunnel junction on the light emitting device structure using at least one of RP-CVD and sputtering deposition. The tunnel junction includes a p++ layer in direct contact with a p-type region, where the p++ layer is grown by using at least one of RP-CVD and sputtering deposition. Another method for growing a device includes growing a p-type region over a growth substrate using at least one of RP-CVD and sputtering deposition, and growing further layers over the p-type region. Another method for growing a device includes growing a light emitting region and an n-type region using at least one of RP-CVD and sputtering deposition over a p-type region. |
US10236404B2 |
Back sheet and solar cell module including the same
Discussed is a back sheet for a solar cell module. The back sheet includes a base member and a first layer formed on one surface of the base member, the first layer including a resin. A reflector is formed in at least a portion of the back sheet. |
US10236403B2 |
Solar cell module
A solar cell module can include a plurality of solar cell strings, which include first and second solar cell strings including a first and second plurality of solar cells electrically connected in the first direction, respectively, and parallel to each other, first conductive wires connect a first electrode of a first solar cell to a second electrode of a second solar cell neighboring the first solar cell in the first direction within each of the solar cells, the first and second solar cell strings are electrically connected by a second conductive wire connecting a first electrode of a third solar cell located at a first end of the first solar cell string and a second electrode of a fourth solar cell located at a first end of the second solar cell string, and the second conductive wire is between the third solar cell and the fourth solar cell. |
US10236401B2 |
Solar cell module and method of producing the same
A solar cell module includes a substrate, and first and second cells connected in series. The first and second cells each include a first electrode, a first semiconductor layer, a second semiconductor layer and a second electrode stacked in this order on the substrate. The first semiconductor layer contains an oxide of a first metal and includes first and second portions. A groove separates the second semiconductor layers of the first and second cells. The groove and the first portion entirely overlap each other in a plan view. The first portion contains a second metal different from the first metal. A ratio of a number of atoms of the second metal to a number of atoms of all metals in the first portion is grater than a ratio of a number of atoms of the second metal to a number of atoms of all metals in the second portion. |
US10236398B2 |
Method for manufacturing transparent electrode
The present disclosure relates to a transparent electrode, and provides a method for manufacturing a transparent electrode, the method comprising forming a multi-layered transparent conductive film by sequentially laminating a first oxide layer, a metal layer, and a second oxide layer on a transparent substrate, forming a mask pattern on the second oxide layer, performing an etching process using the mask pattern as an etching mask to form, in the second oxide layer, a trench exposing the upper surface of the metal layer, and forming a metal pattern in the trench. |
US10236395B2 |
Antireflection substrate structure and manufacturing method thereof
A manufacturing method of antireflection substrate structure includes: providing a silicon wafer having a first rough surface; forming an antireflection optical film on the silicon wafer, wherein the antireflection optical film conformally overlays the first rough surface; performing a surface treatment on the antireflection optical film so that the antireflection optical film has a hydrophilic surface, and the hydrophilic surface is relatively far away from the silicon wafer; dropping a colloidal solution on the hydrophilic surface of the antireflection optical film, wherein the colloidal solution includes a solution and multiple nano-balls and the nano-balls are adhered onto the hydrophilic surface; and performing an etching process on the hydrophilic surface of the antireflection optical film by taking the nano-balls as an etching mask so as to form a second rough surface, wherein the roughness of the second rough surface is different from the roughness of the first rough surface. |
US10236391B2 |
Semiconductor device and method for manufacturing the same
It is an object to provide a highly reliable semiconductor device including a thin film transistor with stable electric characteristics. In a semiconductor device including an inverted staggered thin film transistor whose semiconductor layer is an oxide semiconductor layer, a buffer layer is provided over the oxide semiconductor layer. The buffer layer is in contact with a channel formation region of the semiconductor layer and source and drain electrode layers. A film of the buffer layer has resistance distribution. A region provided over the channel formation region of the semiconductor layer has lower electrical conductivity than the channel formation region of the semiconductor layer, and a region in contact with the source and drain electrode layers has higher electrical conductivity than the channel formation region of the semiconductor layer. |
US10236389B2 |
Manufacturing method of semiconductor device
A miniaturized transistor is provided. A first layer is formed over a third insulator over a semiconductor; a second layer is formed over the first layer; an etching mask is formed over the second layer; the second layer is etched using the etching mask until the first layer is exposed to form a third layer; a selective growth layer is formed on a top surface and a side surface of the third layer; the first layer is etched using the third layer and the selective growth layer until the third insulator is exposed to form a fourth layer; and the third insulator is etched using the third layer, the selective growth layer, and the fourth layer until the semiconductor is exposed to form a first insulator. |
US10236388B2 |
Dual gate oxide thin-film transistor and manufacturing method for the same
A dual gate oxide thin-film transistor and manufacturing method for the same. The thin-film transistor comprises: a substrate; a bottom gate electrode formed on the substrate; a first gate insulation layer disposed on the bottom gate electrode; a semiconductor layer formed on the first gate insulation layer; a second gate insulation layer formed on the semiconductor layer; and a top gate electrode formed on the second gate insulation layer; wherein, the transistor further comprises a data line, the data line and the bottom gate electrode, or the data line and the top gate electrode are located at a same metal layer. Because the data line and the bottom gate (or the top gate) electrodes are located at a same metal layer, and through one photolithography for patterning to reduce the number of the mask, decrease the production cost. Besides, the stability and the response speed are increased. |
US10236387B2 |
Semiconductor device and electronic device
In a logic circuit including transistors with the same conductivity, a reduction in output voltage is prevented with use of at least three transistors and a capacitor. With use of an oxide semiconductor in a semiconductor layer of the transistor, a logic circuit with high output voltage and high withstand voltage is achieved. With use of the logic circuit, a semiconductor device with high output voltage and high withstand voltage is achieved. |
US10236384B2 |
Formation of FinFET junction
A finFET structure, and method of forming such structure, in which a germanium enriched nanowire is located in the channel region of the FET, while simultaneously having silicon-germanium fin in the source/drain region of the finFET. |
US10236382B2 |
Multiple finFET formation with epitaxy separation
A semiconductor device includes a buried epitaxially grown substrate and a silicon on insulator (SOI) layer. The device also includes a buried oxide (BOX) layer between the buried epitaxially grown substrate and the SOI layer, an isolation trench having first width (w1), a contact trench having a second width (w2) and a capacitive trench having a third width (w3). Methods are described that allow the formation of the trenches in a normal process flow. |
US10236379B2 |
Vertical FET with self-aligned source/drain regions and gate length based on channel epitaxial growth process
A fin extends from, and is perpendicular to, a planar surface of a substrate. A self-aligned bottom source/drain conductor is on the substrate adjacent the fin, a bottom insulator spacer is on the bottom source/drain conductor adjacent the fin, and a gate insulator is on a channel portion of the fin. A gate conductor is on the gate insulator, a self-aligned top source/drain conductor contacts the channel portion of the fin distal to the bottom insulator spacer, a top gate length limit insulator is positioned where the channel portion meets the top source/drain conductor, and a bottom gate length limit insulator is positioned where the channel portion meets the bottom insulator spacer. The gate length of the gate conductor is defined by a distance between the gate length limit insulators. |
US10236377B2 |
Semiconductor device
A semiconductor device includes a first conductivity type first semiconductor region, a second semiconductor region on the first semiconductor region, a third semiconductor region on the second semiconductor region, a first insulating portion extending inwardly of, and surrounded by, the first semiconductor region, a gate electrode extending inwardly of the first insulating portion and spaced from the second semiconductor region in a second direction that intersects a first direction extending from the first semiconductor region to the second semiconductor region, by the first insulating portion, and a first electrode including a portion spaced from the first semiconductor region in the second direction by the first insulating portion, and surrounded by the first insulating portion and the gate electrode. |
US10236375B2 |
High voltage metal oxide semiconductor device and manufacturing method thereof
A high voltage MOS device includes: a well region with a first conductive type, a body region with a second conductive type, a gate, plural source regions with the first conductive type, a drain region with the first conductive type, and a body contact region with the second conductive type. The plural source regions contact the gate, and are substantially arranged in parallel along a width direction, and each two neighboring source regions are not contacted with each other. The body connection region extends along the width direction and overlaps with at least two of the source regions, such that the body connection region includes at least a first region and a second region, wherein the first region overlaps with at least one of the source regions, and the second region does not overlap any of the regions. The contact region does not contact the gate along a lateral direction. |
US10236369B2 |
Techniques for forming non-planar germanium quantum well devices
Techniques are disclosed for forming a non-planar germanium quantum well structure. In particular, the quantum well structure can be implemented with group IV or III-V semiconductor materials and includes a germanium fin structure. In one example case, a non-planar quantum well device is provided, which includes a quantum well structure having a substrate (e.g. SiGe or GaAs buffer on silicon), a IV or III-V material barrier layer (e.g., SiGe or GaAs or AlGaAs), a doping layer (e.g., delta/modulation doped), and an undoped germanium quantum well layer. An undoped germanium fin structure is formed in the quantum well structure, and a top barrier layer deposited over the fin structure. A gate metal can be deposited across the fin structure. Drain/source regions can be formed at respective ends of the fin structure. |
US10236366B2 |
Semiconductor-on-insulator lateral heterojunction bipolar transistor having epitaxially grown intrinsic base and deposited extrinsic base
After forming a trench extending through an insulator layer and an underlying top semiconductor portion that is comprised of a first semiconductor material and a dopant of a first conductivity type to define an emitter and a collector on opposite sides of the trench in the top semiconductor portion, an intrinsic base comprising a second semiconductor material having a bandgap less than a bandgap of the first semiconductor material and a dopant of a second conductivity type opposite the first conductivity type is formed in a lower portion the trench by selective epitaxial growth. The intrinsic base protrudes above the top semiconductor portion and is laterally surrounded by entire top semiconductor portion and a portion of the insulator layer. An extrinsic base is then formed on top of the intrinsic base to fill a remaining volume of the trench by a deposition process. |
US10236360B2 |
Method of forming vertical transistor having dual bottom spacers
A method of forming a spacer for a vertical transistor is provided. The method includes forming a fin structure on a substrate, depositing a first spacer on exposed surfaces of the substrate to define gaps between the first spacer and the fin structure and depositing a second spacer on the exposed surfaces of the substrate in at least the gaps. |
US10236358B1 |
Integration of gate structures and spacers with air gaps
Structures for a field-effect transistor and methods for forming a field-effect transistor. The structure includes a gate structure having a sidewall and a sidewall spacer arranged adjacent to the sidewall of the gate structure. The sidewall spacer includes an energy removal film material and one or more air gaps in the energy removal film material. |
US10236357B2 |
Semiconductor device and manufacturing method of the same
A semiconductor device having stable electrical characteristics is provided. A semiconductor device that can be miniaturized or highly integrated is provided. One embodiment of the present invention includes a transistor including an oxide, a first barrier layer over the transistor, and a second barrier layer in contact with the first barrier layer. The oxide is in contact with an insulator including an excess-oxygen region. The insulator is in contact with the first barrier layer. The first barrier layer has a thickness greater than or equal to 0.5 nm and less than or equal to 1.5 nm. The second barrier layer is thicker than the first barrier layer. |
US10236351B2 |
Power semiconductor device trench having field plate and gate electrode
A method of processing a power semiconductor device includes: providing a semiconductor body with a trench extending into the semiconductor body along an extension direction and including an insulator; providing a monolithic electrode zone within the trench; and removing a section of the monolithic electrode zone within the trench to divide the monolithic electrode zone into at least a first electrode structure and a second electrode structure arranged separately and electrically insulated from each other. |
US10236342B2 |
Electronic device including a termination structure
An electronic device can include a termination structure that includes a substrate, a semiconductor layer, and a first trench. The substrate includes a semiconductor material of a first conductivity type. The semiconductor layer has a second conductivity type opposite the first conductivity type and overlies the substrate and has a primary surface. The first trench extends through a majority of a thickness of the semiconductor layer. In an embodiment, a body extension region of the second conductivity type is adjacent to the primary surface and spaced apart from the first trench. In another embodiment, a doped region of the first conductivity type is adjacent to the primary surface and abuts the first trench. In a further embodiment, the termination structure can include a second trench extending through a majority of the thickness of the semiconductor layer and a doped region is spaced apart from the first and second trenches. |
US10236335B2 |
Display device
The present disclosure is provided a display device. The display device includes subpixels and at least one scan line. The subpixels are formed on a first substrate, and each of the subpixels includes an emission area, in which a light emitting element for emitting light is disposed, and a circuit area in which a circuit for driving the light emitting element is disposed. The at least one scan line may be disposed on the circuit area in a horizontal direction. The at least one scan line may include: an upper scan line and a lower scan line spaced apart from each other; and a scan connection line positioned between the upper scan line and the lower scan line and electrically connecting the upper scan line and the lower scan line. |
US10236333B2 |
Organic light-emitting display device
An organic light-emitting display device having reduced color dispersion effects includes a substrate, a first conductive line disposed on the substrate, a second conductive line disposed on the same layer as the first conductive line and insulated from the first conductive line and a pixel electrode disposed on the first and second conductive lines and overlapping the first and second conductive lines. A distance between the first conductive line and the second conductive line is about 0 to 10 μm. |
US10236332B2 |
Organic light emitting diode display having high luminescence
The present disclosure relates to an organic light emitting diode display having high luminescence. The present disclosure suggests an organic light emitting diode display comprising: a data line, a scan line and a driving current line defining a pixel area on a substrate; an anode electrode formed within the pixel area; an additional capacitance formed by overlapping expanded portions of the anode electrode with some portions of the driving current line; a bank defining a light emitting area in the anode electrode; an organic emission layer formed on the anode electrode; and a cathode electrode formed on the organic emission layer. The present disclosure suggests high luminescence organic light emitting diode display by including an additional capacitance for increasing the anode capacitance. |
US10236330B2 |
Display device and method for manufacturing the same
A plurality of thin film transistors provided in a peripheral region are first staggered thin film transistors where a first channel layer configured of low-temperature polysilicon is included, and the first channel layer is not interposed between a first source electrode and a first gate electrode, and between a first drain electrode and the first gate electrode. A plurality of thin film transistors provided in a display region are second staggered thin film transistors where a second channel layer configured of an oxide semiconductor is included, and the second channel layer is not interposed between a second source electrode and a second gate electrode, and between a second drain electrode and the second gate electrode. The first thin film transistor is located below the second thin film transistor. |
US10236328B2 |
Method of manufacturing organic light-emitting display device
A method of manufacturing an organic light-emitting display device includes forming pixel electrodes on a substrate, forming a first protective layer with a first exposure portion that exposes a first pixel electrode of the pixel electrodes, forming on the first pixel electrode a first intermediate layer and a first blocking layer covering the first intermediate layer, removing the first protective layer, forming a second protective with a second exposure portion that exposes a second pixel electrode of the pixel electrodes, forming on the first pixel electrode a second intermediate layer and a second blocking layer covering the second intermediate layer, removing the second protective layer, forming a third protective with a third exposure portion that exposes a third pixel electrode of the pixel electrodes, and forming a third intermediate layer on the third pixel electrode, wherein each of the first and second blocking layers includes a self-assembled monolayer. |
US10236324B1 |
Full-color light emitting diode display having improved luminance and method of manufacturing the same
A full-color light emitting diode (LED) display having an improved luminance is provided herein. More specifically, provided herein are a full-color LED display, in which an amount of light blocked by electrodes and not extracted is minimized and ultra-small LED devices are connected to ultra-small electrodes without defects such as electrical short circuits and the like, wherein the full-color LED display exhibits a further improved luminance when a direct current (DC) driving voltage is used and each pixel of the full-color LED display exhibits uniform luminance when the DC driving voltage is used, and a method of manufacturing the same. |
US10236318B2 |
Solid-state imaging device, driving method for solid-state imaging device, and electronic appliance
The present disclosure relates to a solid-state imaging device, a driving method for the same, and an electronic appliance, and an object is to provide a solid-state imaging device that can achieve the pixel miniaturization and the global shutter function with higher sensitivity and saturated charge amount. Another object is to provide an electronic appliance including the solid-state imaging device. In a solid-state imaging device 1 having the global shutter function, a first charge accumulation unit 18 and a second charge accumulation unit 25 are stacked in the depth direction of a substrate 12, and the transfer of the signal charges from the first charge accumulation unit 12 to the second charge accumulation unit 25 is conducted by a vertical first transfer transistor Tr1. Thus, the pixel miniaturization can be achieved. |
US10236317B2 |
Heterogeneous integration using wafer-to-wafer stacking with die size adjustment
A method is provided for three-dimensional wafer scale integration of heterogeneous wafers with unequal die sizes that include a first wafer and a second wafer. The method includes selecting a periodicity for the second wafer to be manufactured that matches the periodicity of the first wafer. The method further includes manufacturing the second wafer in accordance with the selected periodicity. The method also includes placing, by a laser-based patterning device, a pattern in spaces between dies of the second wafer. The method additionally includes stacking the first wafer onto the second wafer, using a copper-to-copper bonding process to bond the first wafer to the second wafer. |
US10236314B2 |
Optical devices and opto-electronic modules and methods for manufacturing the same
The optical device comprises a first substrate comprising at least one optical structure comprising a main portion and a surrounding portion at least partially surrounding said main portion. The device furthermore comprises non-transparent material applied onto said surrounding portion. The opto-electronic module comprises a plurality of these optical devices comprised in said first substrate.The method for manufacturing an optical device comprises the steps of a) providing a first substrate comprising at least one optical structure comprising a main portion and a surrounding portion at least partially surrounding said main portion; and b) applying a non-transparent material onto at least said surrounding portion. Said non-transparent material is present on at least said surrounding portion still in the finished optical device. |
US10236306B2 |
Semiconductor device and display device including the same
To improve field-effect mobility and reliability in a transistor including an oxide semiconductor film. A semiconductor device includes a transistor including an oxide semiconductor film. The transistor includes a region where the maximum value of field-effect mobility of the transistor at a gate voltage of higher than 0 V and lower than or equal to 10 V is larger than or equal to 40 and smaller than 150; a region where the threshold voltage is higher than or equal to minus 1 V and lower than or equal to 1 V; and a region where the S value is smaller than 0.3 V/decade. |
US10236303B2 |
Semiconductor device having oxide semiconductor layer
A protective circuit includes a non-linear element, which includes a gate electrode, a gate insulating layer covering the gate electrode, a pair of first and second wiring layers whose end portions overlap with the gate electrode over the gate insulating layer and in which a second oxide semiconductor layer and a conductive layer are stacked, and a first oxide semiconductor layer which overlaps with at least the gate electrode and which is in contact with the gate insulating layer, side face portions and part of top face portions of the conductive layer and side face portions of the second oxide semiconductor layer in the first wiring layer and the second wiring layer. Over the gate insulating layer, oxide semiconductor layers with different properties are bonded to each other, whereby stable operation can be performed as compared with Schottky junction. Thus, the junction leakage can be decreased and the characteristics of the non-linear element can be improved. |
US10236300B2 |
On-pitch drain select level isolation structure for three-dimensional memory device and method of making the same
A three-dimensional memory structure includes an alternating stack of insulating layers and electrically conductive layers located over a substrate, an array of memory stack structures extending through the alternating stack, an array of drain select level assemblies overlying the alternating stack and having a same periodicity as the array of memory stack structures, drain select gate electrodes laterally surrounding respective rows of the drain select level assemblies, and a drain select level isolation strip located between a neighboring pair of drain select gate electrodes and including a pair of lengthwise sidewalls. Each of the pair of lengthwise sidewalls includes a laterally alternating sequence of planar sidewall portions and convex sidewall portions. |
US10236299B2 |
Three-dimensional charge trapping NAND cell with discrete charge trapping film
A three-dimensional charge trap semiconductor device is constructed with alternating insulating and gate layers stacked over a substrate. During the manufacturing process, a channel hole is formed in the stack and the gate layers are recessed from the channel hole. Using the recessed topography of the gate layers, a charge trap layer can be deposited on the sidewalls of the channel hole and etched, leaving individual discrete charge trap layer sections in each recess. Filling the channel hole with channel material effectively provides a three-dimensional semiconductor device having individual charge trap layer sections for each memory cell. |
US10236298B2 |
Non-volatile memory devices with vertically integrated capacitor electrodes
Provided is a vertical non-volatile memory device in which a capacitor constituting a peripheral circuit region is formed as a vertical type so that an area occupied by the capacitor in the entire device can be reduced as compared with a planar capacitor. Thus, a non-volatile memory device may be highly integrated and have a high capacity. The device includes a substrate having a cell region and a peripheral circuit region, a memory cell string including a plurality of vertical memory cells formed in the cell region and channel holes formed to penetrate the vertical memory cells in a first direction vertical to the substrate, an insulating layer formed in the peripheral circuit region on the substrates at substantially the same level as an upper surface of the memory cell string, and a plurality of capacitor electrodes formed on the peripheral circuit region to penetrate at least a portion of the insulating layer in the first direction, the plurality of capacitor electrodes extending parallel to the channel holes. The plurality of capacitor electrodes are spaced apart from one another in a second direction parallel to the substrate, and the insulating layer is interposed between a pair of adjacent capacitor electrodes from among the plurality of capacitor electrodes. |
US10236295B2 |
Method of fabricating semiconductor device using dry etching
A method of fabricating a semiconductor device includes forming a material layer and a mask pattern on a substrate, mounting the substrate onto an electrostatic chuck, loading the substrate, including the material layer and the mask pattern, mounted on the electrostatic chuck, into an etching chamber, and forming a material pattern by dry etching the material layer using the mask pattern as an etching mask. The dry etching of the material layer includes adjusting a pressure of the etching chamber to adjust a lateral over-etch of the material pattern in a first direction, wherein the first direction is parallel to a surface of the substrate facing the material pattern, and adjusting a temperature of the electrostatic chuck to adjust an etching of the material pattern in a second direction, wherein the second direction crosses the first direction. |
US10236294B2 |
Method of manufacturing a semiconductor device
The present invention proposes a method of manufacturing a semiconductor device, which includes the steps of providing a substrate with a memory region and a logic region, forming bit lines and logic gates respectively in the memory region and the logic region, wherein storage node regions are defined between bit lines, forming a first low-K dielectric layer on sidewalls of bit lines, forming a doped silicon layer in the storage node regions between bit lines, wherein the top surface of doped silicon layer is lower than the top surface of bit line, forming a second low-K dielectric layer on sidewalls of storage node regions, and filling up storage node regions with metal plugs. |
US10236292B1 |
Complementary FETs with wrap around contacts and methods of forming same
The present disclosure relates generally to wrap around contact formation in source/drain regions of a semiconductor device such as an integrated circuit (IC), and more particularly, to stacked IC structures containing complementary FETs (CFETs) having wrap around contacts and methods of forming the same. Disclosed is a stacked IC structure including a first FET on a substrate, a second FET vertically stacked above the first FET, a dielectric layer above the second FET, and a spacer layer between FETs, wherein each FET has an electrically isolated wrap-around contact formed therearound. |
US10236291B2 |
Methods, apparatus and system for STI recess control for highly scaled finFET devices
At least one method, apparatus and system are disclosed for forming a fin field effect transistor (finFET) having an oxide level in a fin array region within a predetermined height of the oxide level of a field region. A first oxide process is performed for controlling a first oxide recess level in a field region adjacent to a fin array region comprising a plurality of fins in a finFET device. The first oxide process comprises depositing an oxide layer over the field region and the fin array region and performing an oxide recess process to bring the oxide layer to the first oxide recess level in the field region. A second oxide process is performed for controlling a second oxide recess level in the fin array region. The second oxide process comprises isolating the fin array region, depositing oxide material, and performing an oxide recess process to bring the oxide level in the fin array region to the second oxide recess level. The first oxide recess level is within a predetermined height differential of the second oxide recess level. |
US10236286B2 |
Semiconductor integrated circuit apparatus and manufacturing method for same
A semiconductor integrated circuit apparatus and a manufacturing method for the same are provided in such a manner that a leak current caused by a ballast resistor is reduced, and at the same time, the inconsistency in the leak current is reduced. The peak impurity concentration of the ballast resistors is made smaller than the peak impurity concentration in the extension regions, and the depth of the ballast resistors is made greater than the depth of the extension regions. |
US10236285B2 |
Electrostatic discharge (ESD) protection device
A semiconductor device includes a semiconductor substrate and a pair of first well regions formed in the semiconductor substrate, wherein the pair of first well regions have a first conductivity type and are separated by at least one portion of the semiconductor substrate. The semiconductor device also includes a first doping region formed in a portion of at least one portion of the semiconductor substrate separating the pair of first well regions, and a pair of second doping regions, respectively formed in one of the pair of first well regions, having the first conductivity type. Further, the semiconductor device includes a pair of insulating layers, respectively formed over a portion of the semiconductor substrate to cover a portion of the first doped region and one of the pair of second doping regions. |
US10236269B2 |
Semiconductor device having semiconductor chip with large and small irregularities on upper and lower side surface portions thereof
A semiconductor device has a semiconductor chip adhesively bonded to a die pad. An area having large irregularities is formed on an upper side surface of the semiconductor chip to be covered by an encapsulating resin, and an area having small irregularities is formed on a lower side surface of the semiconductor chip, thereby improving adhesive strength between the semiconductor chip and the encapsulating resin and preventing penetration of moisture from outside. |
US10236268B1 |
Robust pillar structure for semicondcutor device contacts
Methods and systems for a robust pillar structure for a semiconductor device contacts are disclosed, and may include processing a semiconductor wafer comprising one or more metal pads, wherein the processing may comprise: forming a second metal contact on the one or more metal pads; forming a pillar on the second metal contact, and forming a solder bump on the second metal contact and the pillar, wherein the pillar extends into the solder bump. The second metal contact may comprise a stepped mushroom shaped bump, a sloped mushroom shaped bump, a cylindrical post, and/or a redistribution layer. The semiconductor wafer may comprise silicon. A solder brace layer may be formed around the second metal contact. The second metal contact may be tapered down to a smaller area at the one or more metal pads on the semiconductor wafer. A seed layer may be formed between the second metal contact and the one or more metal pads on the semiconductor wafer. The pillar may comprise copper. |
US10236265B2 |
Semiconductor chip and method for forming a chip pad
A semiconductor chip with different chip pads and a method for forming a semiconductor chip with different chip pads are disclosed. In some embodiments, the method comprises depositing a barrier layer over a chip front side, depositing a copper layer after depositing the barrier layer, and removing a part of the copper layer located outside a first chip pad region, wherein a remaining portion of the copper layer within the first chip pad region forms a surface layer of the chip pad. The method further comprises removing a part of the barrier layer located outside the first chip pad region. |
US10236264B2 |
Wireless IC device, resin molded body comprising same, communication terminal apparatus comprising same, and method of manufacturing same
A wireless IC device includes an element body including first and second principal surfaces, an RFIC element buried in the element body, and an antenna coil disposed in the element body. The antenna coil includes a first wiring pattern provided on the second principal surface, a first metal pin reaching the first principal surface and the second principal surface, a second metal pin reaching the first principal surface and the second principal surface, and a second wiring pattern provided on the first principal surface. Terminal surfaces of the first input/output terminal and the second input/output terminal of the RFIC element face the second principal surface of the element body and are spaced away from the antenna coil while being connected to the first wiring pattern through first and second conductors extending from the second principal surface of the element body in a direction of the first primary surface. |
US10236262B2 |
System and method for protecting an integrated circuit (IC) device
Embodiments of the invention provide a system for protecting an integrated circuit (IC) device from attacks, the IC device (100) comprising a substrate (102) having a front surface (20) and a back surface (21), the IC device further comprising a front side part (101) arranged on the front surface of the substrate (102) and stacked layers, at least one of said layers comprising a data layer comprising wire carrying data, the front side part having a front surface (13). The system comprises an internal shield (12) arranged in a layer located below said data layer and a verification circuit configured to check the integrity of at least one portion of the internal shield. |
US10236261B2 |
Electronic package and method for fabricating the same
An electronic package is provided, which includes: a substrate; an electronic component and a shielding member disposed on the substrate; an encapsulant formed on the substrate and encapsulating the electronic component and the shielding member; and a metal layer formed on the encapsulant and electrically connected to the shielding member. A portion of a surface of the shielding member is exposed from a side surface of the encapsulant and in contact with the metal layer. As such, the width of the shielding member can be reduced so as to reduce the amount of solder paste used for bonding the shielding member to the substrate, thereby overcoming the conventional drawback of poor solder distribution. The present disclosure further provides a method for fabricating the electronic package. |
US10236259B2 |
Mark, method for forming same, and exposure apparatus
A mark forming method includes: forming recessed portion on a mark formation area of a substrate; coating the recessed portion with a polymer layer containing a block copolymer, allowing the polymer layer in the recessed portion to form a self-assembled area; selectively removing a portion of the self-assembled area; and forming a positioning mark by using the self-assembled area from which the portion thereof has been removed. |
US10236256B2 |
Pre-spacer self-aligned cut formation
Methods of forming self-aligned cuts and structures formed with self-aligned cuts. A dielectric layer is formed on a metal hardmask layer, and a mandrel is formed on the dielectric layer. A cut is formed that extends through the dielectric layer to the metal hardmask layer. A section of a metal layer is formed on an area of the metal hardmask layer exposed by the cut in the dielectric layer. After the metal layer is formed, a spacer is formed on a vertical sidewall of the mandrel. |
US10236253B2 |
Self-aligned local interconnect technology
A self-aligned interconnect structure includes a fin structure patterned in a substrate; an epitaxial contact disposed over the fin structure; a first metal gate and a second metal gate disposed over and substantially perpendicular to the epitaxial contact, the first metal gate and the second metal gate being substantially parallel to one another; and a metal contact on and in contact with the substrate in a region between the first and second metal gates. |
US10236251B2 |
Method for providing electrical antifuse including phase change material
An antifuse structure including a first electrode that is present in at a base of the opening in the dielectric material. The antifuse structure further includes an antifuse material layer comprising a phase change material alloy of tantalum and nitrogen. A first surface of the antifuse material layer is present in direct contact with the first electrode. A second electrode is present in direct contact with a second surface of the antifuse material layer that is opposite the first surface of the antifuse material layer. |
US10236248B2 |
Semiconductor device and manufacturing method thereof
The manufacturing method of a semiconductor device can improve the mechanical strength of a pad more than before, and suppress the occurrence of a crack. The manufacturing method of a semiconductor device includes: forming a first pad constituted by a first metal layer; forming an insulating layer on the first pad; providing an opening portion in the insulating layer by removing the insulating layer on at least a partial region of the first pad; forming a second pad constituted by a second metal layer in the opening portion of the insulating layer so as to have a film thickness that is smaller than the film thickness of the insulating layer; and forming a third pad constituted by a third metal layer on the second pad. |
US10236247B2 |
Isolation device
An isolation system, isolation device, and Integrated Circuit are disclosed. The isolation system is described to include an integrated circuit chip having a first capacitive plate, a second capacitive plate positioned with respect to the first capacitive plate to enable a capacitive coupling therebetween, an enhanced isolation layer positioned between the first capacitive the second capacitive plate that facilitates an electrical isolation between the first capacitive plate and the second capacitive plate, a first bonding wire that is in electrical communication with the second capacitive plate, and an isolation trench that at least partially circumscribes the first capacitive plate and is positioned between the first capacitive plate and the first bonding wire. |
US10236246B2 |
Semiconductor devices and methods for forming a semiconductor device
A semiconductor device includes a crack propagation prevention structure. The crack propagation prevention structure is located at an edge region of a wiring layer stack located on a semiconductor substrate of the semiconductor device. Furthermore, the crack propagation prevention structure laterally surrounds at least one wiring structure located within the wiring layer stack. Additionally, the semiconductor device includes an insulation trench extending into the semiconductor substrate. The insulation trench comprises at least an insulation layer electrically insulating the crack propagation prevention structure from the semiconductor substrate. The crack propagation prevention structure extends vertically at least from a surface of the wiring layer stack to the insulation trench. |
US10236244B2 |
Semiconductor device and production method therefor
Provided is a semiconductor device having a wiring structure on a semiconductor element and capable of securing high quality and high reliability in response to the desire for high-temperature operations, a large-current specification, thinner wafers, smaller device size, and reduced loss. A semiconductor device that includes an insulating circuit board; a semiconductor element implemented on the insulating circuit board; a first insulating resin layer laminated on the insulating circuit board; a copper-plated wiring which contacts the semiconductor element via a window portion formed in the first insulating resin layer, which enables contact with the semiconductor element; and a second insulating resin layer laminated so as to seal the copper-plated wiring, and a method for producing the semiconductor device are provided. |
US10236242B2 |
Chip package and package substrate
A package substrate is provided. The package substrate includes a dielectric layer and a passive component embedded in the dielectric layer and contacting the dielectric layer. A circuit layer is embedded in the dielectric layer and has a first surface aligned with a second surface of the dielectric layer. A conductive structure is embedded in the dielectric layer and electrically connected to the passive component and the circuit layer. A chip package is also provided. |
US10236238B2 |
Semiconductor device
A first semiconductor device includes: a first wiring layer including a first interlayer insulating film, a first electrode pad, and a first dummy electrode, the first electrode pad being embedded in the first interlayer insulating film and having one surface located on same plane as one surface of the first interlayer insulating film, and the first dummy electrode being embedded in the first interlayer insulating film, having one surface located on same plane as the one surface of the first interlayer insulating film, and being disposed around the first electrode pad; and a second wiring layer including a second interlayer insulating film, a second electrode pad, and a second dummy electrode, the second electrode pad being embedded in the second interlayer insulating film, having one surface located on same surface as one surface of the second interlayer insulating film, and being bonded to the first electrode pad, and the second dummy electrode having one surface located on same plane as the surface located closer to the first interlayer insulating film of the second interlayer insulating film, being disposed around the second electrode pad, and being bonded to the first dummy electrode. A second semiconductor device includes: a first semiconductor section including a first electrode, the first electrode being formed on a surface located closer to a bonding interface and extending in a first direction; and a second semiconductor section including a second electrode and disposed to be bonded to the first semiconductor section at the bonding interface, the second electrode being bonded to the first electrode and extending in a second direction that intersects with the first direction. |
US10236237B2 |
Bipolar transistor, semiconductor device, and bipolar transistor manufacturing method
Disconnection of a base line is suppressed even when a short-side direction of a collector layer is parallel to crystal orientation [011]. A bipolar transistor includes: a collector layer that has a long-side direction and a short-side direction in a plan view, in which the short-side direction is parallel to crystal orientation [011], a cross-section perpendicular to the short-side direction has an inverted mesa shape, and a cross-section perpendicular to the long-side direction has a forward mesa shape; a base layer that is formed on the collector layer; a base electrode that is formed on the base layer; and a base line that is connected to the base electrode and that is drawn out from an end in the short-side direction of the collector layer to the outside of the collector layer in a plan view. |
US10236235B2 |
Thermally enhanced semiconductor package having field effect transistors with back-gate feature
The present disclosure relates to a thermally enhanced semiconductor package having field effect transistors (FETs) with a back-gate feature. The thermally enhanced semiconductor package includes a first buried oxide (BOX) layer, a first epitaxial layer over the first BOX layer, a second BOX layer over the first epitaxial layer, a second epitaxial layer over the second BOX layer and having a source, a drain, and a channel between the source and the drain, a gate dielectric aligned over the channel, and a front-gate structure over the gate dielectric. Herein, a back-gate structure is formed in the first epitaxial layer and has a back-gate region aligned below the channel. A FET is formed by the front-gate structure, the source, the drain, the channel, and the back-gate structure. |
US10236233B2 |
Heat spreaders with integrated preforms
Embodiments of heat spreaders with integrated preforms, and related devices and methods, are disclosed herein. In some embodiments, a heat spreader may include: a frame formed of a metal material, wherein the metal material is a zinc alloy or an aluminum alloy; a preform secured in the frame, wherein the preform has a thermal conductivity higher than a thermal conductivity of the metal material; and a recess having at least one sidewall formed by the frame. The metal material may have an equiaxed grain structure. In some embodiments, the equiaxed grain structure may be formed by squeeze-casting or rheocasting the metal material. |
US10236227B2 |
Electronic package and fabrication method thereof
An electronic package is provided, including a circuit portion, an electronic element disposed on the circuit portion and a lid member disposed on the circuit portion to cover the electronic element. A separation portion is formed between the lid member and the electronic element. The lid member facilitates to prevent warping of the overall package structure. The invention further provides a method for fabricating the electronic package. |
US10236226B2 |
In-situ calibration structures and methods of use in semiconductor processing
Systems and methods of in-situ calibration of semiconductor material layer deposition and removal processes are disclosed. Sets of test structures including one or more calibration vias or posts are used to precisely monitor processes such as plating and polishing, respectively. Known (e.g., empirically determined) relationships between the test structure features and product feature enable monitoring of wafer processing progress. Optical inspection of the calibration feature(s) during processing cycles permits dynamic operating condition adjustments and precise cessation of processing when desired product feature characteristics have been achieved. |
US10236224B2 |
Apparatus and method for reducing wafer warpage
An apparatus and a method for reducing wafer warpage are provided. The method includes positioning a mold wafer structure on a stage. The mold wafer structure includes a mold layer and a stack structure positioned on a wafer. The stage includes a center region and an edge region adjacent the center region. Warpage information of the mold wafer structure is obtained. The mold wafer structure is heated by the stage based on the warpage information to reduce a warpage of the mold wafer structure. A temperature of the center region and a temperature of the edge region are different from each other. An operation test is performed on the stack structure. |
US10236223B2 |
Substrate processing method, program, apparatus and system to determine substrate processing result
Disclosed is a substrate processing method. The substrate processing method includes: a first acquisition step of acquiring a first processing condition in a first processing performed using a first number of monitor substrates and a first processing result related to the monitor substrates; a second acquisition step of acquiring a second processing condition in a second processing performed using a second number of monitor substrates and a second processing result related to the monitor substrates; a first calculation step of calculating a processing condition difference between the first processing condition and the second processing condition; and a second calculation step of calculating a processing result of substrates at slot positions where no monitor substrate is placed in the first processing, based on the first processing result, the second processing result, the processing condition difference, and a process model representing a relationship between a processing condition and a processing result. |
US10236217B1 |
Stacked field-effect transistors (FETs) with shared and non-shared gates
A semiconductor device includes a plurality of stacked gate regions spaced apart from each other on a substrate, a plurality of first epitaxial source/drain regions between the plurality of stacked gate regions, wherein the first epitaxial source/drain regions extend from sides of the plurality of stacked gate regions in a first doped region, a plurality of second epitaxial source/drain regions between the plurality of stacked gate regions and positioned over the first epitaxial source/drain regions, wherein the second epitaxial source/drain regions extend from sides of the plurality of stacked gate regions in a second doped region, and a contact region extending through a second epitaxial source/drain region of the plurality of second epitaxial source/drain regions to a first epitaxial source/drain region of the plurality of first epitaxial source/drain regions. |
US10236214B2 |
Vertical transistor with variable gate length
A method of forming a vertical transistor includes forming a first pair of fins on a substrate; forming a second pair of fins on the substrate; forming a first trench in the substrate and interposed between each one of the first pair of fins; forming a second trench in the substrate and interposed between each one of the second pair of fins, wherein the second trench is deeper than the first trench; forming a first semiconductor structure interposed between each one of the first pair of fins, the first semiconductor structure having a first gate region interposed between a first source region and a first drain region; and forming a second semiconductor structure interposed between each one of the second pair of fins, the second semiconductor structure having a first gate region interposed between a second source region and a second drain region. |
US10236213B1 |
Gate cut structure with liner spacer and related method
A gate cut structure for finFETs, and a related method, are disclosed. The gate cut structure separates and electrically isolates an end of a first metal gate conductor of a first finFET from an end of a second metal gate conductor of a second finFET. The gate cut structure includes a body contacting the end of the first and second metal gate conductors. A liner spacer separates a lower portion of the body from an interlayer dielectric (ILD), and an upper portion of the body contacts the ILD. During formation, the liner spacer allows for a larger gate cut opening to be used to allow quality cleaning of the gate cut opening, but also reduction in size of the spacing between metal gate conductor ends of the finFETs. In one example, the body may have a lower portion having a width less than an upper portion thereof. |
US10236211B2 |
Method of manufacturing vertical memory devices
A vertical memory device may include a plurality of word lines spaced apart in a first direction, each extending in a second direction perpendicular to the first direction and having a first width in a third direction perpendicular to the first and second directions, a dummy word line over an uppermost word line, including an opening and having a portion thereof with the first width in the third direction, a first string selection line (SSL) and a second string selection line (SSL) over the dummy word line, the first and second SSLs being at substantially the same level along the first direction, each of the first and second SSLs having a second width less than the first width in the third direction, and a plurality of vertical channel structures, each through the word lines, the dummy word line, and one of the first and second SSLs. |
US10236210B2 |
Direct bonding method
The method is carried out of a first substrate having a first layer made of a first material with a second substrate having a second layer made of a second material, the first material and the second material being of different natures and selected from alloys of elements of columns III and V, the method having the steps of: a) providing the first substrate and the second substrate, b) bringing the first substrate into contact with the second substrate so as to form a bonding interface between the first layer and the second layer, c) performing a first heat treatment at a first predefined temperature, d) thinning one of the substrates, e) depositing, at a temperature less than or equal to the first predefined temperature, a barrier layer, on the thinned substrate, and f) performing a second heat treatment at a second predefined temperature, greater than the first predefined temperature. |
US10236208B2 |
Semiconductor package structure and method of manufacturing the same
The present disclosure relates to a semiconductor package structure and a method of manufacturing the same. The semiconductor package structure includes a semiconductor substrate having a first surface and a second surface opposite the first surface. The semiconductor substrate has a space extending from the second surface to the first surface and an insulation body is disposed in the space. The semiconductor package structure includes conductive posts in the insulation body. |
US10236206B2 |
Interconnects with hybrid metallization
Structures for interconnects and methods for forming interconnects. A dual-damascene opening is formed in a dielectric layer and a first liner is formed on the dielectric layer at one or more sidewalls of the dual-damascene opening. A first conductor layer is formed in a portion of the dual-damascene opening. The first liner is removed from the one or more sidewalls of the dual-damascene opening vertically between the first conductor layer and a top surface of the dielectric layer. After the first liner is removed, a second liner is formed on the dielectric layer at the one or more sidewalls of the dual-damascene opening between the first conductor layer and the top surface of the dielectric layer. A second conductor layer is formed in the dual-damascene opening between the first conductor layer and the top surface of the dielectric layer. The first and second liner materials differ in composition. |
US10236204B2 |
Semiconductor processing system
The semiconductor processing system includes a reactor chamber that has an upper wall and a lower wall. A hold member is disposed in the reactor chamber to hold a semiconductor substrate in such a way that it faces the lower wall of the reactor chamber. |
US10236200B2 |
Exposure device and substrate processing apparatus
With a cover member being located directly upward of an opening of a casing, a substrate in a horizontal attitude is carried into a gap between the cover member and the opening. The carried-in substrate is moved to the inside of the casing through the opening. The cover member is lowered, so that the opening is closed. An inert gas is supplied to the inside of the casing. One surface of the substrate is irradiated with vacuum ultraviolet rays by a light emitter while the substrate is moved in a horizontal direction in the casing. The cover member is lifted, so that the opening is opened. The substrate is moved to a position between the cover member and the opening from a position inside of the casing through the opening. Thereafter, the substrate in the horizontal attitude is taken out in the horizontal direction. |
US10236199B2 |
Substrate processing method and substrate processing apparatus
A substrate processing method comprises: an execution step of executing the first processing for the plurality of substrates, and executing the second processing for the substrates having undergone the first processing; a recovery step of recovering the plurality of substrates having undergone the first processing and the second processing to the retraction chamber; a conditioning step of, after completion of the first processing for the last substrate among the plurality of substrates, loading a dummy substrate into the first processing chamber, executing the third processing for the dummy substrate, and unloading the dummy substrate from the first processing chamber; and a second execution step of, after the dummy substrate is unloaded from the first processing chamber in the conditioning step, loading the substrates recovered in the recovery step into the first processing chamber, and executing the third processing for the substrates loaded into the first processing chamber. |
US10236196B2 |
Substrate processing system
A substrate processing system is provided. The substrate processing system includes: a first transfer apparatus; at least two first accommodating units including an upper first accommodating unit and a lower first accommodating unit; multiple first substrate processing units, which are divided into at least a first group and a second group and arranged in a height direction; an upper second accommodating unit corresponding to the first group; an upper second transfer apparatus corresponding to the first group; a lower second accommodating unit corresponding to the second group; a lower second transfer apparatus corresponding to the second group; a first delivery apparatus corresponding to the first group; and a second delivery apparatus corresponding to the second group. |
US10236194B2 |
Supporting unit and substrate treatment apparatus
Provided is a supporting unit supporting a substrate. The supporting unit includes a body including a plurality of heating regions and disposed with the substrate on a top surface thereof and a heating unit heating the body. Herein, the heating unit includes heating lines provided in the plurality of heating regions, respectively, to control temperatures of the plurality of heating regions independently from one another, terminals provided to the body and receiving power from the outside, and connecting lines connecting the heating lines to the terminals mutually corresponding to one another. Also, the terminals are disposed in one of the plurality of heating regions in a top view. |
US10236192B2 |
Liquid processing apparatus, liquid processing method, and storage medium
A liquid processing apparatus of the present disclosure holds and rotate a substrate in a substrate holding unit, ejects an etching liquid while moving a main nozzle of a main nozzle unit between a first position where the etching liquid reaches a center of the substrate and a second position closer to a peripheral side of the substrate than the first position, and then, ejects the etching liquid to the substrate from a sub nozzle provided at a third position closer to the peripheral side of the substrate than the first position at an ejection flow rate higher than that from the main nozzle. |
US10236191B2 |
Wafer drying apparatus and method for drying a wafer
A wafer drying apparatus includes air supply unit having first and second air supply tube provided at respective first and second front-surface side of wafer, and wafer holding unit configured to vertically hold wafer, and configured so that wafer relatively moves with respect to air supply unit, plurality of first air outlets separated from each other at predetermined intervals are provided at wafer side of first air supply tube, plurality of second air outlets separated from each other at predetermined intervals are provided at wafer side of second air supply tube, plurality of first and second air outlets are respectively provided to form an angle with respect to vertical direction regarding wafer as to blow air from peripheral portion to central portion with respect to central line in vertical direction of wafer. It is possible to provide wafer drying apparatus with simple structure, which reduces undried portion without degrading productivity. |
US10236190B2 |
Method for wafer outgassing control
Embodiments disclosed herein generally relate to methods for controlling substrate outgassing such that hazardous gasses are eliminated from a surface of a substrate after a III-V epitaxial growth process or an etch clean process, and prior to additional processing. An oxygen containing gas is flowed to a substrate in a load lock chamber, and subsequently a non-reactive gas is flowed to the substrate in the load lock chamber. As such, hazardous gases and outgassing residuals are decreased and/or removed from the substrate such that further processing may be performed. |
US10236189B2 |
Adhesive-bonded thermal interface structures for integrated circuit cooling
A heat sink can be attached to a heat-producing electronic device by aligning an adhesive material to a surface of the heat sink, applying the adhesive material to the surface to form an outer perimeter and applying, within the outer perimeter, a thermally conductive material to the surface. The surface of the heat sink and a surface of the heat-producing electronic device can then be aligned, and the heat sink can be assembled to the heat-producing electronic device by bringing the heat-producing electronic device surface into contact with the adhesive material. The heat sink can then be affixed to the heat-producing electronic device by applying a compressive force to the assembly to activate the adhesive material. |
US10236187B2 |
Semiconductor package and method for fabricating base for semiconductor package
The invention provides a semiconductor package and a method for fabricating a base for a semiconductor package. The semiconductor package includes a base. The base has a device-attach surface. A radio-frequency (RF) device is embedded in the base. The RF device is close to the device-attach surface. |
US10236186B2 |
Methods for dry hard mask removal on a microelectronic substrate
The disclosure relates to methods for a multi-step plasma process to remove metal hard mask layer from an underlying hard mask layer that may be used to implement a sub-lithographic integration scheme. The sub-lithographic integration scheme may include iteratively patterning several features into the metal hard mask layer that may be transferred to the hard mask layer. However, the iterative process may leave remnants of previous films on top of the metal hard mask that may act as mini-masks that may interfere with the pattern transfer to the hard mask layer. One approach to remove the mini-masks may be to use a two-step plasma process that removes the mini-mask using a first gas mixture ratio of a carbon-containing gas and a chlorine-containing gas. The remaining metal hard mask layer may be removed using a second gas mixture ratio of the carbon-containing gas and the chlorine-containing gas. |
US10236184B2 |
Porous tin oxide films
Initial film layers prepared from tin(II) chloride spontaneously generate open cavities when the initial film layers are thermally cured to about 400° C. using a temperature ramp of 1° C./minute to 10° C./minute while exposed to air. The openings of the bowl-shaped cavities have characteristic dimensions whose lengths are in a range of 30 nm to 300 nm in the plane of the top surfaces of the cured film layers. The cured film layers comprise tin oxide and have utility in gas sensors, electrodes, photocells, and solar cells. |
US10236181B2 |
Manufacturing system and method for forming a clean interface between a functional layer and a two-dimensional layeyed semiconductor
A manufacturing system and a method for forming a clean interface between a functional layer and a 2D layered semiconductor are provided herein. In the steps of the method, the substrate equipped with the 2D layered semiconductor is exposed to a reaction gas, and a stimulus is applied to the reaction gas to generate active particles having higher selectivity toward contaminants on the exposed surface of the 2D layered semiconductor so that the contaminants can be decomposed and removed. Additionally, the contaminants can be removed without damage to the 2D layered semiconductor. A functional layer is in-situ deposited to be in contact with the 2D layered semiconductor. Without the contaminants, a clean interface between the functional layer and the 2D layered semiconductor can be obtained and the 2D layered semiconductor can exhibit better electrical properties. |
US10236180B2 |
Method of making semiconductor integrated circuit device relating to resistance characteristics
A semiconductor integrated circuit device may include a structure, a first capping layer, a channel layer and a second capping layer. The structure may have an opening formed in the structure. The first capping layer may be formed in the opening of the structure. The channel layer may be arranged between the structure and the first capping layer. The second capping layer may be arranged on the channel layer and the first capping layer. |