Document Document Title
US08330386B2 Remote control and adjustment apparatus disposed in an energy saving lighting apparatus and a control system of the same
The present invention provides a remote control and adjustment apparatus disposed in an energy saving lighting apparatus and a control system of the same. The remote control and adjustment apparatus of the invention includes a RF wireless transceiver, a processing module and a power module. The wireless transceiver can receive a first signal from a remote controller. The processing module can receive the first signal from the wireless transceiver, and generate a second signal or generate and send a third signal according to the first signal. Particularly, the second signal includes at least a control parameter, and the processing module can control the energy saving lighting apparatus operating based on the control parameter. Additionally, the power module can provide electric power for the operation of the remote control and adjustment apparatus.
US08330383B2 Method and system for dependently controlling colour light sources
A method and system for dependently controlling color light sources. The lighting system comprises a drive current controller providing current signals for one or more first groups of light-emitting elements, and a signal derivation module operatively connected to the drive current controller. The signal derivation module is configured to determine and provide current signals for one or more second groups of light-emitting elements, the current signals being based on the current signals provided to the first groups of light-emitting elements. The method comprises the steps of determining one or more first drive currents for driving one or more first groups of light-emitting elements, and determining one or more second drive currents for driving one or more second groups of light-emitting elements, wherein each of the one or more second drive currents is predetermined based on at least one of the one or more first drive currents.
US08330381B2 Electronic circuit for DC conversion of fluorescent lighting ballast
Embodiments of an illumination device including LEDs for connection to an existing fluorescent lamp fixture including a conventional ballast described. One illumination device includes protection circuitry configured to protect the illumination device from the ballast current signal, a full-wave rectifier, a smoothing filter electrically coupled to the full wave rectifier, and a current regulator power circuit electrically coupled to the smoothing filter and the LEDS. The current regulator power circuit can include a first switching element configured to operate in response to a first (PWM) ON/OFF control signal; a current controller electrically coupled to a gate of the first switching element, the current controller configured to generate the first PWM control signal; and a current sense resistor electrically coupled to the first switching element and configured to sense the current through the LEDS, wherein the sensed current is fed back to the current controller.
US08330380B2 Control circuit for light emitting device
A control circuit comprises a rectifier unit for performing full wave rectification on an AC power source, a switching element for switching a current flowing through a light emitting device which emits light in response to a voltage having been full wave rectified in the rectifier unit, a voltage dividing circuit for dividing the voltage having been full wave rectified in the rectifier unit to obtain a reference voltage Vref, a comparator for comparing a comparison voltage Vcmp corresponding to the current flowing through the light emitting device with the reference voltage Vref, and a control unit for controlling switching of the switching element based on a comparison result obtained in the comparator.
US08330376B2 System and apparatus for cathodoluminescent lighting
A cathodoluminescent lighting system has a light emitting device having an envelope with a transparent face, a cathode for emitting electrons, an anode with a phosphor layer and a conductor layer. The phosphor layer emits light through the transparent face of the envelope. The system also has a power supply for providing at least five thousand volts of power to the light emitting device, and the electrons transiting from cathode to anode are essentially unfocused. Additional embodiments responsive to triac-type dimmers with intensity and color-changes in response to dimmer control. A power-factor-corrected embodiment is also disclosed.
US08330375B2 Vehicle lamp lighting control device
A vehicle lamp lighting control device includes: a current control unit which is of a non-insulation type and supplies a supply current to a semiconductor light source; an abnormality detecting unit which detects abnormality of a positive-electrode-side output based on at least one of a power supply voltage or a supply voltage supplied to the semiconductor light source, and outputs signal indicating the abnormality; and a bypass unit which bypasses the supply current supplied from the current control unit in accordance with the signal indicating the abnormality.
US08330374B2 Vehicle-mounted load controller, vehicle-mounted headlight device, and vehicle-mounted taillight device
A vehicle-mounted load controller is characterized by including: a power terminal connected to a power line through a fuse; load connecting portions to which loads having vehicle-mounted light sources are connected; switches which are provided corresponding to the load connecting portions and which control states of power supply from the power line connected to the power terminal to the light sources connected to the load connecting portions; and a control unit which controls the switches in response to inputted control signals. The vehicle-mounted load controller is characterized in that the multiple load connecting portions to which the multiple light sources for different uses are respectively connected are electrically connected to the power terminal, and that each of the switches stops the supply of power from the power line to the light source connected to the corresponding load connecting portion when the magnitude of current flowing through the light source reaches or exceeds a predetermined value. A rise in lamp voltage during a period from the start of lighting to the establishment of stable lighting is reduced with consideration given to a history of lighting conditions for stable lighting. This produces effects such as increasing the lives of lamps and reducing the frequency of occurrence of arc jumping.
US08330373B2 Phosphor-centric control of color characteristic of white light
Lighting systems and devices offer dynamic control or tuning of a color characteristic, e.g. color temperature, of white light. The exemplary lighting systems and devices are used for general lighting applications that utilize solid state sources to pump remotely deployed phosphors. Two or more phosphors emit visible light of different visible spectra, and these spectra are somewhat broad, e.g. pastel, so that combinations thereof can approach white light temperatures including points along the black body curve. Independent adjustment of the intensities of electromagnetic energy emitted by the solid state sources adjusts levels of excitations of the phosphors, in order to control a color characteristic of the visible white light output of the lighting system or device.
US08330370B2 Compact fluorescent lamp with improved thermal management
A compact fluorescent lamp includes an inverted compact fluorescent light source housed within an outer envelope. Locating the first and second ends of the coiled CFL source positions the electrodes within a substantially spherical outer envelope at a location remotely spaced from the ballast compartment. In this manner, only small diameter first and second lead wires that mechanically and electrically engage the electrodes pass through small dimensioned passages in a separation member in the outer envelope cavity. This substantially reduces the thermal stress on the components.
US08330364B2 Photomultiplier
The present invention relates to a photomultiplier that realizes a significant improvement of response time characteristics by a structure enabling mass production. The photomultiplier comprises a sealed container, and, in the sealed container, a photocathode, an electron multiplier section, and an anode are respectively disposed. The electron multiplier section includes multiple stages of dynode units, and each of the multiple stages of dynode units is fixed with one end of the associated dynode pin while being electrically connected thereto. In particular, the dynode pin, whose one ends are fixed to the multiple stages of dynode units, are held within an effective region of the electron multiplier section contributing to secondary electron multiplication, when the electron multiplier section is viewed from the photocathode side. By this configuration, a focusing distance from the photocathode to a first stage dynode unit can be shortened effectively and the effective region of the electron multiplier section can be enlarged to effectively reduce variations in transit time of photoelectrons propagating from the photocathode to the first stage dynode unit.
US08330362B2 Lamp having mounting slot
A lamp has a light tube, an illuminant module mounted in the light tube and two end caps mounted respectively on two opposite ends of the light tube. A slot, being substantially inverted T-shaped in cross-section, is formed in and axially along the light tube and the end caps. A guide rail or a pair of resilient plates is disposed at a presupposed position and is mounted through the slot of the lamp to hold the lamp. An amount of lamps held on the guide rail or the pair of resilient plates is changeable according to needful brightness. Therefore, disposing the lamp is easy, quick and convenient, and a total cost for disposing the lamp is low.
US08330360B2 Light-emitting device with supported cover
A light-emitting device is described with a flat light-emitting element on a substrate and is encapsulated under a cover. The cover is supported by at least one support element in a central section at a distance from the edge of the light-emitting element. Further provided are indentations in the surface facing the light-emitting element, in which is a cavity containing a getter material. At the boundary, the cover is sealed to the substrate with a sealing compound.
US08330353B2 Organic light emitting device and manufacturing method thereof
The present invention relates to an organic light emitting device including a substrate, an insulating layer disposed on the substrate, a first electrode disposed on the insulating layer, an organic light emitting member disposed on the first electrode, and a second electrode disposed on the organic light emitting member. The insulating layer includes a furrow corresponding to at least one edge of the first electrode, and at least a portion of the second electrode is disposed in the furrow.
US08330349B2 Yellow emitting Ce3+ doped calcium silicate phosphor and white light emitting diodes including Ce3+ doped calcium silicate phosphor
The present invention is directed to phosphors and white light emitting diodes and a method for preparing a Ce3+ doped calcium silicate phosphor represented by a chemical formula of (Ca1-yMy)2-x-zSiO4:Ce3+x,N+z, wherein x is 0
US08330348B2 Structured luminescence conversion layer
An apparatus device such as a light source is disclosed which has an OLED device and a structured luminescence conversion layer deposited on the substrate or transparent electrode of said OLED device and on the exterior of said OLED device. The structured luminescence conversion layer contains regions such as color-changing and non-color-changing regions with particular shapes arranged in a particular pattern.
US08330347B2 Method for displaying seamless images on foldable display device
A foldable display device is designed so that a junction between at least two display panels connected to each other is not visibly seen. The display device displays an image on a plurality of display panels that are connected together. Pixels near the junction between every two adjacent display panels of the at least two display panels are smaller and have a higher brightness than the other pixels.
US08330336B2 Piezoelectric vibration component
To obtain an inexpensive piezoelectric vibration component having vibration characteristics whose degradation resulting from deposition of moisture due to a temperature change is less likely to occur without increasing the cost of a sealing structure and the number of parts, a piezoelectric vibration component includes a piezoelectric vibrating element accommodated in a package being sealed, and when the volume of the piezoelectric vibrating element is Ve and the volume within the package obtained by subtraction of the volume Ve of the piezoelectric vibrator from the volume of the space of the package is Vp Ve/Vp>(Se×M)/{(Sp+Se)×2.72} where Se is the surface area of the piezoelectric vibrating element, Sp is the surface area of the space inside the package when the piezoelectric vibrating element inside the package is absent, and M is the maximum mass of moisture per unit volume [μg/mm3] at the use temperature and 100% relative humidity.
US08330328B2 Ultrasonic motor
An ultrasonic motor includes a vibrator, a mobile unit, a holding mechanism, and a pressing mechanism. The vibrator generates elliptical vibration by degenerating different vibration modes and having a phase difference between the different vibration modes. The mobile unit is configured to be movable relative to the vibrator. The holding mechanism holds and fixes the vibrator in a direction substantially parallel to the direction of the relative movement of the mobile unit. The pressing mechanism presses the vibrator against the mobile unit. The holding mechanism includes an adjustment unit for adjusting the resonant frequencies of the different vibration modes of the vibrator to substantially match each other when the ultrasonic motor is driven.
US08330325B1 Bulk acoustic resonator comprising non-piezoelectric layer
In a representative embodiment, a bulk acoustic wave (BAW) resonator structure comprises: a first electrode disposed over a substrate; a first piezoelectric layer disposed over the first electrode; a second electrode disposed over the first piezoelectric layer, wherein c-axis orientations of crystals of the first piezoelectric layer are substantially aligned with one another; a second piezoelectric layer disposed over the second electrode; a non-piezoelectric layer; and a third electrode disposed over the second piezoelectric layer.
US08330324B2 Integrated PWM slope control driving mechanism for gradually delivering energy to a capacitive load
An apparatus, system and method for controlling drive patterns is disclosed. A digital engine for controlling drive patterns may include a profile controller to program characteristics of one or more drive patterns for one or more piezoelectric actuators. The digital engine may further include a register array to store profile information for the one or more drive patterns. Each drive pattern may comprise a plurality of pulses with each pulse having a slope. The digital engine may also include a digital pattern generator to generate the one or more drive patterns based upon the profile information stored in the register array. The digital engine may further include a slope shaping circuit to modify one or more signals based upon an input from the digital pattern generator.
US08330318B2 Rotating electrical machine and method for manufacturing the same
A rotating electrical machine includes: a stator that has a stator core and a stator coil; and a rotor disposed rotatably on an inner circumferential side of the stator core. The stator core includes a plurality of slots opening on the inner circumferential side and the slots are each formed as an open slot with a width of an inner circumferential-side opening thereof ranging along a circumferential direction set substantially equal to or greater than a width of a bottom side measured along the circumferential direction. The stator further includes a slot insulator disposed between inner wall of each of the slots at the stator core and the stator coil and a holding member constituted with a nonmagnetic material and inserted in each of the slots at the stator core so as to hold the slot insulator between two side surfaces present along the circumferential direction at the slot. The stator is formed by winding the stator coil through the plurality of slots.
US08330313B2 Axially-adjustable magnetic bearing and a method of mounting it
The axially adjustable magnetic bearing comprises an annular body secured to a support, a magnetic bearing stator structure having an annular sheath for supporting electromagnet windings placed concentrically relative to said body, and an annular rotor armature placed concentrically relative to the stator structure, leaving an airgap relative thereto. The annular body includes at least one radial slot associated with clamping means, and includes a set-back portion in its face facing towards the stator structure. The face of the annular body facing towards the stator structure includes a sliding surface that co-operates with a facing portion of said sheath, and the set-back portion of the annular body presents a threaded portion that co-operates with a threaded portion of an adjustment ring engaged in an annular groove formed in the face of the sheath that faces towards the body. The adjustment ring is prevented from moving in the axial direction in said annular groove.
US08330310B2 Spindle motor with bearing housing and base plate having reverse burring part
A spindle motor is disclosed. The spindle motor includes a rotation shaft; a bearing rotatedly supporting the rotation shaft inserted therein; a bearing housing supporting the bearing and coupled to a stator; and a base plate coupled to the bearing housing, wherein the base plate has a reverse burring part projected from an upper part of the base plate along the outer surface of the bearing housing and burred from the upper surface of the bearing housing towards the lower surface opposite to the upper surface to support the outer surface of the bearing housing.
US08330308B2 Motor assembly
A motor assembly comprising a motor unit having a first end and a second end and being couplable adjacent the first end of the motor unit to an external electrical device, the motor unit comprising at least one motor winding extending between the first and second ends and an electrical return path extending from the second end to the first end, the electrical return path being in electrical contact at the first end with an electrical connector for supplying impressed secondary power to the external electrical device, wherein the first end of the motor unit is provided with means for coupling a power source to the motor winding and the second end of the motor unit is provided with means to electrically couple the motor winding to the electrical return path. Also provided is a motor comprising a motor winding having a first end and a second end and an incomplete number of winding turns between the first and second ends, and an electrical return path for passing a current through the motor, the motor winding and the electrical return path being discontinuous.
US08330302B2 Device for the ignition and the start-up of silicon rods
The invention relates to a circuit arrangement for igniting four thin silicon rods in a reactor for producing from the thin silicon rods silicon rods according to the Siemens process and for starting up such reactor. Also described is a method for igniting the thin silicon rods and for starting up, i.e., commencing operation of the reactor.
US08330299B2 DC to DC power converters and methods of controlling the same
A power generation system configured to provide direct current (DC) power to a DC link is described. The system includes a first power generation unit configured to output DC power. The system also includes a first DC to DC converter comprising an input section and an output section. The output section of the first DC to DC converter is coupled in series with the first power generation unit. The first DC to DC converter is configured to process a first portion of the DC power output by the first power generation unit and to provide an unprocessed second portion of the DC power output of the first power generation unit to the output section.
US08330295B2 Uninterruptible power supply and method for selective tripping thereof
UPS performance failure is determined independently by itself. Selective tripping can be done within a shorter time than one cycle of an AC output. A UPS converts a DC voltage to an AC voltage and supplies the AC voltage to a load device. A UPS has a control unit identifying a resistance value of an internal resistance as an internal impedance of the UPS by using an instantaneous value of an internal voltage and current and conducting a failure determination by detecting abnormal fluctuation of the value. It is preferred that an identification of the internal impedance is done through a system identification unit.
US08330291B2 Power generation apparatus
An apparatus including an alternator that is drivable by an engine for producing a first AC electric current, a rectifier in electrical communication with the alternator for producing a DC electric current, an inverter in electrical communication with the rectifier for producing a second AC electric current where the second AC electric current having an acceptable frequency and/or voltage, and the inverter in electrical communication with one or more electric loads responsive to the second AC electric current, and an energy storage device that is able to electrically couple to the alternator, rectifier, and/or inverter.
US08330289B2 Method and system of extracting energy from wind
Extracting energy from wind. At least some of the illustrative embodiments are methods including: directing a fluid flow across an aperture of an elongated cavity, the directing the fluid flow across the aperture at a non-right angle to a central axis defined by an entrance portion of the elongated cavity, and the elongated cavity has a resonant frequency; creating pressure waves within the elongated cavity, the pressure waves created at least in part by vortices within the fluid flow across the aperture; exciting a resonant structure by way of the pressure waves within the elongated cavity, the resonant structure coupled to the elongated cavity and the resonant structure configured to resonant at substantially the resonant frequency; and extracting energy from oscillatory motion of the resonant structure.
US08330284B2 Wireless charging of electronic gaming input devices
Embodiments of the invention provide a unique interactive game that includes multiple dynamic layers in which a participant may complete a variety of challenges and/or tasks. For example, the participant may obtain a toy “wand” from a retail phase that is usable in an interactive entertainment phase. The interactive entertainment phase may include multiple interrelated layers such that progress in one or more layers may affect the participant's experience in one or more other layers. The participant may also receive training on how to use the wand and/or complete one or more special effects, adventures and/or quests. During or following the interactive entertainment phase, the participant may use accumulated points and/or powers to redeem prizes and/or compete against other participants, such as in a duel.
US08330283B2 Underground generating device that is rolled or run over by cars so as to provide a generating effect
An underground generating device includes a housing, a coil assembly mounted in the housing, and a core assembly movably mounted in the housing. The coil assembly includes a coil seat, a plurality of mounting tubes mounted in the coil seat and a plurality of induction coil sets mounted on each of the mounting tubes. The core assembly includes a plurality of cores movably mounted in the mounting tubes, a plurality of magnetic disks mounted on each of the cores, and a driven piece located outside of the housing and connected with the cores. Thus, when the driven piece is pressed intermittently, the magnetic disks are moved to pass through the induction coil sets reciprocally to change the magnetic field between the magnetic disks and the induction coil sets so that the induction coil sets produce an induction current to provide a generating effect.
US08330281B2 Overlay marks, methods of overlay mark design and methods of overlay measurements
An overlay mark for determining the relative shift between two or more successive layers of a substrate is disclosed. The overlay mark includes at least one test pattern for determining the relative shift between a first and a second layer of the substrate in a first direction. The test pattern includes a first set of working zones and a second set of working zones. The first set of working zones are disposed on a first layer of the substrate and have at least two working zones diagonally opposed and spatially offset relative to one another. The second set of working zones are disposed on a second layer of the substrate and have at least two working zones diagonally opposed and spatially offset relative to one another. The first set of working zones are generally angled relative to the second set of working zones thus forming an “X” shaped test pattern.
US08330277B2 Semiconductor element built-in device
A semiconductor element built-in device includes: a first substrate having a first pad thereon; a semiconductor element on the first substrate; a second substrate having a second pad thereon and mounted on the first substrate via a solder terminal having a solder coated thereon; a resin layer provided between the first substrate and the second substrate such that the solder terminal and the semiconductor element are embedded in the resin layer; and a dam provided at least partially around at least one of the first and second pads, the dam being configured to restrain the solder flowing from the solder terminal.
US08330275B2 Interconnect structure for semiconductor devices
A cap layer for a copper interconnect structure formed in a first dielectric layer is provided. In an embodiment, a conductive layer is located within a dielectric layer and a top surface of the conductive layer has either a recess, a convex surface, or is planar. An alloy layer overlies the conductive layer and is a silicide alloy having a first material from the conductive layer and a second material of germanium, arsenic, tungsten, or gallium.
US08330272B2 Microelectronic packages with dual or multiple-etched flip-chip connectors
A packaged microelectronic element includes a microelectronic element having a front surface and a plurality of first solid metal posts extending away from the front surface. A substrate has a major surface and a plurality of conductive elements exposed at the major surface and joined to the first solid metal posts. In particular examples, the conductive elements can be bond pads or can be second posts having top surfaces and edge surfaces extending at substantial angles away therefrom. Each first solid metal post includes a base region adjacent the microelectronic element and a tip region remote from the microelectronic element, the base region and tip region having respective concave circumferential surfaces. Each first solid metal post has a horizontal dimension which is a first function of vertical location in the base region and which is a second function of vertical location in the tip region.
US08330270B1 Integrated circuit package having a plurality of spaced apart pad portions
An integrated circuit package having a selectively etched leadframe strip defining a die attach pad and a plurality of contact pads, at least one side of the die attach pad having a plurality of spaced apart pad portions; a semiconductor die mounted to the die attach pad and wires bonding the semiconductor die to respective ones of the contact pads; a first surface of the leadframe strip, including the semiconductor die and wire bonds, encapsulated in a molding material such that at least one surface of the leadframe strip is exposed, and wherein solder paste is disposed on said contact pads and said at least one side of said die attach pad.
US08330268B2 Semiconductor package and manufacturing method thereof
A semiconductor package includes a semiconductor substrate having a semiconductor device arranged on one surface thereof; a cap substrate having one surface that opposes the one surface of the semiconductor substrate via a gap; a spacer that is arranged between the one surface of the semiconductor substrate and the one surface of the cap substrate, and that joins the semiconductor substrate and the cap substrate; and a filter that is provided on the cap substrate so as to overlap with the semiconductor device without overlapping with the spacer. The semiconductor package and method of manufacture can suppress exfoliation of the filter caused by chipping during the dicing step.
US08330267B2 Semiconductor package
A semiconductor package includes a patterned metal foil, a chip, wires, a patterned dielectric layer, an adhesive layer, and a molding compound. The patterned metal foil has a first surface and a second surface opposite thereto. The patterned dielectric layer is disposed on the second surface and has openings exposing at least a portion of the patterned metal foil to form joints for external electrical connection. The chip is disposed on the first surface. The adhesive layer is disposed between the chip and the patterned metal foil. The wires respectively connect the chip and the patterned metal foil. The patterned dielectric layer is located below intersections between the wires and the patterned metal foil. The patterned dielectric layer, the wires, and the patterned metal foil overlap with one another on a plane. The molding compound is disposed on the first surface and covers the chip and the wires.
US08330266B2 Semiconductor device
A semiconductor device includes: a first semiconductor device including an interconnect substrate having a cavity structure and a semiconductor element mounted on a bottom part of the cavity structure; and a second semiconductor device provided on and connected to the first semiconductor device via connection terminals. A sealing material is provided between the first semiconductor device and the second semiconductor device. A sloped portion is formed, at a corner portion at which the bottom part and a side wall of the cavity structure in the first semiconductor device meets, to be sloped toward a center part of the cavity structure and have a tapered shape which becomes continuously wider in the direction from an upper part to a lower part.
US08330265B2 RF transistor packages with internal stability network and methods of forming RF transistor packages with internal stability networks
A packaged RF transistor device includes an RF transistor die including a plurality of RF transistor cells. Each of the plurality of RF transistor cells includes a control terminal and an output terminal. The RF transistor device further includes an RF input lead, and an input matching network coupled between the RF input lead and the RF transistor die. The input matching network includes a plurality of capacitors having respective input terminals. The input terminals of the capacitors are coupled to the control terminals of respective ones of the RF transistor cells.
US08330263B2 Semiconductor device
Various embodiments of the present invention include a semiconductor device and a fabrication method therefor, the semiconductor device including a first semiconductor chip disposed on a substrate, a first sealing resin sealing the first semiconductor chip, a built-in semiconductor device disposed on the first sealing resin, and a second sealing resin sealing the first sealing resin and the built-in semiconductor device and covering a side surface of the substrate. According to an aspect of the present invention, it is possible to provide a high-quality semiconductor device and a fabrication method therefor, in which downsizing and cost reduction can be realized.
US08330259B2 Antenna in package with reduced electromagnetic interaction with on chip elements
A IC package for a wireless device includes an antenna that is attached to the chip. The electrically conductive elements of the antenna are spaced away from the antenna and particularly the endpoint of the antenna to prevent interference with the antenna. An element on the IC package may be shielded antenna. The antenna may have the shape of a space-filling curve, including a Hilbert, box-counting or grid dimension curve.
US08330258B2 System and method for improving solder joint reliability in an integrated circuit package
A system and method is disclosed for improving solder joint reliability in an integrated circuit package. Each terminal of a quad, flat, non-leaded integrated circuit package is formed having portions that define a solder slot in the bottom surface of the terminal. An external surface of the die pad of the integrated circuit package is also formed having portions that define a plurality of solder slots on the periphery of the die pad. When solder is applied to the die pad and to the terminals, the solder that fills the solder slots increases the solder joint reliability of the integrated circuit package.
US08330252B2 Integrated circuit device and method for the production thereof
An integrated circuit device includes a semiconductor chip and a control chip at different supply potentials. A lead chip island includes an electrically conductive partial region and an insulation layer. The semiconductor chip is arranged on the electrically conductive partial region of the lead chip island and the control chip is cohesively fixed on the insulation layer.
US08330251B2 Semiconductor device structure for reducing mismatch effects
An integrated circuit chip includes a first electronic device, a second electronic device, and a common electrode feature. The first electronic device includes a first feature. The first electronic device has a first footprint area in a given layer. The second electronic device includes a second feature. The second electronic device has a second footprint area in the given layer. The first and second electronic devices are electrically matched. The common electrode feature is common to the first and second electronic devices. The common electrode is at least partially located in the given layer. More than a majority of the first footprint area overlaps with the second footprint area. A first spacing between the first feature and the common electrode feature is about the same as a second spacing between the second feature and the common electrode feature.
US08330247B2 Semiconductor arrangement with trench capacitor and method for production thereof
The invention relates to a semiconductor arrangement and method for production thereof, wherein the semiconductor arrangement is provided with an integrated circuit arranged on a substrate. The integrated circuit is structured on the front face of the substrate and at least one capacitor is connected to the integrated circuit, wherein the at least one capacitor is designed as a monolithic deep structure in trenches. The trenches are arranged in at least one first group and at least one second group, the trenches of a group running essentially parallel to each other and the first and second group are at an angle to each other, essentially at right angles to each other.
US08330246B2 Intermediate structures for forming circuits
In order to form a more stable silicon pillar which can be used for the formation of vertical transistors in DRAM cells, a multi-step masking process is used. In a preferred embodiment, an oxide layer and a nitride layer are used as masks to define trenches, pillars, and active areas in a substrate. Preferably, two substrate etch processes use the masks to form three levels of bulk silicon.
US08330238B2 Microscopic structure packaging method and device with packaged microscopic structure
A method of packaging a micro electro-mechanical structure comprises forming said structure on a substrate; depositing a sacrificial layer over said structure; patterning the sacrificial layer; depositing a SIPOS (semi-insulating polycrystalline silicon) layer over the patterned sacrificial layer; treating the SIPOS layer with an etchant to convert the SIPOS layer into a porous SIPOS layer, removing the patterned sacrificial layer through the porous layer SIPOS to form a cavity including said structure; and sealing the porous SIPOS layer. A device including such a packaged micro electro-mechanical structure is also disclosed.
US08330232B2 Nonvolatile memory device and method of forming the same
A multi-bit memory cell includes a substrate; a multi-bit charge-trapping cell over the substrate, the multi-bit charge-trapping cell having a first lateral side and a second lateral side; a source region in the substrate, a portion of the source region being under the first side of the multi-bit charge-trapping cell; a drain region in the substrate, a portion of the drain region being under the second side of the multi-bit charge-trapping cell; and a channel region in the substrate between the source region and the drain region. The channel region has one of a p-type doping and an n-type doping, and the doping is configured to provide a highest doping concentration near the central portion of the channel region.
US08330231B2 Transistor having gate dielectric protection and structure
A transistor structure is formed by providing a semiconductor substrate and providing a gate above the semiconductor substrate. The gate is separated from the semiconductor substrate by a gate insulating layer. A source and a drain are provided adjacent the gate to define a transistor channel underlying the gate and separated from the gate by the gate insulating layer. A barrier layer is formed by applying nitrogen or carbon on opposing outer vertical sides of the transistor channel between the transistor channel and each of the source and the drain. In each of the nitrogen and the carbon embodiments, the vertical channel barrier retards diffusion of the source/drain dopant species into the transistor channel. There are methods for forming the transistor structure.
US08330227B2 Integrated semiconductor structure for SRAM and fabrication methods thereof
A SRAM device with metal gate transistors is provided. The SRAM device includes a PMOS structure and an NMOS structure over a substrate. Each of the PMOS and the NMOS structure includes a p-type metallic work function layer and an n-type metallic work function layer. The p-type work metallic function layer and the n-type metallic work function layer form a combined work function for the PMOS and the NMOS structures.
US08330224B2 Integrated MEMS and ESD protection devices
An electronic apparatus is provided that has a core, an electronic circuit in the core and a lid. An ESD protection device is in the lid. The ESD protection device is coupled to the electronic circuit.
US08330223B2 Bipolar transistor
A bipolar transistor has a collector having a base layer provided thereon and a shallow trench isolation structure formed therein. A base poly layer is provided on the shallow trench isolation structure. The shallow trench isolation structure defines a step such that a surface of the collector projects from the shallow trench isolation structure adjacent the collector.
US08330215B2 Transistor including bulb-type recess channel and method for fabricating the same
A method for fabricating a transistor including a bulb-type recess channel includes forming a bulb-type recess pattern in a substrate, forming a gate insulating layer over the substrate and the bulb-type recess pattern, forming a first gate conductive layer over the gate insulating layer, forming a void movement blocking layer over the first gate conductive layer in the bulb-type recess pattern, and forming a second gate conductive layer over the void movement blocking layer and the first gate conductive layer.
US08330211B2 Semiconductor device with vertical channel transistor and low sheet resistance and method for fabricating the same
A memory device includes a substrate, a plurality of wordlines arranged over the substrate, a plurality of pillars formed over the substrate between the wordlines, a gate electrode surrounding external walls of the pillars to be connected to the wordlines, and an insulation layer for insulating one sidewall of each wordline from the gate electrode.
US08330209B2 HTO offset and BL trench process for memory device to improve device performance
Memory devices having an increased effective channel length and/or improved TPD characteristics, and methods of making the memory devices are provided. The memory devices contain two or more memory cells on a semiconductor substrate and bit line dielectrics between the memory cells. The bit line dielectrics can extend into the semiconductor. The memory cell contains a charge trapping dielectric stack, a poly gate, a pair of pocket implant regions, and a pair of bit lines. The bit line can be formed by an implant process at a higher energy level and/or a higher concentration of dopants without suffering device short channel roll off issues because spacers at bit line sidewalls constrain the implant in narrower implant regions.
US08330198B2 Device for preventing current-leakage
A device for preventing current-leakage is located between a transistor and a capacitor of a memory cell. The two terminals of the device for preventing current-leakage are respectively connected with a slave terminal of the transistor and an electric pole of the capacitor. The device for preventing current-leakage has at least two p-n junctions. The device for preventing current-leakage is a lateral silicon controlled rectifier, a diode for alternating current, or a silicon controlled rectifier. By utilizing the driving characteristic of the device for preventing current-leakage, electric charge stored in the capacitor hardly passes through the device for preventing current-leakage when the transistor is turned off to improve the current-leakage problem.
US08330193B2 Silicon oxide film, production method therefor and semiconductor device having gate insulation film using the same
The present invention provides a high-performance silicon oxide film as a gate insulation film and a semiconductor device having superior electric characteristics. The silicon oxide film according to the present invention includes CO2 in the film, wherein, when an integrated intensity of a peak is expressed by (peak width at half height)×(peak height) in an infrared absorption spectrum, the integrated intensity of a CO2-attributed peak which appears in the vicinity of a wave number of 2,340 cm−1 is 8E-4 times or more with respect to the integrated intensity of an SiO2-attributed peak which appears in the vicinity of a wave number of 1,060 cm−1.
US08330191B2 Intrusion protection using stress changes
The invention relates to a integrated circuit comprising an electronic circuit integrated on a substrate (5), and further comprising protections means for protection of the electronic circuit (25). The protection means comprise: i) a first strained encapsulation layer (10) being provided on a first side of the substrate (5), wherein the first strained encapsulation layer (10) has a strain (S1) in a direction parallel to the substrate (5), and ii) disabling means (20) arranged for at least partially disabling the electronic circuit (25) under control of a strain change in the substrate (5). The invention further relates to a method of manufacturing such integrated circuit, and to a system comprising such integrated circuit. Such system is selected from a group comprising: a bank-card, a smart-card, a contact-less card and an RFID. All embodiments of the integrated circuit in accordance with the invention provide essentially an alternative tamper protection to the data stored or present in the electronic circuit therein. A first main group of embodiments concerns an integrated circuit wherein tamper protection is obtained by detecting a strain change during tampering and subsequently disabling the electronic circuit. A second main group of embodiments concerns an integrated circuit wherein tamper protection is obtained by designing a stack of strained encapsulation layers, such that tampering causes releasing of strain and thereby mechanical disintegrate (break, delaminate, etc) of the integrated circuit, and thus disabling the electronic circuit.
US08330185B2 Semiconductor device having semiconductor substrate including diode region and IGBT region
A semiconductor device, including a semiconductor substrate in which a diode region and an IGBT region are formed, is provided. A lifetime control region is formed within a diode drift region. The diode drift region and the IGBT drift region are a continuous region across a boundary region between the diode region and the IGBT region. A first separation region and a second separation region are formed within the boundary region. The first separation region is formed of a p-type semiconductor, formed in a range extending from an upper surface of the semiconductor substrate to a position deeper than both of a lower end of an anode region and a lower end of a body region, and bordering with the anode region. The second separation region is formed of a p-type semiconductor, formed in a range extending from the upper surface of the semiconductor substrate to a position deeper than both of the lower end of the anode region and the lower end of the body region, and bordering with the body region. The second separation region is separated from the first separation region.
US08330181B2 Semiconductor light-emitting device
A semiconductor light-emitting device capable of increasing an amount of light irradiated to the outside is provided.A semiconductor light-emitting device (1) includes a substrate (2), an n-type semiconductor layer (3), a light-emitting layer (4), a p-type semiconductor layer (5), an n-side pad electrode (6), an n-side pad electrode (7), a p-side electrode (8), a reflecting layer (9), and a p-side pad electrode (10). The n-side pad electrode (7) is electrically connected to the n-type semiconductor layer (3) via the n-side pad electrode (6). The p-side pad electrode (10) is electrically connected to the p-type semiconductor layer (5) via the p-side electrode (8). A connection surface (7a) of the n-side pad electrode (7) connected to the n-type semiconductor layer (3) is arranged in a first area (Ar1) closer to a short side (2b) on an arrow B direction-side, and a connection surface (10a) of the p-side pad electrode (10) connected to the p-type semiconductor layer (5) is arranged in a fourth area (Ar4) closest to a short side (2b) on an arrow A direction-side among the first area (Ar1) to the fourth area (Ar4) formed by equally dividing the substrate (2) into four.
US08330177B2 Display device
A display device is provided with a pair of a first electrode and a second electrode, at least one electrode of the first and second electrodes being transparent or translucent and a phosphor layer provided as being sandwiched between the first electrode and the second electrode, and at least one buffer layer provided as being sandwiched between the first or second electrode and the phosphor layer, and the phosphor layer has a plurality of pixel regions that are selectively allowed to emit light in a predetermined range thereof and non-pixel regions that divide at least one portion of the pixel regions, and wherein the buffer layer is sandwiched between the first or second electrode and the phosphor layer so that the size of an electric potential barrier between the first or second electrode and the phosphor layer via the buffer layer is made smaller than the size of a Schottky barrier between the first or second electrode and the phosphor layer that are directly made contact with each other without the buffer layer therebetween.
US08330174B2 LED having current spreading layer
An LED having a radiation-emitting active layer (7), an n-type contact (10), a p-type contact (9) and a current spreading layer (4) is specified. The current spreading layer (4) is arranged between the active layer (7) and the n-type contact (10). Furthermore, the current spreading layer (4) has a multiply repeating layer sequence having at least one n-doped layer (44), an undoped layer (42) and a layer composed of AlxGa1-xN (43), where 0≦x≦1. The layer composed of AlxGa1-xN (43) has a concentration gradient of the Al content.
US08330167B2 GaN-based field effect transistor and method of manufacturing the same
A GaN-based field effect transistor 101 comprises: a substrate 101; a channel layer 104 comprised of p-type GaN-based semiconductor material formed on the substrate 101; an electron supplying layer 106 formed on said channel layer 104 and comprised of GaN-based semiconductor material which has band gap energy greater than that of said channel layer 104; a gate insulating film 111 formed on a surface of said channel layer which was exposed after a part of said electron supplying layer was removed; a gate electrode 112 formed on said gate insulating film; a source electrode 109 and a drain electrode 110 formed so that said gate electrode 112 positions in between them; and a second insulating film 113 formed on said electron supplying layer, which is a different insulating film from said gate insulating film 111 and has electron collapse decreasing effect.
US08330166B2 Thin-film semiconductor device for display apparatus thereof and manufacturing method thereof
A thin-film semiconductor device includes, in order, a substrate, a gate electrode, a gate insulating film, a first channel layer, and a second channel layer. The second channel layer includes a protrusion between first top surface end portions. The protrusion has first lateral surfaces that each extend between one of the first top surface end portions and a top surface of the protrusion. An insulation layer is on the top surface of the protrusion. The insulation layer has second lateral surfaces that each extend to one of second top surface end portions of the insulation layer. Two contact layers are each on one of the second top surface end portions of the insulation layer, adjacent one of the second lateral surfaces of the insulation layer, adjacent one of the first lateral surfaces of the protrusion, and on one of the first top surface end portions of the second channel layer. A source electrode is on one of the two contact layers, and a drain electrode is on the other of the two contact layers. The two contact layers and the upper portion of the protrusion of said second channel layer are of opposite conductivity types.
US08330163B2 Active device array mother substrate and method of fabricating display panel
An active device array mother substrate including a substrate, pixel arrays, and a polymer-stabilized alignment curing circuit is provided. The substrate has panel regions, a circuit region, a first cutting line, and a second cutting line. The first cutting line is disposed on the circuit region between an edge of the substrate and the second cutting line. The active devices of the pixel arrays have a semiconductor layer. The polymer-stabilized alignment curing circuit disposed on the circuit region includes curing pads disposed between the edge of the substrate and the first cutting line and curing lines having an upper conductive layer connected to the corresponding curing pads and the corresponding pixel array. The upper conductive layer is in the same layer as the source/drain conductor. Therefore, the curing lines are capable of preventing problems such as peeling, so as to keep the polymer-stabilized alignment curing circuit operating normally.
US08330157B2 Manufacturing method of semiconductor device and semiconductor device
A method of manufacturing a semiconductor device includes steps of forming a gate electrode over a light-transmitting substrate, forming a gate insulating layer containing an inorganic material over the gate electrode and the substrate, forming an organic layer containing a photopolymerizable reactive group over the gate insulating layer, polymerizing selectively the organic layer by irradiating the organic layer with light from back side of the substrate, using the gate electrode as a mask, forming an organic polymer layer by removing a residue of the organic layer, being other than polymerized, forming an organosilane film including a hydrolytic group over the gate insulating layer in a region other than a region in which the organic polymer layer is formed, forming source and drain electrodes by applying a composition containing a conductive material over the organic polymer layer, and forming a semiconductor layer over the gate electrode, the source and drain electrodes.
US08330156B2 Thin film transistor with a plurality of oxide clusters over the gate insulating layer
In a thin film transistor including an oxide semiconductor, an oxide cluster having higher electrical conductance than the oxide semiconductor layer is formed between the oxide semiconductor layer and a gate insulating layer, whereby field effect mobility of the thin film transistor can be increased and increase of off current can be suppressed.
US08330155B2 Semiconductor devices having channel layer patterns on a gate insulation layer
Semiconductor devices include a gate electrode, a gate insulation layer, a first channel layer pattern, a second channel layer pattern and first and second metallic patterns. The gate electrode is on a substrate. The gate insulation layer is on the gate electrode. The first channel layer pattern is on the gate insulation layer, and has a first conductivity level. The second channel layer pattern is on the first channel layer pattern, and has a second conductivity level that is lower than the first conductivity level. The first and second metallic patterns are on the gate insulation layer and contact respective sidewalls of the first and second channel layer patterns.
US08330154B2 Piezoelectric and semiconducting coupled nanogenerators
An electrical generator includes a substrate, a semiconductor piezoelectric structure having a first end and an opposite second end disposed adjacent to the substrate, a first conductive contact and a second conductive contact. The structure bends when a force is applied adjacent to the first end, thereby causing an electrical potential difference to exist between a first side and a second side of the structure. The first conductive contact is in electrical communication with the first end and includes a material that creates a Schottky barrier between a portion of the first end of the structure and the first conductive contact. The first conductive contact is also disposed relative to the structure in a position so that the Schottky barrier is forward biased when the structure is deformed, thereby allowing current to flow from the first conductive contact into the first end.
US08330151B2 Organic electroluminescent element
The organic electroluminescent element of the present invention includes at least a pair of electrodes, at least one organic light-emitting layer between the pair of electrodes, and at least one lens A on a light-extracting surface of the pair of electrodes, wherein one of the pair of electrodes is a reflective electrode, and the other is a semi-transmissive/semi-reflective electrode, and wherein a real part n1 of a refractive index of the organic light-emitting layer and a real part n2 of a refractive index of the lens A satisfy the relationship n1>n2, and the real part n2 is represented by Expression (1) described below: n2≦n3 sin θ2  Expression (1).
US08330147B2 Organic thin film transistor and organic thin film light emitting transistor having organic semiconductor compound with divalent aromatic hydrocarbon group and divalent aromatic heterocyclic group
An organic thin film transistor including a substrate having thereon at least three terminals of a gate electrode, a source electrode and a drain electrode, an insulator layer and an organic semiconductor layer, with a current between a source and a drain being controlled upon application of a voltage to the gate electrode, wherein the organic semiconductor layer includes a specified organic compound having an aromatic heterocyclic group in the center thereof; and an organic thin film light emitting transistor utilizing an organic thin film transistor, wherein the organic thin film transistor is one in which light emission is obtained utilizing a current flowing between the source and the drain, and the light emission is controlled upon application of a voltage to the gate electrode, and is made high with respect to the response speed and has a large ON/OFF ratio, are provided.
US08330141B2 Light-emitting device
A light-emitting device includes an n-type silicon thin film (2), a silicon thin film (3), and a p-type silicon thin film (4). The silicon thin film (3) is formed on the n-type silicon thin film (2) and the p-type silicon thin film (4) is formed on the silicon thin film (3). The n-type silicon thin film (2), the silicon thin film (3), and the p-type silicon thin film (4) form a pin junction. The n-type silicon thin film (2) includes a plurality of quantum dots (21) composed of n-type Si. The silicon thin film (3) includes a plurality of quantum dots (31) composed of p-type Si. The p-type silicon thin film (4) includes a plurality of quantum dots (41) composed of p-type Si. Electrons are injected from the n-type silicon thin film (2) side and holes are injected from the p-type silicon thin film (4) side, whereby light is emitted at a silicon nitride film (3).
US08330139B2 Multi-level memory cell
Some embodiments include a memory device and methods of forming the same. The memory device can include an electrode coupled to a memory element. The electrode can include different materials located at different portions of the electrode. The materials can create different dielectrics contacting the memory elements at different locations. Various states of the materials in the memory device can be used to represent stored information. Other embodiments are described.
US08330133B2 Support frame for radiation shield garment and methods of use thereof
Embodiments of the invention are directed to a support frame for alleviating the weight and stress inflicted upon the shoulders and neck of individual caused by wearing a radiation shield garment and methods of use thereof. In one embodiment, the support frame comprises an elongated upper vertical back members slidably coupled to a lower vertical back member to provide vertical height adjustment; a lower back support panel coupled to the lower vertical back member to provide lower back support to the wearer; and a pair of shoulder members attached to the upper top end of the elongated vertical back member to support shoulder regions of the radiation shield garment.
US08330127B2 Flexible ion source
Liner elements to protect the ion source housing and also increase the power efficiency of the ion source are disclosed. Two liner elements, preferably constructed from tungsten, are inserted into the ion source chamber, one placed against each of the two sidewalls. These inserts are electrically biased so as to induce an electrical field that is perpendicular to the applied magnetic field. Such an arrangement has been unexpectedly found to increase the life of not only the ion chamber housing, but also the indirectly heated cathode (IHC) and the repeller. In addition, the use of these biased liner elements also improved the power efficiency of the ion source; allowing more ions to be generated at a given power level, or an equal number of ions to be generated at a lower power level.
US08330126B2 Race track configuration and method for wafering silicon solar substrates
A system for manufacturing free-standing films from work pieces. The system includes a racetrack structure being configured to transfer at least one work piece and one or more accelerator-based ion implanters coupled to the racetrack structure via an end station. Each of the accelerator-based ion implanters is configured to introduce particles having an energy of greater than 1 MeV to implant into a surface of the work piece loaded in the end station to form a cleave region in the work piece. The system includes one or more cleave modules coupled to the racetrack structure configured to perform a cleave process to release a free-standing film from the work piece along the cleave region. Additionally, the system includes an output port coupled to each cleave module to output the free standing film detached from the work piece and one or more service modules each connected to the racetrack structure.
US08330123B2 System and method for digital parallel frequency fluorometry
A system and method is provided for improved fluorescence decay time measurement. A digital heterodyning technique is disclosed in which a photon detector is sampled at a rate slightly faster than a digitally pulsed excitation signal. A resulting cross correlation frequency is low enough to be read by inexpensive electronics such as by a field programmable gate array. Phase information in the signal provides correlation with corresponding photon detections.
US08330121B2 Dynamic display and control of UV source for sanitization in mobile devices
A mobile sanitizer movable across a surface to sanitize the surface. The sanitizer may comprise an ultraviolet light source that illuminates the surface and a microprocessor control. The control may adjust the light source intensity in response to a speed of the movement of the light source relative to the surface. And, for instance, the control may drive a display indicating how movement of the device relates to sanitization settings input by a user.
US08330119B2 On-line and off-line coupling of EC with DESI-MS
An apparatus for direct analysis of the redox products, or intermediates, of an electrochemical reaction by coupling an electrochemical cell to desorption electrospray ionization mass spectrometry.
US08330117B1 Integrated circuit sample preparation for alpha emission measurements
Test samples for use in conducting integrated circuit alpha particle emissions testing, processes for preparing test samples for use in conducting integrated circuit alpha particle emissions testing, and processes for conducting integrated circuit alpha particle emissions testing using the test samples, are described. The approach takes into account the effects of the relative physical positions of the respective components within a final integrated circuit package, and takes into account the effect of contamination of individual components or of the integrated circuit package as a whole due to conditions and/or processes performed during the production process. The described approach relates to test sample preparation and integrated circuit alpha particle emissions testing for integrated circuits in which the alpha particle emission levels are extremely low, i.e., in the ultra low alpha region, for example, alpha particle emissions less than 0.002 cph/cm2.
US08330115B2 High performance neutron detector with near zero gamma cross talk
A scintillator system is provided to detect the presence of fissile material and radioactive material. One or more neutron detectors include scintillator material, and are optically coupled to one or more wavelength shifting fiber optic light guide media that extend from the scintillator material to guide light from the scintillator material to a photosensor. An electrical output of the photosensor is connected to an input of a pre-amp circuit designed to provide an optimum pulse shape for each of neutron pulses and gamma pulses in the detector signals. Scintillator material as neutron detector elements can be spatially distributed with interposed moderator material. Individual neutron detectors can be spatially distributed with interposed moderator material. Detectors and moderators can be arranged in a V-shape or a corrugated configuration.
US08330114B2 Systems for increasing the sensitivity of gamma-ray imagers
Systems that increase the position resolution and granularity of double sided segmented semiconductor detectors are provided. These systems increase the imaging resolution capability of such detectors, either used as Compton cameras, or as position sensitive radiation detectors in imagers such as SPECT, PET, coded apertures, multi-pinhole imagers, or other spatial or temporal modulated imagers.
US08330112B2 Timing response improvement in light-sharing detectors
A method for improving timing response in light-sharing scintillation detectors is disclosed. The method includes detecting an event, by a plurality of photo sensors, from a scintillation crystal. The method then includes sampling and digitizing the photo sensor outputs by an analog-to-digital converter. Then the method includes correcting associated timing data, by a processor, for each of the photo sensor outputs based on a lookup table. The method then includes selectively time shifting the photo sensor outputs based on the lookup table to generate corrected photo sensor outputs. The method then includes summing the corrected photo sensor outputs by the processor. The method then includes generating an event time, by the processor, for the detected event based on the sum of the corrected photo sensor outputs.
US08330107B2 Gas sensor and process for measuring moisture and carbon dioxide concentration
An TDLS gas sensor with a measuring pick-up to be arranged outside of the interior chamber of an incubator or a climate chamber of similar design, and with an absorption pick-up to be arranged inside the interior chamber, and also with a window separating the measuring area and absorption area for the atmospheric separation of the laser diode from the interior chamber of the incubator, with the window being arranged at an angle to the axis of the laser beam emitted by a laser diode, and with the optronic components being arranged in a block of material in the measuring pick-up, said block being made of thermally well-conducting material and serving as heat sink, and with a heating system for the window in the measuring pick-up. In addition, a process for measuring the moisture and the carbon dioxide concentration.
US08330104B2 Pattern measurement apparatus and pattern measurement method
A pattern measurement apparatus includes a beam intensity distribution creation unit to scan a charged particle beam over a reference pattern having edge portions formed at a right angle to create a line profile of the reference pattern and thus create a reference-beam intensity distribution, an edge width detection unit to determine line profiles for pattern models including edges formed at various inclination angles by use of the reference-beam intensity distribution and calculate edge widths reflecting an influence of a width of a reference beam, and a correspondence table creation unit to calculate correction values for edge positions from the calculated edge widths and the pattern models and create a correspondence table in which the edge widths and the correction values are associated with one another.
US08330102B2 Method and device for visualizing distribution of local electric field
A method which visualizes the distribution of a local electric field formed near a sample 2 is disclosed. A primary electron beam 1 which passes through the local electric field formed near the sample 2 is deflected by the local electric field, secondary electrons which are generated and emitted from a detection element provided downstream of an orbit of the deflected primary electron beam 1 are detected by a secondary electron detector 6, and an image formed based on the detected signal and a scanning electron beam image obtained by scanning the sample 2 are synthesized thus visualizing the distribution of the local electric field in multiple tones. Due to such an operation, it is possible to provide a method for visualizing the distribution of a local electric field in which the distribution of a local electric field can be obtained in multiple tone and in real time by performing image scanning one time using a usual electron beam scanning optical system.
US08330099B2 Mass spectrometer and mass analyzer comprising pulser
A mass analyzer comprises a pair of planar electrode structures. The electrode structures are disposed opposite one another, parallel to one another, and axially offset from one another. One of the pair of planar electrodes comprises an opening. The mass analyzer comprises an ion mirror disposed between the pair of planar electrodes. A mass spectrometer and a mass spectrometry method are also described.
US08330097B2 Angular correction method for rotary encoder
An angular correction method is provided for a rotary encoder in which angular skip does not occur in determining an angle at a position of switching a combination of successive angular graduation images used in interpolation calculation. An angular correction quantity Ci includes (1) a residual ri between a position computed from a model and an actually read position P, for each angular graduation image position and (2) a tangential slope f′(xi) of residual curve, r=f(x), determined by fitting the residuals to a polynomial f(x) which is a function of angular graduation image number x. The actually-read position P is corrected as P+Ci to perform interpolation calculation determining an angle without angular skip.
US08330095B2 Safety photoelectric switch
There is provided an optical scanning type photoelectric switch capable of preventing interference with another photoelectric switch by use of its own capability, wherein, as for light projection pulse periods of the first and second optical scanning type photoelectric switches, the period is set to 30 μs in the first optical scanning type photoelectric switch while the period is set to 33 μs in the second optical scanning type photoelectric switch 1B, the light projection pulses have the same pulse width, and by setting the light projection periods different between the first and second optical scanning type photoelectric switches, even if mutual interference occurs between any optical axes, a phase difference of 36 degrees in rotation period is generated therebetween in a next scan, thereby preventing occurrence of the interference in succession in a plurality of times of scanning.
US08330094B2 Optical sensing module and optical mouse with the same
In an optical mouse, an optical sensing module includes a printed circuit board and a packaging body. The printed circuit board has an upper surface and a lower surface on opposite sides. The packaging body including a compound, an optical sensing die and a lead frame is disposed on the lower surface. The compound has a transparent surface. The optical sensing die used for receiving light is located inner the compound and has an optical sensing surface facing the transparent surface of the compound. The lead frame with a shoulder portion is electrically connected to the optical sensing die. The shoulder portion extends out from the compound along a direction that is parallel to the optical sensing surface of the optical sensing die. The shoulder portion is fixed on the lower surface. The optical sensing module may be used in an optical mouse. In the above optical sensing module, since the packaging body is positioned on the lower surface of the printed circuit board and the shoulder portion is fixed thereon, a preciseness of assembling the above optical sensing module can be easily improved.
US08330091B2 Image sensor formed by silicon rich oxide material
An image sensor includes a light-sensing element, a first transistor, and a second transistor. The light-sensing element has a first end and a second end electrically connected to a select line. The first transistor has a first end electrically connected to a first control line, a control end electrically connected to the first end, and a second end electrically connected to the first end of the light-sensing element. The second transistor has a first end electrically connected to a voltage source, a control end electrically connected to the first end of the light-sensing element, and a second end electrically connected to an output line. The light-sensing element uses the material of silicon rich oxide so that the light-sensing element can sense the luminance variance and have the characteristic of the capacitor for the level boost.
US08330084B2 Temperature-control circuit of a heating line and a temperature-control method thereof
A temperature-control circuit of a heating line and a temperature-control method thereof are disclosed. The method comprises steps of: outputting a forward square-wave signal by a first forward square-wave signal generation circuit; outputting a reverse square-wave signal by a reverse square-wave signal generation circuit; and outputting a varied forward square-wave signal by a second forward square-wave signal generation circuit. Above square-wave signal generation circuits are respectively connected with an AND gate. When the input square-wave signals are simultaneously logic high, a switch is triggered by a trigger circuit to heat the heating wire. When the heating wire's temperature increases, the forward square-wave signal output by the second forward square-wave signal generation circuit is changed so as to render these input square-wave signals non-simultaneously logic high and not to trigger the switch in order to stop the heating wire's heating and keep the heating wire at a certain temperature range.
US08330079B2 Steering wheel
A steering wheel including a rim, first heating members, second heating members, and a control section is provided. The rim has leather-covered portions and wood-grain portions. The surface of the leather-covered portions has a thermal conductivity different from the thermal conductivity of the surface of the wood-grain portions. Each first heating member is provided inside of the surface of the corresponding leather-covered portion. When being energized, each first heating member adjusts the surface temperature of the corresponding leather-covered portion. Each second heating member is provided inside of the surface of the corresponding wood-grain portion. When being energized, each second heating member adjusts the surface temperature of the corresponding wood-grain portion. The control section controls the energization of the first heating members and the energization of the second heating members independently from each other.
US08330073B2 Method and device for laser ablation of a surface coating from a wall, such as a coat of paint in a nuclear plant
The invention concerns a method for laser ablation of a surface coating from a wall, such as a painted wall finish, for example in a nuclear plant to be decontaminated, and a device for implementing said method. The inventive ablation method includes sweeping shots on the coating of at least one pulsed laser beam with a laser beam quality factor M2 less than 20, and characterized in that it comprises a direct control of said shots by optical deflection, such that the impact zones (I1, I2, I3,) of said shots on said coating are disjointed or substantially adjacent with minimized overlapping.
US08330068B2 Electrical switchgear unit comprising a complementary electrical function
The present invention relates to an electrical switchgear unit designed to be fixed onto a fixing support and comprising at least one electrical switching module and at least one module housing a complementary electrical function, at least one of the complementary modules being situated next to at least one of the above-mentioned switching modules, the or each switching module comprising an arc extinguishing chamber. This unit is characterized in that the arc extinguishing chamber of the module situated facing the complementary module presents a cambered face towards the inside of said arc extinguishing chamber so as to create a space E,F inside said chamber enabling a part of the volume of the complementary module to be housed, and in that the ends of said arc extinguishing chamber encroach on the volume of the complementary module.
US08330062B2 Electrical switching component
An apparatus including an electrical switching device to control current to a load and a second case arranged to substantially encapsulate the electrical switching device. The electrical switching device includes a first case; a plurality of contacts disposed in the first case; and an opening in the first case disposed to expose the contacts. The second case is arranged to duct a blast from the opening of the first case of the electrical switching device.
US08330060B2 Weighing station with rotary conveyor element
A weighing station for weighing and sorting objects to be weighed, comprising a rotating conveyor element for transporting the objects further in that, in a conveying step, said conveyor element can rotate by a specifiable angle of rotation about its axis.
US08330059B2 Automated collection and scale system
The disclosure describes a novel approach of utilizing a collection bin for a front loading waste collection vehicle. The collection bin includes a weighing system with a processor for measuring the weights of material collected from each waste container and associating this weight with appropriate data, such as the owner of the waste container.
US08330057B2 System and method for weighing food and calculating calorie content thereof
The food calorie counting system relates to a calorie counting system that utilizes a container with at least one chamber for holding food materials, sensitive scales integrated into the chambers to weigh the food, and a processor to calculate the number of calories in the food items based on weight, type of food and method of preparation. The number of calories is then displayed on a display device to a user. The system may be used as a stand-alone device, or may further utilize an external central control unit where information from the container is transmitted to the external control unit for processing, food calorie calculation and transmission back to the containers for display.
US08330056B2 Power entry unit electrical power distribution method
An electrical power system including a first power entry unit, at least one receptacle, and a second power entry unit. The at least one receptacle is electrically connected to the first power entry unit. The second power entry unit is electrically connectable to the at least one receptacle. The first power entry unit and the second power entry unit include at least one detection device. Either the first power entry unit or the second power entry unit is a selected power entry unit and the remaining one is another power entry unit. The detection device is configured to preclude an electrical power connection of both the selected power entry unit and the other power entry unit to the at least one receptacle.
US08330054B2 Printed circuit board and magnetic head driving device including the same
A plurality of wiring traces are formed on a base insulating layer, and a metal layer is formed on the opposite surface of the base insulating layer. Two adjacent wiring traces constitute a transmission line pair. The width of the wiring trace is set to not more than 250 μm, and the distance between the adjacent wiring traces is set to not less than 8 μm. The thickness of the base insulating layer is selected to cause differential impedance of the transmission line pair to be not less than 10 Ω and not more than 50 Ω.
US08330048B2 Electromagnetic bandgap structure and printed circuit board having the same
Disclosed herein are an electromagnetic bandgap structure and a printed circuit board having the same. The bandgap structure includes a conductive layer including a plurality of conductive plates; and a metal layer disposed over or under the conductive layer and including a stitching pattern to electrically connect a first conductive plate to a second conductive plate of the plurality of conductive plates. The bandgap structure includes a spiral stitching pattern formed in a metal layer different from the conductive layer, thus offering a stop-band having a desired bandwidth in a compact structure.
US08330047B2 Printed circuit board
A first insulating layer is formed on a suspension body and a wiring trace is formed on the first insulating layer. In addition, a ground trace is formed on the first insulating layer so as to extend along the wiring trace on one side of the wiring trace with a spacing therebetween. A second insulating layer is formed on the first insulating layer to cover the wiring trace and the ground trace. On the second insulating layer, a wiring trace is formed at a position above the wiring trace. A third insulating layer is formed on the second insulating layer to cover the wiring trace. The width of the wiring trace is set larger than the width of the wiring trace. At least a partial region of the ground trace and at least a partial region of the wiring trace are opposite to each other with part of the second insulating layer sandwiched therebetween.
US08330046B2 Device for analysis of a sample on a test element
An analysis device for analysis of a sample on a test element is provided that comprises at least one component configured to make electrical contact with at least one other component for electrical transmission therebetween. The at least one component generally comprises an injection-molded circuit mount, also called an MID, or molded interconnect device.
US08330042B2 Hinge for cable trough cover
A cable trough system includes a trough member including a base wall and first and second sidewalls extending from the base wall to form a trough. The system also includes a cover including a main body with a first end and a second end, and first and second hinge portions coupled to the first and second ends of the main body. Each of the first and second hinge portions includes a first member that extends to an end positioned to contact an inner surface of the first sidewall, a second member that defines an arm that is positioned to at least partially contact the first sidewall, and an extension member that extends from the second member in a direction opposite to that of the arm. The first and second members have a space therebetween sized to receive a portion of the first sidewall.
US08330035B2 Terminal box for solar cell modules
A condition of not permitting an increased temperature value of a diode to exceed the junction temperature is satisfied by a simple structure at a low cost. A plurality of countercurrent prevention diodes between terminal boards to which electrodes of solar cell modules are connected are connected in parallel. When an output current from the solar cell module flows in a circuit of the plurality of diodes connected in parallel, a current flowing in each diode is reduced by a factor of the number of diodes connected in parallel, for instance, when the three diodes are connected in parallel, the current becomes one third. When the value of the flowing current is reduced, a heating value is also reduced. A heat radiating piece is provided on the terminal board.
US08330029B2 Enhanced gripping surface for use with plectra and other hand-held implements
A textured surface for improving grip on plectra and other hand-held implements. A series of parallel ridges serve to frictionally engage thumb and forefinger surfaces and “lock” the grip in place.
US08330025B2 Plants and seeds of hybrid corn variety CH816791
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH816791. The invention thus relates to the plants, seeds and tissue cultures of the variety CH816791, and to methods for producing a corn plant produced by crossing a corn plant of variety CH816791 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH816791.
US08330023B1 Maize variety hybrid X08A188
A novel maize variety designated X08A188 and seed, plants and plant parts thereof, produced by crossing Pioneer Hi-Bred International, Inc. proprietary inbred maize varieties. Methods for producing a maize plant that comprises crossing hybrid maize variety X08A188 with another maize plant. Methods for producing a maize plant containing in its genetic material one or more traits introgressed into X08A188 through backcross conversion and/or transformation, and to the maize seed, plant and plant part produced thereby. This invention relates to the maize variety X08A188, the seed, the plant produced from the seed, and variants, mutants, and minor modifications of maize variety X08A188. This invention further relates to methods for producing maize varieties derived from maize variety X08A188.
US08330017B2 Plants and seeds of corn variety CV789291
According to the invention, there is provided seed and plants of the corn variety designated CV789291. The invention thus relates to the plants, seeds and tissue cultures of the variety CV789291, and to methods for producing a corn plant produced by crossing a corn plant of variety CV789291 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV789291 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV789291.
US08330015B2 Plants and seeds of hybrid corn variety CH883029
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH883029. The invention thus relates to the plants, seeds and tissue cultures of the variety CH883029, and to methods for producing a corn plant produced by crossing a corn plant of variety CH883029 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH883029.
US08330014B2 Plants and seeds of hybrid corn variety CH700245
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH700245. The invention thus relates to the plants, seeds and tissue cultures of the variety CH700245, and to methods for producing a corn plant produced by crossing a corn plant of variety CH700245 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH700245.
US08330013B2 Plants and seeds of corn variety CV528955
According to the invention, there is provided seed and plants of the corn variety designated CV528955. The invention thus relates to the plants, seeds and tissue cultures of the variety CV528955, and to methods for producing a corn plant produced by crossing a corn plant of variety CV528955 with itself or with another corn plant, such as a plant of another variety. The invention further relates to corn seeds and plants produced by crossing plants of variety CV528955 with plants of another variety, such as another inbred line. The invention further relates to the inbred and hybrid genetic complements of plants of variety CV528955.
US08330010B2 Plants and seeds of hybrid corn variety CH117231
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH117231. The invention thus relates to the plants, seeds and tissue cultures of the variety CH117231, and to methods for producing a corn plant produced by crossing a corn plant of variety CH117231 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH117231.
US08330007B2 Plants and seeds of hybrid corn variety CH639930
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH639930. The invention thus relates to the plants, seeds and tissue cultures of the variety CH639930, and to methods for producing a corn plant produced by crossing a corn plant of variety CH639930 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH639930.
US08330006B2 Maize with good digestibility and disease resistant
The present invention relates to the field of the improvement of the digestibility and the tolerance of maize to fungal pathogens and especially to fusariosis by modification of the C4H gene.
US08330005B2 Potato cultivar FL 2137
A potato cultivar designated FL 2137 is disclosed. The invention relates to the tubers of potato cultivar FL 2137, to the seeds of potato cultivar FL 2137, to the plants of potato FL 2137, to the plant parts of potato cultivar FL 2137 and to methods for producing a potato plant produced by crossing potato cultivar FL 2137 with itself or with another potato variety. The invention also relates to methods for producing a potato plant containing in its genetic material one or more transgenes and to the transgenic potato plants and plant parts produced by those methods. This invention also relates to potato cultivars or breeding cultivars and plant parts derived from potato variety FL 2137, to methods for producing other potato cultivars, lines or plant parts derived from potato cultivar FL 2137 and to the potato plants, varieties, and their parts derived from use of those methods. The invention further relates to hybrid potato tubers, seeds, plants and plant parts produced by crossing potato cultivar FL 2137 with another potato cultivar.
US08330004B2 Potato cultivar FL 2126
A potato cultivar designated FL 2126 is disclosed. The invention relates to the tubers of potato cultivar FL 2126, to the seeds of potato cultivar FL 2126, to the plants of potato FL 2126, to the plant parts of potato cultivar FL 2126 and to methods for producing a potato plant produced by crossing potato cultivar FL 2126 with itself or with another potato variety. The invention also relates to methods for producing a potato plant containing in its genetic material one or more transgenes and to the transgenic potato plants and plant parts produced by those methods. This invention also relates to potato cultivars or breeding cultivars and plant parts derived from potato variety FL 2126, to methods for producing other potato cultivars, lines or plant parts derived from potato cultivar FL 2126 and to the potato plants, varieties, and their parts derived from use of those methods. The invention further relates to hybrid potato tubers, seeds, plants and plant parts produced by crossing potato cultivar FL 2126 with another potato cultivar.
US08330001B1 Soybean variety XBP27002
A novel soybean variety, designated XBP27002 is provided. Also provided are the seeds of soybean variety XBP27002, cells from soybean variety XBP27002, plants of soybean XBP27002, and plant parts of soybean variety XBP27002. Methods provided include producing a soybean plant by crossing soybean variety XBP27002 with another soybean plant, methods for introgressing a transgenic, mutant trait, and/or native trait into soybean variety XBP27002, methods for producing other soybean varieties or plant parts derived from soybean variety XBP27002. Soybean seed, cells, plants, germplasm, breeding lines, varieties, and plant parts produced by these methods and/or derived from soybean variety XBP27002 are further provided.
US08329996B2 Soybean cultivar S080183
A soybean cultivar designated S080183 is disclosed. The invention relates to the seeds of soybean cultivar S080183, to the plants of soybean S080183, to plant parts of soybean cultivar S080183, and to methods for producing a soybean plant produced by crossing soybean cultivar S080183 with itself or with another soybean variety. The invention also relates to methods for producing a soybean plant containing in its genetic material one or more transgenes and to the transgenic soybean plants and plant parts produced by those methods. This invention also relates to soybean cultivars, or breeding cultivars, and plant parts derived from soybean variety S080183, to methods for producing other soybean cultivars, lines or plant parts derived from soybean cultivar S080183, and to the soybean plants, varieties, and their parts derived from use of those methods. The invention further relates to hybrid soybean seeds, plants, and plant parts produced by crossing the cultivar S080183 with another soybean cultivar.
US08329994B2 Utilization of fatty acid desaturases from Hemiselmis spp
The invention relates to methods and compositions concerning desaturase enzymes that modulate the number and location of double bonds in long chain poly-unsaturated fatty acids (LC-PUFA's). In particular, the invention relates to methods and compositions for improving omega-3 fatty acid profiles in plant products and parts using exogenous desaturase enzymes and nucleic acids encoding for such enzymes. In particular embodiments, the exogenous desaturase enzymes utilized are Hemiselmis spp. delta 5 desaturases. Also provided are improved soybean oil compositions having EPA derived from plants carrying the genes of interest.
US08329992B2 Manipulation of plants by transformation with sequences promoting cell division
Polynucleotides encode polypeptides for increasing the rate of growth of plants. Introduction of the polynucleotides into plants produces plants having altered characteristics, such as increased growth, increased leaf area and reduced fertility. Expression of polypeptides in plants or plant cells promotes cell division. Expression of the polynucleotides in plants in the antisense orientation produces plants that are sterile or have smaller leaves.
US08329987B2 Metal resistant plants and methods of manufacture thereof
Disclosed herein is a transgenic plant transformed with an isolated nucleic acid comprising a plant arsenite-inducible RNA-associated protein coding sequence operatively linked to a plant-expressible transcription regulatory sequence, wherein the plant arsenite-inducible RNA-associated protein (AIRAP) coding sequence encodes a polypeptide that is at least 95% identical to a polypeptide sequence of SEQ ID NO:8, SEQ ID NO:9, SEQ ID NO:10, SEQ ID NO:11, SEQ ID NO:12, SEQ ID NO:13, or SEQ ID NO:14, wherein the plant arsenite-inducible RNA-associated protein coding sequence encodes a polypeptide that confers resistance to an environmental stress, wherein greater than or equal to about 25% of transgenic plants are resistant to an environmental stress, and wherein the environmental stress inhibits the growth of wild type plants.
US08329983B2 Plants and seeds of hybrid corn variety CH136255
According to the invention, there is provided seed and plants of the hybrid corn variety designated CH136255. The invention thus relates to the plants, seeds and tissue cultures of the variety CH136255, and to methods for producing a corn plant produced by crossing a corn plant of variety CH136255 with itself or with another corn plant, such as a plant of another variety. The invention further relates to genetic complements of plants of variety CH136255.
US08329982B2 Loci associated charcoal rot drought complex tolerance in soybean
The invention relates to methods and compositions for identifying soybean plants that are tolerant, have improved tolerance or are susceptible to Charcoal Rot Drought Complex. The methods use molecular genetic markers to identify, select and/or construct tolerant plants or identify and counter-select susceptible plants. Soybean plants that display tolerance or improved tolerance to Charcoal Rot Drought Complex that are generated by the methods of the invention are also a feature of the invention.
US08329979B2 Simplified absorbent pad
An absorbent pad having a periphery and useful as a feminine hygiene article. The pad comprises a body-contacting surface comprising fibers from a first web of fibrous material, an absorbent portion comprising fibers from a second web of fibrous material, and a bottom surface comprising fibers from the first web of fibrous material. The absorbent portion is disposed intermediate the body-contacting surface and the bottom surface and extends to the periphery, the surfaces and absorbent portion being joined to one another at portions of the first web that penetrate through the absorbent portion at a plurality of discrete locations.
US08329978B2 Absorbent product
An absorbent product has a top sheet, an absorbent core and a back sheet. The absorbent core includes 40% by weight or more of high absorbent resin powder and it is possible to slim down the absorbent core while keeping a sufficient amount of absorption to thereby achieve slimming down of the absorbent product. A top sheet lower part contacting the high absorbent resin powder in the absorbent core includes hydrophilic fibers having water retention characteristics, and moisture is temporarily retained in the top sheet lower part before reaching the absorbent core and rapidly disperses in a range facing the absorbent core of the top sheet lower part. As a result, it is possible to reliably absorb the moisture of excrement in the absorbent core and to simplify a structure of the absorbent product.
US08329977B2 Biodegradable water-sensitive films
A film that is biodegradable and water-sensitive (e.g., water-soluble, water-dispersible, etc.) in that it loses its integrity over time in the presence of water is provided. The film contains a biodegradable polyester, starch, water-soluble polymer, and plasticizer. The desired water-sensitive attributes of film may be achieved in the present invention by selectively controlling a variety of aspects of the film construction, such as the nature of the components employed, the relative amount of each component, the manner in which the film is formed, and so forth.
US08329976B2 Method for manufacturing a net patterned adhesive layer
A method for making a net patterned adhesive layer by a mold, and a wound dressing having a net patterned adhesive layer.
US08329975B2 Elimination of residual transfer line raffinate from feed to increase normal paraffin separation unit capacity
A process to increase the capacity of the adsorbent in a normal paraffin adsorption separation system is presented. A tertiary flush stream is used to improve the capacity of the simulated moving bed system by flushing residual raffinate from the feed transfer line. The flushing removes residual raffinate containing desorbent that competes with the adsorption of normal paraffins from the feedstream. The flush stream is a material that will displace fluid in the column, but will not enter the pores of the adsorbent.
US08329973B2 Multiple zeolite catalyst
The multiple zeolite catalyst is a catalytic composition used to convert C9+ alkylaromatic hydrocarbons to BTX, particularly commercially valuable xylenes. The catalyst is formed by mixing at least two zeolites selected from mordenite, beta zeolite, ZSM-5, ZSM-11, ZSM-12, ZSM-22, ZSM-23, MFI topology zeolite, NES topology zeolite, EU-1, MAPO-36, SAPO-5, SAPO-11, SAPO-34, and SAPO-41, and adding at least one metal component selected from Group VIB and Group VIII of the Periodic Table of the Elements. The two zeolites should have different physical and chemical characteristics, such as pore size and acidity. An exemplary catalyst includes mordenite, ZSM-5, and 3 wt. % molybdenum. The transalkylation reaction may be conducted in one or more reactors with a fixed bed, moving bed, or radial flow reactor at 200-540° C., a pressure of 1.0-5.0 MPa, and liquid hourly space velocity of 1.0-5.0 per hour.
US08329964B2 Method for preparing 2,3,3,3-tetrafluoro-1-propene
The invention relates to a gas-phase continuous method for preparing 2,3,3,3-tetrafluoro-1-propene, said method comprising the following steps: (i) hydrogenation of hexafluoropropylene to form 1,1,1,2,3,3-hexafluoropropane; (ii) dehydrofluorination of the 1,1,1,2,3,3-hexafluoropropane obtained in the previous step to 1,2,3,3,3-pentafluoropropene-1; (iii) hydrogenation of the 1,2,3,3,3-pentafluoropropene-1 obtained in the previous step to form 1,1,1,2,3-pentafluoropropane; and (iv) dehydrofluorination of the 1,1,1,2,3-pentafluoropropane obtained in the previous step to form 2,3,3,3-tetrafluoro-1-propene.
US08329963B2 Removing solids in monoethylene glycol reclamation
The seeding of calcium carbonate into a calcium chloride-contaminated monoethylene glycol (MEG) stream accelerates the growth of calcium carbonate particles to a size that enhances their removal from the stream by filtration. A seeding vessel allows the calcium carbonate particles a time period to grow. Sodium carbonate may be added to the contaminated stream to facilitate calcium carbonate particle growth. A recycle seeding conduit may recycle seeds from a filtration unit to the seeding vessel. A base such as sodium hydroxide may be added to accelerate the precipitation process.
US08329962B2 Method of making alcohols
Methods and systems for the synthesis of alcohol are described herein. The methods and systems incorporate the novel use of a high shear device to promote dispersion and solubility of olefins in water. The high shear device may allow for lower reaction temperatures and pressures and may also reduce reaction time. In an embodiment, a method of making an alcohol comprises introducing an olefin into a water stream to form a gas-liquid stream. The method further comprises flowing the gas-liquid stream through a high shear device so as to form a dispersion with gas bubbles having a mean diameter less than about 1 micron. In addition, the method comprises contacting the gas-liquid stream with a catalyst in a reactor to hydrate the olefin gas and form an alcohol.
US08329961B2 Catalyst for producing alcohol
The present invention provides a catalyst for producing alcohols from carboxylic acids by hydrogenation, containing Co metal as an essential component and one or more elements selected from Zr, Y, La, Ce, Si, Al, Sc, V and Mo as a first co-catalyst component, and having 20% or more of cubic phase in the crystal phase of the Co metal, the method for producing the catalyst, and the method for producing an alcohol from a carboxylic acid as a raw material by hydrogenation using the catalyst.
US08329959B2 Process for the preparation of alkylene glycol
The invention provides a process and a reactor for the preparation of an alkylene glycol from an alkylene oxide. Alkylene oxide, water, a homogeneous carboxylation catalyst and a homogenous hydrolysis catalyst are supplied to a reactor comprising a carboxylation zone and a hydrolysis zone. One or more ejectors are used to mix carbon dioxide and the liquid reagents in the carboxylation zone so that alkylene oxide reacts with carbon dioxide in the presence of water in the carboxylation zone to form a reaction solution comprising alkylene carbonate, water, the homogeneous carboxylation catalyst and the homogeneous hydrolysis catalyst. The reaction solution is supplied from the carboxylation zone to a hydrolysis zone, wherein alkylene carbonate and water react to form a product solution comprising alkylene glycol, the homogeneous carboxylation catalyst and the homogeneous hydrolysis catalyst. Carbon dioxide released by the reaction of alkylene carbonate and water in the hydrolysis zone is supplied to the carboxylation zone. Product solution is withdrawn from the hydrolysis zone.
US08329954B2 Cyclopropene amine compounds
Methods of applying cyclopropene amine derivatives and compositions thereof to inhibit ethylene receptors in plants and plant material are disclosed. Methods include applying to the plant an effective ethylene response-inhibiting amount of at least one cyclopropene amine compound or composition thereof. Cyclopropene amine compounds, enantiomers, stereoisomers or salts thereof are also provided.
US08329948B2 Method for the synthesis of substituted formylamines and substituted amines
An improved method for the synthesis of substituted formylamines and substituted amines via an accelerated Leuckart reaction. The Leuckart reaction is accelerated by reacting formamide or N-alkylformamide and formic acid with an aldehyde or a ketone at a preferred molar ratio that accelerates the reaction. The improved method is applicable to various substituted aldehydes and ketones, including substituted benzaldehydes. An accelerated method for the hydrolysis of substituted formylamines into substituted amines using acid or base and a solvent at an elevated temperature. The improved method is useful for the accelerated synthesis of agrochemicals and pharmaceuticals such as vanillylamine, amphetamine and its analogs, and formamide fungicides.
US08329945B2 Histone deacetylases, and uses related thereto
The present invention concerns the discovery that proteins encoded by a family of genes, termed here HDx-related genes, which are involved in the control of chromatin structure and, thus in transcription and translation. The present invention makes available compositions and methods that can be utilized, for example to control cell proliferation and differentiation in vitro and in vivo.
US08329941B2 Process for the extraction of high molecular weight naphthenic acids from calcium naphthenate salts
A method for recovering high molecular weight naphthenic tetra-acids, particularly ARN acids from a calcium naphthenate deposit. Calcium naphthenate deposits contain large amounts of calcium naphthenate salts of ARN acids. The method dual solvent extraction process in which the naphthenic tetra-acids chemically bound as calcium naphthenate salts are converted into free acid monomers by an aqueous acid. The resulting free acid monomers are then dissolved into an organic solvent phase and the counterions dissolve in the aqueous acid phase. The naphthenic tetra-acids are then recovered from the organic solvent phase.
US08329937B2 Method for purifying 4-(nitrooxy)butyl(2S)-2-(6-methoxy-2-naphthyl) propanoate
The present invention relates to a method for purifying naproxcinod comprising the steps of: a) dissolving or dispersing a mixture containing naproxcinod in an amount higher than 90% by weight in a solvent; b) cooling the solution or two phases dispersion under stirring to a temperature ranging from −20° C. to 10° C. c) optionally seeding the solution with crystals of naproxcinod d) stirring, by maintaining the temperature in the range from −40° C. to 10° C. e) collecting the formed solid by maintaining the temperature under 15° C. A further object of the invention is a crystalline form of naproxcinod.
US08329935B2 Process for producing phosphorus-containing dehydroamino acid
A process for efficiently producing through a small number of steps an N-substituted 2-amino-4-(substituted-oxymethylphosphinyl)-2-butenoic ester which is an intermediate for herbicide L-AMPB. The process comprises reacting a compound represented by the following formula (1): (where R1 represents C1-4 alkyl group) with a compound represented by the following formula (2): (wherein R2, R2′, and R3 each represents C1-4 alkyl and R4 represents benzyloxycarbonyl) in the presence of a base.
US08329934B2 Isotopically labeled chemically stable reagents and process for the synthesis thereof
A radioisotope labeled reagent includes a compound having the general formula (I), L-(aCbH2)naC5bH3  (I) where a in each occurrence independently is a carbon mass number between 11 and 14 inclusive, b in each occurrence independently is a hydrogen mass number between 1 and 3 inclusive, such that a in each occurrence is not 12 simultaneously with b in each occurrence being 1; L is a leaving group R1SO2—O—, R1—S—, 12C1H3(12C1H2)n—S—R1C(O)O—, NC—, (R1)3P—, XMg— and Li—, where n is an integer between 0 and 3 inclusive, where X is chloro, bromo or iodine, where R1 is H, aryl, a substituent containing aryl, C1-C20 alkyl, a substituent containing C1-C20 alkyl, C2-C20 alkenyl, a substituent containing C2-C20 alkenyl, C2-C20 alkynyl, and a substitute containing C2-C20 alkynyl with the proviso that when n is 0, a is 13 and b is 2 and R1 in R1—S is not aryl.
US08329933B2 Low-impurity organosilicon product as precursor for CVD
The present invention provides an organosilicon composition comprising diethoxymethylsilane, a concentration of dissolved residual chloride, and a concentration of dissolved residual chloride scavenger that does not yield unwanted chloride salt precipitate when combined with another composition comprising diethoxymethylsilane.
US08329932B2 Process for preparing organosilicon compounds containing organyloxy groups
Organosilicon compounds bearing silicon-bonded organyloxy groups are prepared by mixing and reacting silanol-functional organosilicon compounds with organosilicon compounds containing at least two organyloxy groups in a mixer with an energy input of at least 0.2 kW/Kg of mixture. At this level of energy input, reaction to form the organyloxy-functional product is rapid, and can be achieved without supplying external heat, and also without catalysts, if desired.
US08329930B2 Aluminum complex and use thereof
Provided is a process in which cyclization of a compound having, in a molecule, a formyl group and a double bond which allow carbonyl-ene cyclization, the compound existing as a mixture of optical isomers thereof, increases the ratio of a particular optical isomer not only in a cyclized compound, but also in an unreacted compound. The process comprises performing, in the presence of a specified aluminum complex represented by the general formula: [Al2(L1)n(L2)3-n]m, cyclization of a compound having, in a molecule, a formyl group and a double bond which allow carbonyl-ene cyclization, the compound existing as a mixture of optical isomers thereof, to increase the ratio of a particular optical isomer.
US08329929B2 Metal complex
A metal complex represented by the following formula (1): wherein R1 to R6 each independently represent a hydrogen atom or a substituent; Y1 and Y2 each independently represent any one of the following groups: wherein Rα represents a hydrogen atom or a hydrocarbon group having 1 to 4 carbon atoms; P1 and P2 each represent a group of atoms necessary for forming a heterocyclic ring together with Y1 or Y2 and the two carbon atoms at a position adjacent to Y1 or Y2; P1 and P2 may be linked to each other to form a ring; M represents a transition metal element or typical metal element; m represents 1 or 2; X represents a counter ion or a neutral molecule; n represents the number of X's in the complex, and an integer of 0 or more; and Q1 and Q2 each independently represent an aromatic heterocyclic group.
US08329928B2 Compounds, compositions and methods
Compounds useful for treating cellular proliferative diseases and disorders by modulating the activity of KSP are disclosed.
US08329920B2 Substituted imidazoles useful for treating type II diabetes
The present invention provides Formula (1A) compounds that act as glucokinase activators; pharmaceutical compositions thereof; and methods of treating diseases, disorders, or conditions mediated by glucokinase. X, Y, Z, R1, R2, R3, and R4 are as described herein.
US08329919B2 Salt hydrates
The invention relates to new forms of salts of valsartan or crystalline, also partly crystalline and amorphous salts of valsartan, the respective production and usage, and pharmaceutical preparations containing such a salt.
US08329917B2 Heterocyclic compound and light-emitting element, light-emitting device, lighting device, and electronic device using the same
To provide a novel heterocyclic compound having a bipolar property. To improve element characteristics of a light-emitting element by application of the novel heterocyclic compound to the light-emitting element. A heterocyclic compound represented by a general formula (G1) and a light-emitting element formed using the heterocyclic compound represented by the general formula (G1) are provided. When the heterocyclic compound represented by the general formula (G1) is used for the light-emitting element, the characteristics of the light-emitting element can be improved.
US08329916B2 Human protein tyrosine phosphatase inhibitors and method of use
The present disclosure relates to compounds effective as human protein tyrosine phosphatase beta (HPTP-β) inhibitors thereby regulating angiogenesis. The present disclosure further relates to compositions comprising said human protein tyrosine phosphatase beta (HPTP-β) inhibitors, and to methods for regulating angiogenesis.
US08329914B2 Cyclic benzimidazole derivatives useful as anti-diabetic agents
Novel compounds of the structural formula (I) are activators of AMP-protein kinase and are useful in the treatment, prevention and suppression of diseases mediated by the AMPK-activated protein kinase. The compounds of the present invention are useful in the treatment of Type 2 diabetes, hyperglycemia, metabolic syndrome, obesity, hypercholesterolemia, and hypertension.
US08329912B2 Solid forms of 2-chloro-4-[1-(4-fluoro-phenyl)-2,5-dimethyl-1H-imidazol-4-ylethynyl]-pyridine
The present invention relates to mono-sulfate and hemi-sulfate salts of 2-Chloro-4-[1-(4-fluoro-phenyl)-2,5-dimethyl-1H-imidazol-4-ylethynyl]-pyridine, to crystalline and amorphous forms thereof and to their use in pharmaceutical formulations.
US08329906B2 Guanidinyl-substituted hydroxy-6-phenylphenanthridines
The compounds of a certain formula (1), in which R1, R2, R3, R31, R4, R5, R6 and R7 have the meanings as given in the description, are novel effective PDE4 inhibitors.
US08329905B2 Synthesis of diethyl{[5-(3-fluorophenyl)-pyridine-2yl]methyl}phosphonate
This application discloses a novel process for the preparation of phosphonate esters useful as intermediates in the preparation of himbacine analogs, themselves useful as thrombin receptor antagonists. The chemistry taught herein can be exemplified by the following scheme: wherein R9 is selected from alkyl, aryl heteroaryl and arylalkyl groups having 1 to 10 carbon atoms, and R11 is selected independently for each occurrence from alkyl, aryl heteroaryl and arylalkyl groups having 1 to 10 carbon atoms and hydrogen, X2 is Cl, Br, or I; X3 is selected from Cl and Br; and PdLn is a supported palladium metal catalyst or a soluble heterogeneous palladium catalyst. The L-derivatizing reagent is a moiety which converts the alcohol functional group of compound 137D to any leaving group which can be displaced by a triorgano-phosphite phosphonating agent.
US08329902B2 Optically pure dihydropyrimidine compounds and their uses for the preparation of a medicament for treatment and prevention of viral diseases
The present invention relates to an optically pure compound of formula (I) or a pharmaceutically acceptable salt or hydrate thereof, a process for preparing the optically pure compound of formula (I), and use of the optically pure compound of formula (I) or a pharmaceutically acceptable salt or hydrate thereof as a medicament, in particular as a medicament for the treatment and prevention of type B hepatitis.
US08329901B2 4,6-disubstitued pyrimidines useful as kinase inhibitors
The present invention provides 4,6-disubstituted pyrimidine compound useful as kinase inhibitors, pharmaceutically acceptable compositions thereof, and methods of using the same.
US08329900B2 Dipeptidyl peptidase inhibitors
Compounds, pharmaceuticals, kits and methods are provided for use with DPP-IV and other S9 proteases that comprise a compound comprising: wherein M is N or CR4; Q1 and Q2 are each independently selected from the group consisting of CO, SO, SO2, and C═NR9; and each L, X, R1, R2, and R3 are as defined herein.
US08329897B2 Synthesis of inhibitors of 11β-hydroxysteroid dehydrogenase type 1
Disclosed are syntheses of 11β-HSD1 inhibitors and corresponding intermediates that are promising for the treatment of a variety of disease states including diabetes, metabolic syndrome, obesity, glucose intolerance, insulin resistance, hyperglycemia, hypertension, hypertension-related cardiovascular disorders, hyperlipidemia, deleterious gluco-corticoid effects on neuronal function (e.g. cognitive impairment, dementia, and/or depression), elevated intra-ocular pressure, various forms of bone disease (e.g., osteoporosis), tuberculosis, leprosy (Hansen's disease), psoriasis, and impaired wound healing (e.g., in patients that exhibit impaired glucose tolerance and/or type 2 diabetes).
US08329894B2 Process for the production of esters of sugars and sugar derivatives
A process for the production of an ester of a non-reducing sugar or sugar derivative comprises reacting the non-reducing sugar or sugar derivative with a triglyceride of a fatty acid or a fatty acid ester of a monohydric alcohol in air, substantially in the absence of a solvent and under heterogeneous reaction conditions in which the sucrose and alkyl ester or triglyceride are present as separate phases, at a temperature in the range of from 110° C. to 140° C., wherein the reaction is conducted in the presence of a potassium soap but in the absence of an alkaline component. The process enables esters of sugars and sugar derivatives to be produced at lower temperatures than hitherto and in a much simpler process.
US08329893B2 Cellulose ester compositions having low birefringence and films made therefrom
The present invention relates to cellulose esters having low hydroxyl content for use in optical applications, such as liquid crystal display (LCD) films. Films made with low hydroxyl levels and a given ratio of non-acetyl ester to hydroxyl level have been found to have low intrinsic birefringence. Therefore, these films can be cast, molded, or otherwise oriented without an appreciable birefringence or optical distortion (i.e. retardation). Such features make these films useful in polarizer, protective, and compensator films as well as molded optical parts, such as lenses. Furthermore, it has also been found that resins of the present invention can also be made to have “+C plate” behavior either by melt or solvent based processing, a characteristic which is not typical of cellulose esters. Such +C behavior allows films to be produced having unique compensatory behavior. Other embodiments of the invention relate to methods melt casting films while minimizing birefringence formation.
US08329890B2 SiRNA-mediated gene silencing
The present invention is directed to small interfering RNA molecules (siRNA) targeted against an allele of interest, and methods of using these siRNA molecules.
US08329889B2 In vivo gene sensors
Described are methods and compositions for the detection of target genes. The inventors have developed a synthetic nucleic acid sensor-effector gene circuit. In cells without a target gene, the circuit suppresses e.g., effector production, but in the presence of the target gene the suppression is subject to competition, such that the synthetic sensor is de-repressed and permits expression of the effector gene. The methods and compositions described further permit the selective expression of an effector gene in those cells expressing the target gene. In this manner, cells expressing a target gene can be selectively targeted for treatment or elimination. In certain aspects, the methods and compositions described permit the selective expression of an agent such as a therapeutic gene product, in a specifically targeted population of cells in an organism.
US08329888B2 Small internally segmented interfering RNA
The present invention is directed to pharmaceutical and therapeutic compositions which comprise RNA complexes comprising an antisense strand and a discontinued passenger strand capable of regulating gene expression. The use of a discontinued passenger strand reduces off target effects of the RNA complexes and also has other advantages.
US08329886B2 Nucleic acid molecules encoding anti-amyloid beta antibodies
The present invention relates to antibody molecules capable of specifically recognizing two regions of the β-A4 peptide, wherein the first region comprises the amino acid sequence AEFRHDSGY as shown in SEQ ID NO: 1 or a fragment thereof and wherein the second region comprises the amino acid sequence VHHQKLVFFAEDVG as shown in SEQ ID NO: 2 or a fragment thereof. Furthermore, nucleic acid molecules encoding the inventive antibody molecules and vectors and hosts comprising said nucleic acid molecules are disclosed. In addition, the present invention provides for compositions, preferably pharmaceutical or diagnostic compositions, comprising the compounds of the invention as well as for specific uses of the antibody molecules, nucleic acid molecules, vectors or hosts of the invention.
US08329885B2 Nucleic acid encoding a T2R taste receptor
The invention provides nucleic acid and amino acid sequences for a novel family of taste transduction G-protein coupled receptors, antibodies to such receptors, methods of detecting such nucleic acids and receptors, and methods of screening for modulators of taste transduction G-protein coupled receptors.
US08329883B2 Methods for detecting virulent Plasmodium, for evaluating Plasmodium virulence, and for screening new drugs employing the 3′ UTR of Plasmodium SUB2 and the Plasmodium SUB2 serine protease
Methods for regulating the serine protease of Plasmodium. Recombinant DNA constructs which express the Plasmodium serine protease, especially those comprising a sub2 3′UTR and coding segment which express a SUB2 a serine protease. Recombinant Plasmodium containing such constructs and exhibiting increased virulence. Methods for detecting virulent Plasmodium strains by detecting the presence or amount of sub2 3′UTR sequences, sub2 mRNA or cDNA, SUB2 polypeptide expression, or other Plasmodium proteins, such as AMA1 or MSP1, which have been post-translationally modified by SUB2.
US08329882B2 Genetic control of mammalian cells with synthetic RNA regulatory systems
The present application relates to nucleic acids that encode a RNA switch responsive to a ligand that can control the expression of a gene product that affects the cell fate determination of a mammalian cell are provided. In some embodiments, the system can be used to control the proliferation or activation of mammalian cells in response to a ligand that can be provided exogenously to the mammalian cell or can be produced by the mammalian cell. The system can be used to promote the growth or proliferation of human T cells in response to an exogenous ligand applied to the cells. In one embodiment, the system detects the ligand through a RNA aptamer that modulates expression of a gene product through activation or inactivation of a ribozyme that modulates expression of the gene product.
US08329880B2 Process for the preparation of naphthalen-2-yl-pyrazol-3-one intermediates useful in the synthesis of sigma receptor inhibitors
The invention relates to a process for preparing naphthalen-2-yl-pyrazol-3-one intermediates, tautomers, and salts thereof, to novel intermediates, and to the use of the intermediates in the preparation of sigma receptor inhibitors.
US08329877B2 Methods for separating recombinant proteins in aqueous two-phase systems
The object of the present invention is a procedure for the distribution, separation and purification in aqueous solution of recombinant proteins, based on the utilization of polypeptides with choline affinity. The invention is based on a phenomenon consisting of that two aqueous solutions with determinated components can be mixed, being distributed finally in two phases with different density. The fusionated proteins to said polypeptides with choline affinity are preferably located in one of the phases, while most of the cell extract proteins tend to go to the opposite phase. After a series of washings for removing the rest of the not desired material, this location can be inverted through the addition of a soluble molecule with affinity by the polypeptide fusionated to the protein of interest. This procedure allows modulating at convenience the presence of the protein or polypeptide of interest in one phase or another, possibiliting its purification with a high yield and purity grade. The invention represents an economic and scalable way of recombinant protein separation labelled preferably with choline-binding domains.
US08329875B2 Antibodies to an epitope of AGR2, assays and hybridomas
Provided is a monoclonal antibody, or an antigen binding fragment thereof, which binds specifically to an epitope within the sequence KPGAKKDTKDSRPKL (Sequence ID No. 2) of AGR2. Such monoclonal antibodies are of prognostic and diagnostic utility in the investigation of cancer, particularly metastatic cancer. The antibodies described may also be used in prognosis or diagnosis of inflammatory diseases. Also provided are kits and solid supports comprising such antibodies, as well as the therapeutic use of antibodies of the invention.
US08329874B2 Detection of specific nitrated markers
Methods are described for improving the diagnostic possibilities of diseases where oxidative NO-modifications occur, for example inflammatory conditions, cancer, Parkinson's or Alzheimer's disease, and to provide means of monitoring the effects of therapeutical measures taken towards such diseases. The invention enables the detection of disease specific catabolic markers related to oxidative NO-modifications, utilizing an immunoassay comprising antibodies directed against nitrated and non-nitrated epitopes characteristic of a specific protein.
US08329872B2 Drug monitoring assay
A method for obtaining at least one binding agent which binds a pharmaceutically active form of the compound with a higher specificity than a pharmaceutically inactive form of the compound is described by using special derivatives of said parent compound. The invention also pertains to the respectively created binding agents and derivatives. Furthermore, drug monitoring assays using said binding agents for monitoring pharmaceutically active forms of said parent compound are provided.
US08329865B2 Antimicrobially active peptides
An antimicrobially active peptide comprises the DCD protein or a fragment of DCD, preferably derived from the C-terminal region.
US08329863B2 Gonadotropin releasing hormone antagonists
Antagonistic peptides of GnRH having improved water solubility are disclosed. These peptides are capable of suppressing serum testosterone levels in vivo to chemical castration levels of ≦0.5 ng/ml. Stable, filter sterilizable, non-gelling solutions containing the GnRH antagonists at least at levels typically used in sustained release formulations also are disclosed, as is a method of increasing the solubility of GnRH antagonist in a polymer containing dispersed phase, which method comprises addition of an acid to the dispersed phase.
US08329861B2 Protein KTPAF50
Provided is a novel, isolated polypeptide including an amino acid sequence of SEQ. ID. NO: 2 or SEQ. ID. NO: 4, and the nucleic acid molecule which encodes it. The polypeptide may be used in a method for treating various diseases including cancer, immune associated, viral and inflammatory diseases.
US08329860B2 Chromatography ligand comprising domain C from Staphylococcus aureus protein A for antibody isolation
The present invention relates to a chromatography ligand, which comprises Domain C from Staphylococcus protein A (SpA), or a functional fragment or variant thereof. The chromatography ligand presents an advantageous capability of withstanding harsh cleaning in place (CIP) conditions, and is capable of binding Fab fragments of antibodies. The ligand may be provided with a terminal coupling group, such as arginine or cysteine, to facilitate its coupling to an insoluble carrier such as beads or a membrane. The invention also relates to a process of using the ligand in isolation of antibodies, and to a purification protocol which may include washing steps and/or regeneration with alkali.
US08329856B2 Biodegradable polymer microparticles and preparation method thereof
The present invention relates to a preparation method for a biodegradable polymer microparticle and a microparticle prepared by the method. More particularly, the present invention relates to a method for preparing a polymer microparticle, wherein the method includes the steps of: dissolving a biodegradable polyester-based polymer in DMSO (Dimethyl Sulfoxide); spraying the solution in a low temperature hydrocarbon solution to provide a frozen DMSO microparticle; adding the microparticle in a low temperature salt aqueous solution to dissolve DMSO; and removing salt. The present invention provides a method for preparing a novel polymer microsphere which can be injected through a syringe due to excellent physical properties (such as biocompatibility, biodegradability, porosity, mechanical strength) and the microcarrier's size-adjustability, and can be easily mass-produced. The microparticle prepared by the method of the present invention may have variable sizes with biodegradability and biocompatibility, and thus can be used as a carrier for regeneration of a damaged cell or tissue by being injected into a body through a syringe.
US08329851B2 Functional polymer with a pendant color changing indicator
A functionalized polymer is disclosed that comprises the reaction product of a polymer having one or more pendant reactive functional groups and a color changing indictor having a co-reactive functional group. The co-reactive functional group of the color changing is able to react with the reactive functional group of the polymer to form a covalent bond. Therefore, the color changing indicator is pendant from the polymer. The color changing indicator maintains its ability to produce a visually discernable color change in the presence of an associated stimulus.
US08329850B2 Golf equipment formed from castable formulation with unconventionally low hardness and increased shear resistance
Golf equipment including compositions including castable formulations that have low material hardness and increased shear resistance. The compositions may be used in any layer of a golf ball including cores, intermediate layers, and covers and result in high spin rates.
US08329848B2 Ethylenic copolymer, composition containing the copolymer and use thereof
An object of the present invention is to provide a novel ethylene-α-olefin copolymer excellent in crosslinking properties; a foamed molded article having a low specific gravity and a low compression set (CS) and a composition capable of producing the foamed molded article, footwear parts composed of a foamed molded article; an ethylenic copolymer composition excellent in balance between weather resistance and mechanical strength, and an electric wire coating material and an electric wire sheath using the ethylenic copolymer composition; and a thermoplastic elastomer capable of producing a molded article excellent in balance between mechanical strength and toughness. The ethylenic copolymer (A) of the present invention is a copolymer composed of only ethylene and an α-olefin having 3 to 20 carbon atoms, and is characterized in that vinyl-group content (a) per 1000 carbon atoms as measured by infrared absorption spectroscopy, MFR10/MFR2.16 (b), and the specific gravity (c) are within a specific range.
US08329847B2 Optically compensated acrylic pressure-sensitive adhesive composition, polarizing plate and liquid crystal display device containing the same
The present invention relates to an acrylic pressure-sensitive adhesive composition which comprises a (meth)acrylic copolymer comprising 70 to 95 parts by weight of an alkyl(meth)acrylic acid ester monomer, in which alkyl has 2 to 14 carbon atoms, and 5 to 30 parts by weight of a (meth)acrylic acid ester monomer containing a copolymerizable aromatic group, wherein the composition has a gel fraction of 10 to 55% and a swelling ratio of 30 to 110, and a sol (uncross-linked polymer) eluted therein with a solvent has a weight average molecular weight of 600,000 or more; a polarizing plate comprising the composition; and a liquid crystal display device comprising the same. The composition according to the present invention provides workability such as an excellent durability and re-workability, and has an effect of improving a light leakage phenomenon, since the size of birefringence occurred under stress is very small.
US08329834B2 Dual metallocene catalyst systems for decreasing melt index and increasing polymer production rates
The present invention provides dual catalyst systems and polymerization processes employing these dual catalyst systems. The disclosed polymerization processes can produce olefin polymers at higher production rates, and these olefin polymers may have a higher molecular weight and/or a lower melt index.
US08329832B2 Process for producing cyclic polyarylene sulfide
A method for producing a cyclic polyarylene sulfide, wherein a cyclic polyarylene sulfide is produced by heating a reaction mixture which is composed of at least a linear polyarylene sulfide (a), a sulfidizing agent (b), a dihalogenated aromatic compound (c) and an organic polar solvent (d). This method for producing a cyclic polyarylene sulfide is characterized in that not less than 1.25 liters of the organic polar solvent is used per 1 mole of the sulfur content in the reaction mixture. This method enables to efficiently produce a cyclic polyarylene sulfide, more specifically cyclic oligoarylene sulfide by an economical and simple process in short time.
US08329825B2 Material for vibration control, article for vibration control and multilayer laminate for vibration control
A material for vibration control of the invention includes a propylene polymer (A) containing a constitutional unit (a) derived from propylene in the proportion of 40 to 100 mol % and a constitutional unit (b) derived from α-olefin having 2 to 20 carbon atoms excluding propylene in the proportion of 60 to 0 mol % [provided that the total of (a) and (b) is 100 mol %], and having a melting point measured by DSC of 90° C. or below or no observed melting point, and the material has excellent vibration controllability such as vibration damping property, vibration preventing property, sound insulating property, and sound absorbing property.
US08329823B2 Photocrosslinkable materials
A diamine compound of formula (I) is proposed as well as polymers, copolymers, polyamic acids, polyamic acid esters, or polyimides based on such compound.
US08329820B2 Fire-resistant coating material
A fire-resistant coating material comprising an organic/inorganic composite is disclosed. The organic/inorganic composite includes an organic component of polymer, monomer, oligomer, prepolymer, or copolymer having a first reactive functional group; inorganic particles; and optional additives. The inorganic particles possess a second reactive functional group, originally or after surface modification, which react with the first reactive functional group of the organic component to form chemical bonds. The organic/inorganic composite can be with admixed with a suitable continuous phase, depending on the type of the organic component, to provide a fire-resistant coating material.
US08329819B2 Organic/inorganic composite and fire-resistant plate utilizing the same
The invention discloses a fire-resistant composite comprising inorganic particles well dispersed in a polymer, oligomer or copolymer having reactive functional groups. The inorganic particles also contain reactive functional groups, originally or after surface modification, that can react with the corresponding reactive functional groups of the organic component to form organic/inorganic composite materials. When the composite material is burned or exposed to fire, the organic component forms a char layer and the inorganic particles radiate absorbed heat. The inorganic particles also strengthen the mechanical properties of the structure through the reaction between inorganic and organic materials. The invention also discloses a fire-resistant plate containing the organic/inorganic component.
US08329816B2 Silicone microemulsion composition
A silicone microemulsion composition obtained by microemulsifying a carboxy-modified organopolysiloxane, and having a lower surface tension than conventional microemulsions. The composition includes 100 parts by mass of a specific carboxy-modified organopolysiloxane (A), 25 to 75 parts by mass of a specific polyether-modified organopolysiloxane (B), 0.1 to 10 parts by mass of an anionic surfactant, and 20 to 6,000 parts by mass of water, wherein the average particle size of the emulsion particles is not more than 100 nm.
US08329815B2 Silicone-containing polymer and a heat-resistant resin composition comprising the silicon-containing polymer
A silicon-containing polymer which is represented by general formula (5) below and has a weight-average molecular weight in the range from 500 to 500,000: (In the formula, A2 is an organic group of 2-10 carbons, having a carbon-carbon unsaturated group; R3 is an alkylene group of 1-20 carbons, a bivalent aromatic group of 6-20 carbons, or a bivalent alicyclic group of 3-20 carbons; n is 0 or 1; R4 is a hydrogen atom or an alkyl group of 1-10 carbons (R4 in one molecule may be the same type or a combination of two or more different types.); each of x and y is a positive number; each of w and z is 0 or a positive number; 0≦z/(w+x+y)≦2; and 0.01≦y/(w+x)≦5), and the heat-resistant resin composition comprising the silicon-containing polymer.
US08329813B2 Thermal reduction of fluoroether carboxylic acids or salts from fluoropolymer dispersions
A process for reducing the fluoroether carboxylic acid or salt content of aqueous fluoropolymer dispersion. The fluoroether carboxylic acid or salt employed comprises fluoroether carboxylic acid or salt having the formula below: [R1—O-L-COO−]Y+ wherein R1 is a linear, branched or cyclic partially or fully fluorinated aliphatic group which may contain ether linkages; L is a branched partially or fully fluorinated alkylene group which may contain ether linkages; and Y+ is hydrogen, ammonium or alkali metal cation. The process comprises adding stabilizer to the aqueous fluoropolymer dispersion to form a stabilized aqueous fluoropolymer dispersion and heating the stabilized aqueous fluoropolymer dispersion to decarboxylate the fluoroether carboxylic acid or salt to produce a fluoroether byproduct. At least a portion of the fluoroether byproduct is removed.
US08329811B2 Process for polymerization in the presence of nanoparticles of a mineral filler for the attainment of polymer nanocomposites, and a polymer nanocomposite
A process for polymerization in the presence of nanoparticles of a mineral filler for obtaining polymer nanocomposites which includes the steps of (a) mixing a mineral filler with a swelling agent in a liquid state or near a critical state or supercritical state; (b) subjecting the swelling agent of the mixture obtained in step (a) to an endoenthalpic or isoenthalpic phase change, by altering the conditions of temperature and/or pressure; and (c) polymerizing a monomer, in a continuous or a batch process, in the presence of the mixture of step (b); wherein the swelling agent is a saturated or unsaturated hydrocarbon, a polar or vinylic organic compound, the same monomer used in the polymerization reaction or an inert compound present as a component of the reaction medium.
US08329807B2 Latex paint film resistant to adverse effects of water, and compositions and methods for making same
A colorant composition containing a hydrophobic latex polymer component to impart resistance to water-softening and -staining in a paint film made from a latex paint formulated with the colorant composition, as well as the paint and paint film, and methods for making the foregoing.
US08329805B2 Elastomers containing surface metalated siliceous filler
This invention is based upon the concept of modifying the surface of silica with a metal, such as titanium or zirconium, which will catalyze silanol condensation reactions on the surface of the silica. The utilization of such metalated silica as a filler in rubber compositions results in improved polymer filler interaction and in turn improved physical properties. For instance, such surface metalated siliceous fillers can be used in tire tread compounds to attain improved rolling resistance and treadwear without compromising traction characteristics. The present invention more specifically discloses a tire having a tread comprising (1) a rubbery polymer, (2) a silica coupling agent, and (3) a surface metalated siliceous filler.
US08329802B2 Surface-treated calcium carbonate and paste resin composition containing same
The invention provides a surface-treated calcium carbonate that when incorporated into a paste resin, can impart low viscosity and high thixotropy and offers excellent storage stability, and paste resin compositions containing the same. The surface-treated calcium carbonate is a calcium carbonate surface-treated with a surface treatment agent containing a sodium salt or potassium salt of a fatty acid, wherein the total content of a sodium salt and a potassium salt of lauric acid, a sodium salt and a potassium salt of palmitic acid and a sodium salt and a potassium salt of stearic acid in the surface treatment agent is 80% by weight or more, the content of the sodium salt and potassium salt of lauric acid is within the range of 30% to 60% by weight, the content of a sodium salt and a potassium salt of an unsaturated fatty acid in the surface treatment agent is 5% by weight or less, and the BET specific surface area is 10 m2/g or more.
US08329799B2 Rubber composition for studless tire and studless tire
The invention provides a rubber composition for studless tire achieving well-balanced abrasion resistance, performance on ice and snow, handling stability, and wet grip performance; and a studless tire including a tread produced from the composition. The composition contains: a rubber component; aromatic oil; silica; carbon black; and a mixture of a zinc salt of aliphatic carboxylic acid and a zinc salt of aromatic carboxylic acid, wherein the total of NR and BR in 100% by mass of the rubber component is 30% by mass or more, the amount of aromatic oil is 12-85 parts by mass, the amount of silica is 12-85 parts by mass, and the amount of mixture is 1 part by mass or more, per 100 parts by mass of the rubber component, and the proportion of silica is 45% by mass or more per 100% by mass of the total of the silica and carbon black.
US08329798B2 Curable composition
A curable composition, useful as a thermosetting binder, having a polycarboxy polymer or co-polymer and a multifunctional polyol.
US08329797B2 Low dielectric loss power cable sheaths comprising high pressure polyolefins free of silane functionality
Power cables that comprise a sheath layer, e.g., an insulation layer, are produced from a mixture of a high pressure polyolefin free of silane functionality, e.g., high pressure low density polyethylene, and a polyether polyol of which at least 50 percent of its molecules comprise no more than a single hydroxyl functionality. Preferably, the power cable is a medium or high voltage power cable, and the polyolefin is crosslinked as the sheath layer is fabricated and/or subsequent to the fabrication of the sheath layer.
US08329794B2 Compatibilized silica in nitrile rubber and blends of nitrile rubber and styrene butadiene rubber compositions
A polymer composition of a compatibilized silica in blends of acrylonitrile butadiene polymer and styrene butadiene polymer comprising six to ninety percent by weight of a compatibilized silica, at least one percent by weight of a coupling agent, at least one percent by weight of a styrene butadiene polymer, and at least one percent by weight of an acrylonitrile butadiene polymer is described herein. The polymer composition can have a minimum amount of at least ten percent by weight of 15:50 ratio, acrylonitrile to butadiene polymer, with the remainder being compatibilized silica.
US08329793B2 Plasticized composition comprising polyvinylbutyral resin having controlled stereochemistry
Provided herein is a polyvinylbutyral (PVB) resin having a meso/racemic stereoisomer ratio of from about 2.5 to about 5.0. Further provided herein is a plasticized PVB composition comprising the PVB resin. Manipulation of the PVB stereoisomer ratio can provide an additional method of controlling the physical properties of the PVB composition, in addition to or in lieu of changing additives or otherwise manipulating the PVB composition.
US08329792B2 Phosphonate based compound and flameproof thermoplastic resin composition including the same
The present invention provides a phosphonate based compound represented by the following Chemical Formula 1 and a flameproof thermoplastic resin composition comprising (A) a thermoplastic resin and (B) a phosphonate based compound represented by the following Chemical Formula 1. The flameproof thermoplastic resin composition can exhibit good flame retardancy and impact strength. Further, the composition does not include a halogenated flame retardant and thus can provide environmental and safety benefits. wherein R1 and R2 are each independently C1-C4 alkylene and X is a cyano group.
US08329790B2 Polypropylene based formulations
A polypropylene composition comprising polypropylene impact copolymer or impact modified polypropylene and an effective flame retarding amount of a mixture of (i) tris(tribromoneopentyl) phosphate and (ii) a carbon-carbon initiator, wherein the composition meets a UL 94 rating of V-1 or V-0, said composition being substantially free from antimony trioxide. A method for improving the flame retarding rating of a polypropylene composition is also disclosed.
US08329788B2 Tire having enhanced ozone resistance
The present invention includes rubber compositions, rubber articles and tires formulated to prevent ozone attack. A particular embodiment of the present invention includes a tire comprising a rubber structure, the rubber structure comprising an essentially unsaturated rubber elastomer, between 0.1 and 0.45 phr (parts by weight per hundred parts by weight of the rubber elastomer) of a particular compound 2,4,6-Tris-(N-1,4-dimethylpentyl-p-phenylenediamino)-1,3,5-triazine and between 0.5 and 2 phr of a phenolic resin.
US08329785B2 Low dust joint compound
A wall repair compound useful for filling and repairing cracks, holes, and other imperfections in a wall surface includes a conventional filler material, a conventional binder material, and a dust reducing additive which reduces the quantity of airborne dust particles generated when sanding the hardened joint compound. Airborne dust reducing additives include oils, surfactants, solvents, waxes, and other petroleum derivatives. The additive can be added to conventional ready-mixed joint compounds and to setting type joint compounds. A method of reducing the quantity of airborne dust generated when sanding a fully hardened joint compound includes mixing a sufficient quantity of the dust reducing additive with the joint compound prior to when the joint compound has been applied to the wall.
US08329784B2 process for preparing a white non-transparent microvoided biaxially stretched film
Disclosed is a process for preparing a non-transparent microvoided axially stretched film including i) mixing a linear polyester having monomer components consisting essentially of an aromatic dicarboxylic acid, an aliphatic diol and optionally an aliphatic dicarboxylic acid, a non-crosslinked random SAN-polymer and one or more additional ingredients to produce a mixture, ii) forming the mixture produced in step i) in a thick film followed by quenching; iii) stretching the thick film at a temperature between the glass transition temperature of the SAN-polymer and the glass transition temperature of said linear polyester to at least twice the initial length, and (iv) further stretching the film at an angle substantially 90° to the previous stretching process to at least twice the initial length and at 90° C. or below.
US08329782B2 Removing fluorosurfactant from aqueous fluoropolymer dispersions using anion exchange polymer with functional groups resistant to degradation to trialkylamines
A process for reducing fluorosurfactant content of a stabilized fluorosurfactant-containing aqueous fluoropolymer dispersion comprising contacting the stabilized fluorosurfactant-containing aqueous fluoropolymer dispersion with an anion exchange resin comprising a polymer and quaternary ammonium functional groups to reduce fluorosurfactant content, the functional groups being resistant to degradation which releases trialkylamines. The anion exchange resin is separated from the dispersion after the fluorosurfactant content has been reduced.
US08329781B2 Thermosetting powder paints
Thermosetting colored powder paints to be used in electrostatic painting processes are described. These powder paints comprise not more than 30% by weight of at least one monochromatic pigment coated with at least one aldehyde and/or ketone resin, preferably 5 to 30% by weight, and up to 95% by weight of conversion agent, preferably 70 to 95% by weight; more than 90% of the particles of said at least one coated monochromatic pigment and said conversion agent have a particle size of between 10 and 40 microns, preferably between 15 and 35 microns. The coated monochromatic pigment and the conversion agent have the same specific weight which is between 1.3 and 1.7 g/cc.
US08329780B2 Encapsulated colorant, method of preparing the same, and ink composition comprising the encapsulated colorant
Provided are an encapsulated colorant including a polymer resin and a colorant coated with the polymer resin, wherein the encapsulated colorant includes at least two types of colorants which have different average particle diameters with different distributions. The encapsulated colorant has two types of colorants which have different average particle diameters with different distributions. In the preparation of an encapsulated colorant, a supplementary colorant is added to the preparation of an initially added colorant after a specific period of time. Thus, a polymer resin growing on the colorants has a different size. Thus, the present general inventive concept provides an encapsulated colorant having at least two average particle diameters with different distributions and has beneficial miscibility since the colorants are coated with a polymer resin. The present general inventive concept also provides an ink composition having superior work efficiency in low shear regions such as mixing and transferring the colorants, and in high shear regions such as inkjet ejection.
US08329779B2 Enamel
The invention relates to a translucent or transparent, colored enamel that contains metal nanoparticles that lost their natural tendency to aggregate with each other, and having a color essentially provided by the reflection of light. The nanoparticles have lost their natural capability to aggregate with each other due to the application of a coating, or because they have been functionalised electrostatically or using highly sterically hindered entities or using hydrophilic entities located at the surface thereof. The nanoparticles may be gold nanoparticles that impart an intense and deep red to said enamel.
US08329778B2 Sealing composition
A sealant composition for the sealing of a punctured tire including a liquid carrier, one or more viscosity and suspending agents, one or more fillers and sealants, and one or more polyacrylates.
US08329776B2 Dental composition containing a polyfunctional (meth)acrylate comprising urethane, urea or amide groups, method of production and use thereof
The invention relates to a dental composition comprising a) a hardenable compound (A1), b) a filler (B1), c) an initiator (C1) being able to initiate curing of compound (A1), compound (A1) having the structure A-(-S1-U-S2-MA)n, with A being a connector element, S1 being a spacergroup comprised of units connected with each other and comprising at least 4 units, S2 being a spacergroup comprised of units connected with each other and comprising at least 4 units, U being an urethane, an amide or an urea group connecting spacergroups S1 and S2, MA being an acrylate or methacrylate group and n being 3 to 6. The invention also relates to a process of producing this dental composition and using the dental composition e.g. as a temporary and/or long term crown and bridge material.
US08329774B2 Organosilicon compounds which have oxetanyl groups, and a method for the production and curable compositions of the same
The object of the present invention is to provide an organosilicon compound having an oxetanyl group, which has a high proportion of an inorganic part in the structure, which, after production thereof, is stable with no gelling, and which has excellent storage stability when it is formed into a composition, and a production method thereof and a curable composition. The organosilicon compound is a compound having an oxetanyl group obtained by a method including a process in which a silicon compound A represented by the formula (1) and a silicon compound B having four siloxane bond-forming groups are subjected to hydrolysis and condensation at a ratio of 0.3 to 2.8 mol of silicon compound B based on 1 mol of silicon compound A. [In the formula, R0 is an organic group having an oxetanyl group, R1 is an alkyl group having 1 to 6 carbon atoms, an aralkyl group having 7 to 10 carbon atoms, an aryl group having 6 to 10 carbon atoms, or an organic group having an oxetanyl group, X is a hydrolyzable group, and n is 0 or 1.]
US08329773B2 Holographic media and photopolymers
The invention relates to holographic media containing specific photopolymers, a process for the production thereof, and unsaturated glycidyl ether acrylate urethanes as writing monomers which are suitable for the preparation of photopolymers.
US08329768B2 Method and apparatus for capturing carbon contained in plastics
A method and apparatus for separating non-biogenic plastic from biogenic waste. A stream of waste materials is delivered through an inlet into a conduit in which the biogenic waste drops out the bottom. The non-biogenic plastic is drawn upwardly by a separator in the form of pressurized gas fed tangentially through two ports in an opposite direction with each other to create a vacuum in the conduit.
US08329761B2 Oil-in-oil emulsions
An stable oil-in-oil emulsion is disclosed containing a first oil phase dispersed as droplets in a continuous second oil phase, which droplets have a number median diameter of 10 nm to 1000 nm, wherein the first oil phase is substantially immiscible in the second oil phase and wherein the first oil phase comprises a liquid organic phosphate compound. In one preferred embodiment, the first oil phase comprises colorants, polymers, and/or other additives, depending on the particular use of the emulsion.
US08329759B2 Long term weight maintenance
This invention is in the field of weight maintenance, more in particular in the field of long term weight maintenance of humans. The invention relates to the use of a mixture of a triglyceride oil having a solid fat content at ambient to body temperature and an emulsifier for maintaining weight after weight loss. The invention also relates to the use of a mixture of a triglyceride oil having a solid fat content at ambient to body temperature and an emulsifier for obtaining a thermogenic effect. The invention also relates to the use of a mixture of a triglyceride oil having a solid fat content at ambient to body temperature and an emulsifier for increasing the energy expenditure such as resting energy expenditure.
US08329756B2 Drug for treatment of colon cancer
The invention provides a method for treating or ameliorating colon cancer in a mammal comprising administering to the mammal a therapeutically effective amount of one or more compounds selected from the group consisting of compounds of Formula (1), (2), and a pharmaceutically acceptable salt or metabolite thereof.
US08329748B2 Biologically active oils
A process for the production of fats or oils and their extracts containing biologically active chemical compounds from a lipid substrate, the process comprising: a) inoculation of a lipid substrate with fungally derived lipolytic enzymes; b) incubating the inoculated substrate for a period of between about 7-120 days at a temperature of between about 4-35° C., at a humidity of between about 75-100%, and c) processing said substrate mixture to obtain a biologically active fat or oil.
US08329747B2 Polyunsaturated fatty acid monoglycerides, derivatives, and uses thereof
There are provided various polyunsaturated fatty acid monoglycerides and derivatives thereof. These compounds can be useful as cancer chemopreventive agents, cancer treating agent, inhibiting tumor growth or cell proliferation, reducing tumor growth or as radioenhencers for radiotherapy of cancer.
US08329745B2 Small molecule inhibitors of ghrelin O-acyltransferase
Ghrelin O-acyltransferase (GOAT) is inhibited with designed small molecules of the general formula: Methods comprise contacting the GOAT with an inhibitor and detecting a resultant inhibition.
US08329741B2 Substituted pyrrolidine compounds with central nervous system activity
Provided herein are substituted pyrrolidines that demonstrate binding at biogenic amine transporters and can be synthesized by a methodology based on a chiral dirhodium catalyst. Compositions comprising substituted pyrrolidines can be used to treat central nervous system disorders such as schizophrenia.
US08329738B2 Use of AMPK-activating imidazole derivatives, preparation process therefor and pharmaceutical compositions comprising them
The invention relates to the use of imidazole derivatives of the formula (1): in which A, R′1, R′2 and R′3 are as defined in the description, as AMPK activators. The invention also relates to processes for the preparation of the said compounds, to their uses for the preparation of medicaments for the treatment of insulin resistance, diabetes and related pathologies, and also obesity, and to the pharmaceutical compositions comprising them.Certain compounds of the formula (1) are novel and, in this respect, also form part of the invention.
US08329737B2 Benzimidazoles as selective kinase inhibitors
A compound of the general formula (I) or pharmaceutically acceptable prodrugs, salts, hydrates, solvates, crystal forms or diastereomers thereof, wherein A represents a variety of six membered nitrogen containing heterocyclic rings, Q is a bond, halogen, C1-4 alkyl, O, S, SO2, CO or CS and X1, X2, X3 and X4 are optionally substituted by 9 specific substituents or one can be nitrogen. Compositions comprising a carrier and at least one compound of formula (I) are also provided. Further provided are methods of treating tyrosine kinase-associated disease states by administering a compound of formula (I) and methods of suppressing the immune system of a subject by administering a compound of formula (I).
US08329735B2 Tetrazole compounds which selectively modulate the CB2 receptor
Compounds of formula (I) are disclosed. Compounds according to the invention bind to and are agonists, antagonists or inverse agonists of the CB2 receptor, and are useful for treating inflammation. Those compounds which are agonists are additionally useful for treating pain.
US08329731B2 PTEN inhibitor or Maxi-K channels opener
A new PTEN opener or a new opener of a large conductance Ca2+-activated K+ channel (Maxi-K channel) which comprises as an active ingredient a tetrazolylalkoxy-dihydrocarbostyril compound of the formula (I): wherein R is cycloalkyl, A is lower alkylene, and the bond between 3- and 4-positions of the carbostyril nucleus is single bond or double bond, or a salt thereof, which is useful as a medicament for promotion of the survival of normal cells, brain cells, heart cells, and skin, and further for inhibiting of Gram negative sepsis and cell migration and cell invasion due to inhibition of PTEN and is further useful as a medicament for the treatment of neuronal disorders, for example, an anticonvulsant, a neuroprotecting agent, a medicament for treatment of regional cerebral edema and neurologic motor impairment, cognitive disorders, traumatic brain injury, Parkinson's disease, epilepsy, migraine, and Alzheimer's disease, etc.
US08329729B2 Quinuclidine derivatives as muscarinic M3 receptor antagonists
The invention provides named compounds of formula (I), wherein R4 is a N-substituted quinuclidine (I) pharmaceutical compositions containing them and a process for preparing the pharmaceutical compositions. Their use in therapy for the treatment of conditions mediated by M3 muscarinic receptors, such as chronic obstructive pulmonary disease is also disclosed.
US08329728B2 Synthesis and anti-proliferative effect of substituted imidazo[4,5-c]pyridine compounds
This invention provides for compounds, compositions, and methods that involve anti-proliferative and anti-neoplastic activity in cancer cells. In particular, a series of benzimidazole, purine, imidazopyridine, and imidazopyrizine compounds having selected substitution patterns are disclosed, and the activity of various subject compounds is demonstrated. In particular, the disclosure provides for substituted imidazo[4,5-c]pyridine compounds having the general formula their salts, pharmaceutical compositions, and methods of treatment using the subject compounds and compositions.
US08329726B2 Inhibitors of VEGF receptor and HGF receptor signaling
The invention relates to the inhibition of VEGF receptor signaling and HGF receptor signaling. The invention provides compounds of general formula (A) wherein A1 is sulfur, A3 is CH, A2 is CH, D is heterocycle, Z is oxygen, SO0-2 or NR, Ar is phenyl and G is not a ring, and methods for inhibiting VEGF receptor signaling and HGF receptor signaling. The invention also provides compositions and methods for treating cell proliferative diseases and conditions.
US08329723B2 1-aryl- or 1-heteroaryl-pyrido[B]indoles and uses thereof in treating cancers
Provided herein are 1-aryl or 1-heteroaryl pyrido[b]indoles compounds with the structure These compounds comprise a β-carboline ring structure substituted at C1 with an aryl or heteroaryl moiety and individually substituted at C3-C8 and N9 with a C1-C4 alkyl, a C1-C4 alkoxy, a C1-C4 alkoxyphenyl, or a hydrogen. Also provided are methods for inhibiting proliferation of cancer cells or for treating a cell proliferative disease by contacting the cancer cell or tumor comprising the same with the compounds provided herein or by administering the compounds to a subject with a cell proliferative disease.
US08329721B2 Hydroxy and alkoxy substituted 1H-imidazonaphthyridines and methods
1H-Imidazo[4,5-c]naphthyridin-4-amines with a hydroxy, alkoxy, hydroxyalkoxy, or alkoxyalkoxy substituent at the 2-position, pharmaceutical compositions containing these compounds, methods of making the compounds, intermediates, and methods of use of these compounds as immunomodulators, for inducing cytokine biosynthesis in animals and in the treatment of diseases including viral and neoplastic diseases, are disclosed.
US08329720B1 Opioid salts and formulations exhibiting abuse deterrent and anti-dose dumping properties
A drug substance with a pharmaceutically acceptable organic acid addition salt of an opioid wherein said organic acid is selected from Structure A: wherein R1-R4 are independently selected from H, alkyl or substituted alkyl of 1-6 carbons, adjacent groups may be taken together to form a cyclic alkyl, cyclic alkyl-aryl, or cyclic aryl moiety; R5 is selected from H, or an alkali earth cation; R6 and R7 are independently selected from H, alkyl of 1-6 carbons, an alkali earth cation, and aryl of 6 to 12 carbons, in a number sufficient to complete the valence bonding of X, and wherein X is selected from nitrogen, oxygen or sulfur; and wherein the drug substance has a morphology selected from amorphous and crystalline.
US08329714B2 Farnesyl transferase inhibiting 1,2-annelated quinoline enantiomer
(−)-5-(3-Chlorophenyl)-α-(4-chlorophenyl)-α-(1-methyl-1H-imidazol-5-yl)tetrazolo-[1,5-a]quinazoline-7-methanamine and its pharmaceutically acceptable acid addition salts, and the use of such compounds in medicine especially for the treatment of cancer.
US08329713B2 Fused ring heterocycles as potassium channel modulators
Compounds, compositions and methods are provided which are useful in the treatment of diseases through the modulation of potassium ion flux through voltage-dependent potassium channels. More particularly, the invention provides quinazolinone, compositions and methods that are useful in the treatment of central or peripheral nervous system disorders (e.g., migraine, ataxia, Parkinson's disease, bipolar disorders, trigeminal neuralgia, spasticity, mood disorders, brain tumors, psychotic disorders, myokymia, seizures, epilepsy, hearing and vision loss, Alzheimer's disease, age-related memory loss, learning deficiencies, anxiety and motor neuron diseases, maintaining bladder control or treating urinary incontinence) and as neuroprotective agents (e.g., to prevent stroke and the like) by modulating potassium channels associated with the onset or recurrence of the indicated conditions.
US08329706B2 Oxazolidinone derivatives
The present invention relates to oxazolidinone derivatives of formula (I) wherein Y, R3 and R4 are as described in the description, to their preparation, to pharmaceutically acceptable salts thereof, and to their use as pharmaceuticals, to pharmaceutical compositions containing one or more compounds of formula (I), and especially to their use as orexin receptor antagonists.
US08329704B2 Substituted pyrazinone derivatives for use in MCH-1 mediated diseases
The present invention concerns aryl and heteroaryl substituted pyrazinone derivatives having antagonistic melanin-concentrating hormone (MCH) activity, in particular MCH-1 activity according to the general Formula (I) a pharmaceutically acceptable acid or base addition salt thereof, a stereochemically isomeric form thereof, an N-oxide form thereof or a quaternary ammonium salt thereof, wherein the variables are defined in Claim 1. It further relates to their preparation, compositions comprising them and their use as a medicine. The compounds according to the invention are useful for the prevention and/or treatment of psychiatric disorders, including but not limited to anxiety, eating disorders, mood disorders, such as bipolar disorders and depression, psychoses, such as schizophrenia, and sleeping disorders; obesity; diabetes; sexual disorders and neurological disorders.
US08329701B2 Dihydrofuro pyrimidines as AKT protein kinase inhibitors
The present invention provides compounds, including resolved enantiomers, diastereomers, solvates and pharmaceutically acceptable salts thereof, comprising the Formula (I): Also provided are methods of using the compounds of this invention as AKT protein kinase inhibitors and for the treatment of hyperproliferative diseases such as cancer.
US08329700B2 Pyrazine compounds as phosphodiesterase 10 inhibitors
Pyrazine compounds of formula (I): or a pharmaceutically acceptable salt thereof, wherein m, n, p, R1, R2, R3, R4, X1, X2, X3, X4, X5, X6, X7, X8, X9, X10, X11, Y and Z are defined in the specification, and compositions containing them, and processes for preparing such compounds. Provided herein also are methods of treating disorders or diseases treatable by inhibition of PDE10, such as obesity, non-insulin dependent diabetes, schizophrenia, bipolar disorder, obsessive-compulsive disorder, and the like.
US08329698B2 Quinoxalinedione derivatives which are activators of AMPK-activated protein kinase
The present invention relates to compounds of formula (I) wherein X, Y, Z, R1, R2, R3 are as defined in claim 1, including pharmaceutical compositions thereof and for their use in the treatment and/or prevention of diabetes, metabolic syndrome, obesity, cancer, inflammation.
US08329697B2 Imidizo[1,2-A]pyrazines useful as AHCY hydrolase inhibitors
The present invention is directed to AHCY inhibitors of formula (I) which are useful in the treatment of diseases characterized by high homocysteine levels, such as Alzheimer's disease. The invention is also directed to pharmaceutical compositions comprising the compounds, and to the use of the compounds and compositions in the treatment of diseases characterized by high homocysteine levels.
US08329696B2 Solid state forms of sitagliptin salts
Solid state forms of Sitagliptin salts (e.g. Sitagliptin sulfate, Formula I), processes for preparing the solid state forms, and pharmaceutical compositions thereof, are provided. These compounds are of a class of dipeptidyl peptidase IV enzyme inhibitors which are useful, for example, for the manufacture of a medicament for the treatment of type 2 diabetes mellitus.
US08329693B2 Method for the prevention of thromboembolic disorders
A method for the prevention of thrombotic, embolic and/or hemorrhagic disorders, such as cerebral infarction (stroke) or myocardial infarction, by administering levosimendan or its metabolite (II) or any of their pharmaceutically acceptable salts to a mammal in need of such prevention.
US08329692B2 Pyrimidinyl pyridazinone derivatives
Compounds selected from the group according to Claim 1 are inhibitors of tyrosine kinases, in particular of Met kinase, and can be employed, inter alia, for the treatment of tumours.
US08329688B2 Trans-4-[[(5S)-5-[[[3,5-bis(trifluoromethyl)phenyl]methyl](2-methyl-2H-tetrazol-5-yl)amino]-2,3,4,5-tetrahydro-7,9-dimethyl-1H-1-benzazepin-1-yl]methyl]-cyclohexanecarboxylic acid
Compounds of a formula entitled, trans-4-[[(5S)-5-[[[3,5-bis(trifluoromethyl)phenyl]methyl](2-methyl-2H-tetrazol-5-yl)amino]-2,3,4,5-tetrahydro-7,9-dimethyl-1H-1-benzazepin-1-yl]methyl]-cyclohexanecarboxylic acid, as a free acid or a pharmaceutically acceptable salt thereof; and hydrates and other solvates in crystalline form; pharmaceutical formulations; and methods of use are disclosed.
US08329686B2 Isatin analogues and uses therefor
Novel isatin analogues, including isatin analogues comprising Michael Acceptors (IMAs) are disclosed. Further disclosed are methods of synthesis of the isatin analogues, and uses of the analogues, including inhibition of caspase-3 and caspase-7, and in vivo imaging of apoptosis by Positron emission tomography (PET) or Single Photon Emission Computed Tomography (SPECT).
US08329685B1 Enhancement of the action of anti-infective agents and of central and peripheral nervous system agents and transportation of nucleic acid substances
The invention provides a method of enhancing the action of a pharmaceutical agent selected from the group consisting of the anti-infective agents, the group comprising of the antimicrobial agents, the anthelmintic agents and the anti-ectoparasitic agents, but excluding coal tar solution and H1-antagonist antihistamines, and from the group consisting of the CPNS agents selected from the group of compounds acting on the central or peripheral nervous system, but excluding coal tar solution and H1-antagonist antihistamines and also excluding anti-inflammatory, analgesic and antipyretic agents and also provides an enhanced method for the administration of a nucleic acid substance to the cells of an animal, a plant or a micro-organism. The method is characterized in that the agent or nucleic acid substance is formulated with an administration medium which comprises a solution of nitrous oxide gas in a pharmaceutically acceptable carrier solvent for the gas and which administration medium includes at least one fatty acid or ester or other suitable derivative thereof selected from the group consisting of oleic acid, linoleic acid, alpha-linolenic acid, gamma-linolenic acid, arachidonic acid, eicosapentaenoic acid [C20:5ω3], decosahexaenoic acid [C22:6ω3], ricinoleic acid and derivatives thereof selected from the group consisting of the C1 to C6 alkyl esters thereof, the glycerol-polyethylene glycol esters thereof and the reaction product of hydrogenated natural oils composed largely of ricinoleic acid based oils, such as castor oil with ethylene oxide. The formulations of such agents or substances form part of the invention.
US08329683B2 Treatment of neurofibromatosis with radicicol and its derivatives
The present invention provides compounds of formulae Ia, Ia′, IIa, IIa′, IIIa, IIIa′, IVa, or Va and the therapeutic use thereof. The present invention also includes methods of treating NF2-deficient or NF1-deficient cells or neurodegenerative diseases with radicicol or its derivatives, such as one or more compounds of formula I, II, III, IV, V, Ia, Ia′, IIa, IIa′, IIIa, IIIa′, IVa, or Va. Furthermore, the present invention is directed to methods of inhibiting the growth of NF2-deficient or NF1-deficient tumors. The methods comprise contacting NF2-deficient or NF1-deficient tumor cells with radicicol or its derivatives, such as one or more compounds of formula I, II, III, IV, V, Ia, Ia′, IIa, IIa′, IIIa, IIIa′, IVa, or Va. The present invention is also directed to the combinational use of radicicol or its derivatives, such as one or more compounds of formula I, II, III, IV, V, Ia, Ia′, IIa, IIa′, IIIa, IIIa′, IVa, or Va with at least one additional active agent, such as one or more HSP90 inhibitors.
US08329682B2 Pyrrolo-nitrogenous heterocyclic derivatives, the preparation and the pharmaceutical use thereof
The invention provides new pyrrolo-nitrogenous heterocyclic derivatives represented by formula (I) or their salts, the preparation thereof, pharmaceutical compositions containing such derivatives and the use of such derivatives as therapeutic agents, especially as protein kinase inhibitors, wherein each substituent in formula (I) is same as defined in the description.
US08329681B2 Methods and compositions for the efficient delivery of therapeutic agents to cells and animals
The present invention provides methods of carrying out the safe and reliable preparation of lipids comprising quaternary amines. Such lipids are especially suited for introducing therapeutic agents into cells or organisms. In particular, the lipids of the invention are suitable for the efficient transfer of gene therapy agents into mammalian cells or organisms in a cell type specific or tissue specific manner.
US08329675B2 Inhibitors of fatty acid amide hydrolase
The present invention provide compounds, and pharmaceutical compositions thereof, encompassed by the formulae (I), (II) or (III). The present invention also provides methods for treating an FAAH mediated disease, disorder or condition by administering a therapeutically effective amount of a provided compound of the formulae (I), (II) or (III), or a pharmaceutical composition thereof, to a patient in need thereof. Additionally, the present invention provides methods for inhibiting FAAH in a patient by administering a therapeutically effective amount of a compound of the formulae (I), (II) or (III), or a pharmaceutical composition thereof, to a patient in need thereof.
US08329672B2 Oral and/or topical compositions comprising prebiotics and fatty acid
Compositions for oral and/or topical administration of a prebiotic and a physiologically active fatty acid, or a salt or ester thereof, are disclosed. The compositions are disclosed as enhancing the body's population of beneficial microorganisms for improving health and well-being.
US08329669B2 Composition and method for treatment of tumors
The present invention relates to a composition which is useful in the treatment of a tumor, a method for making such a composition, and a method for using such a composition. The invention relates also to a method for assaying for inhibitors of the activity of Core 1 protein and/or other proteins of the respiratory complex III of mitochondria.
US08329667B2 Inhibition of hairless protein mRNA
Methods for inhibition of hairless protein mRNA using RNA interference is described, in particular methods for hair removal. Also described are nucleic acid constructs for RNAi-mediated inhibition of hairless protein mRNA and compositions including such constructs.
US08329664B2 Anti-viral pyrimidine nucleoside derivatives
A compound for use in the treatment or prophylaxis of viral infections such, for example as chicken pox or shingles caused by the Varicella Zoster virus, said compound having the general formula (II): wherein X is O, S, NH or CH2, Y is O, S or NH, Z is O, S or CH2, R1 is C1-6 alkyl, preferably n-alkyl, e.g., n-pentyl or n-hexyl, and one of R2 and R3 is OH, and the other of R3 and R2 is a neutral, non-polar amino acid moiety, or a pharmaceutically acceptable salt or hydrate thereof. Said neutral, non-polar amino acid moiety R2 or R3 may be (IV): in which R4, R5, R6 and R7 are each independently H or C1-2 alkyl. In preferred embodiments, one of R2 or R3 is valine, leucine, isoleucine or alanine, particularly valine.
US08329662B2 Prodrug of an ICE inhibitor
This invention describes an ICE inhibitor prodrug (I) having good bioavailability. Compound I is useful for treating IL-1 mediated diseases such as rheumatoid arthritis, inflammatory bowel disease, Crohn's disease, ulcerative colitis, inflammatory peritonitis, septic shock, pancreatitis, traumatic brain injury, organ transplant rejection, osteoarthritis, asthma, psoriasis, Alzheimer's disease, myocardial infarction, congestive heart failure, Huntington's disease, atherosclerosis, atopic dermatitis, leukemias and related disorders, myelodysplastic syndrome, uveitis or multiple myeloma.
US08329660B2 Tim-3 ligands and methods thereof
The invention relates to isolated polypeptides and nucleic acids encoding polypeptides which comprise a tim-3 IgV domain and a tim-3 intracellular domain, wherein the polypeptides do not comprise a tim-3 mucin domain or a tim-3 transmembrane domain. In addition, the invention relates to methods of modulating immune responses in a subject, comprising administering to the subject a therapeutically effective amount of an agent that modulates tim-3 activity. Immune responses include, but are not limited to, immune tolerance, transplantation tolerance, Th1 responses and Th2 responses.
US08329656B2 Use of a PDE5 inhibitor for treating and preventing hypopigmentary disorders
The invention relates to the use of PDE5 inhibitors for treating and/or preventing hypopigmentary disorders.
US08329654B2 Use of IL-6/IL-6 chimera in Huntington's disease
The invention relates to the use of an IL-6R/IL-6 chimera, a mutein, isoform, fused protein, functional derivative, active fraction or circularly permutated derivative or a salt thereof, for the manufacture of a medicament for the treatment and/or prevention of Huntington's disease.
US08329652B2 Neuritogenic peptides
The present invention relates to peptide compounds that are capable of stimulating neuronal differentiation, neurite outgrowth and survival of neural cells, and enhancing synaptic plasticity, learning and memory, methods of treating diseases and conditions of nervous system by administration of compositions comprising said compounds. The compounds and compositions of the invention include peptide sequences that are derived from the sequence of human erythropoietin or proteins that are homologous of human erythropoietin.
US08329651B2 Method for renal disease diagnosis and prognosis using annexin A1 and Rab23 as markers
Use of Annexin A1 or Rab23 as a biomarker for diagnosing kidney disease or assessing efficacy of kidney disease treatment.
US08329648B2 Methods for treating diabetes and reducing body weight
Methods for reducing body weight, altering body composition, treating diabetes, reducing HbA1c and reducing average daily blood glucose by the use of exendins, exendin agonists or exendin analog agonists are provided.
US08329645B2 Polymyxin derivatives and uses thereof
The present invention relates to a polymyxin derivative wherein R1, R2 and R3 are optional and R1, R2, R3, R5, R8 and R9 are cationic or neutral amino acid residues selected so that the total number of positive charges at physiological pH is at least two but no more than three; and to a combination product comprising at least two such derivatives. The invention further relates to a method for treating, alleviating or ameliorating an infection in a subject, caused by a Gram-negative bacterium by administering a therapeutically effective amount of a derivative according to the present invention to said subject; to a method for sensitizing Gram-negative bacteria to an antibacterial agent by administering, simultaneously or sequentially in any order a therapeutically effective amount of said antibacterial agent and a derivative according to the present invention to said subject; to methods for developing novel antibiotics; for reducing the nephrotoxicity, for improving the pharmacokinetic properties of natural polymyxins and octapeptins; and for sensitizing clinically important bacteria to a host defense mechanism complement present in serum. Finally, the invention relates to a process for preparing such polymyxin derivatives.
US08329640B2 Peptides with improved properties having the biological activity of vasoactive intestinal peptide (VIP) and their use for the treatment of lung diseases
The present invention relates to novel peptides that are highly biologically and pharmacologically active as therapeutic agents for the treatment of numerous lung diseases or lung and/or bronchi related diseases, especially chronic obstructive pulmonary disease (COPD), cystic fibrosis (CF), and Bronchiolitis obliterans (BO). The synthetic peptides according to the invention are derivatives of vasoactive intestinal peptide (VIP) and show enhanced physical, pharmacological and biological/therapeutic properties compared to VIP.
US08329639B2 MUC1 based glycolipopeptide vaccine with adjuvant
Provided herein are liposomal glycolipopeptidic vaccine formulations comprising an adjuvant and an immunogen for immunotherapy and/or treatment of cancer.
US08329630B2 Ready to use thickened degreaser and associated methods
Cleaner compositions, methods of making cleaners, and methods of use are disclosed. Disclosed is a method of formulating a ready-to-use degreaser composition that has extended to infinite cling time. The method of making the cleaner results in thickened compositions that are capable of removing from vertical and inverted surfaces fresh, greasy and baked-on soils encountered in the food industry. The compositions include one or more alkalinity sources, one or more chelants, one or more surfactants, one or more thickening agents, one or more solvents, one or more buffers, and as a remainder, water. Compositions prepared according to the method of the invention are substantially free of phosphorous and have a low caustic content making them user friendly, having a lower pH than commercially available cleaners. Yet the cleaners prepared according to the method of the invention are surprisingly effective at removing tenacious baked-on soils encountered in the food service industry.
US08329629B2 Liquid composition to clean nozzle surface and cleaning device having the same
A liquid composition to clean an inkjet nozzle, and a inkjet head cleaning unit that contains the same. The liquid composition includes an inkjet ink stabilizer capable of removing impurities from an inkjet nozzle.
US08329628B2 Biodegradable personal cleansing compositions and methods relating to same
A personal cleansing composition includes a surfactant, a thickener, emulsifier and at least one particulate dispersed in the thickener, the at least one particulate being formed of a substantially biodegradable substance. The at least one particular may include a soy meal based polymer. The soy meal based polymer is both stable and biodegradable. The at least one particulate includes a group of suspended particles added to mechanically scrub the skin of a user or used as a textural ingredient to modify the feel, spreadability or slip of a product. The soy meal based polymer is useful in personal cleansing compositions as an exfoliant, a scrub, a film former or as a filler. The half-life of the composition may be modified as necessary based on the shelf-life of the product.
US08329625B2 Aqueous functional fluids with antioxidants
The present invention relates to an aqueous functional fluid comprising an antioxidant of the hindered phenol type and a water-soluble polyalkylene glycol. The aqueous functional fluids are especially suitable for use as hydraulic or metal working fluids. The present invention also relates to the use of the aqueous functional fluid for improving the performance properties of hydraulic or metal working fluids.
US08329624B2 Organic molybdenum compounds and lubricating compositions which contain said compounds
The present invention provides dicyclopentadienyl molybdenum crosslinked complexes which can be represented by general formula (1) below, the use thereof as friction-modifiers and lubricating compositions which contain these compounds. In this formula X is the element oxygen or the element sulphur, Y is the element oxygen or Y is absent, and R1 to R10 are groups selected individually from the group comprising hydrogen, the methyl group and the ethyl group.
US08329619B2 Substituted quinolinones having herbicidal action
Substituted quinolinones of the formula I in which the variables are defined according to the description, their agriculturally suitable salts, processes and intermediates for preparing the compounds of the formula I, compositions comprising them and their use as herbicides, i.e. for controlling harmful plants, and also a method for controlling unwanted vegetation which comprises allowing a herbicidally effective amount of at least one compound of the formula I to act on plants, their seed and/or their habitat.
US08329618B1 Tuber treatment composition
A composition containing at least one essential oil, especially clove oil, and at least one of lactic acid ethyl ester and lactic acid n-butyl ester. A tuber having, on at least a part of a surface thereof, the disclosed composition. A method of applying the invention composition onto the surface of a tuber. A method of contacting a potato tuber “on the line” with at least one essential oil.
US08329611B2 Titania-containing extrudate
An extrudate comprising titania, a carboxyalkyl cellulose, and a hydroxyalkyl cellulose is disclosed. The extrudates have a smooth outer surface when they exit the extruder. The extrusion processibility is improved.
US08329610B2 Hydrogenation catalyst composition, process for preparing the same and use thereof
The present invention relates to a hydrogenation catalyst composition, process for preparing the same and use thereof. The composition comprises a hydrogenation catalyst, an organonitrogen compound in an amount of 0.01%-20% by weight of the catalyst, a sulfiding agent in an amount of 30%-150% by weight of the sulfur-requiring amount calculated theoretically of the hydrogenation catalyst, and an organic solvent in an amount of 0.1%-50% by weight of the catalyst. The preparation process comprises introducing the required substances onto the hydrogenation catalyst in oxidation state. By introduction of the organonitrogen compound, sulfur and organic solvent, the hydrogenation catalyst composition of the present invention may further increase the sulfur-maintaining ratio of the catalyst during the activation, slow down the concentrative exothermic phenomenon, decrease the rate of temperature rise of the catalyst bed layer, and improve the activity of the catalyst. The process of the present invention may be used for the treatment before the application of various hydrogenation catalysts.
US08329608B2 Methods of preparation of an olefin oligomerization catalyst
A method of making a catalyst for use in oligomerizing an olefin, comprising a chromium-containing compound, a pyrrole-containing compound, a metal alkyl, a halide-containing compound, and optionally a solvent, the method comprising abating all or a portion of water, acidic protons, or both from a composition comprising the chromium-containing compound, a composition comprising the pyrrole-containing compound, a composition comprising a non-metal halide-containing compound, a composition comprising the solvent, or combinations thereof prior to contact thereof with a composition comprising a metal halide-containing compound.
US08329607B2 Layered diesel oxidation catalyst composites
Provided are diesel exhaust components where palladium is segregated from a molecular sieve, specifically a zeolite, in a catalytic material. In the catalytic material, therefore, there are at least two layers: a palladium-containing layer that is substantially free of a molecular sieve and a hydrocarbon trap layer that comprises at least one molecular sieve and is substantially free of palladium. The palladium is provided on a high surface area, porous refractory metal oxide support. The catalytic material can further comprise a platinum component, where a minor amount of the platinum component is in the hydrocarbon trap layer, and a majority amount of the platinum component is in the palladium-containing layer. Systems and methods of using the same are also provided.
US08329604B2 Silica glass containing TiO2 and process for its production
A silica glass containing TiO2, which has a fictive temperature of at most 1,200° C., a F concentration of at least 100 ppm and a coefficient of thermal expansion of 0±200 ppb/° C. from 0 to 100° C.A process for producing a silica glass containing TiO2, which comprises a step of forming a porous glass body on a target quartz glass particles obtained by flame hydrolysis of glass-forming materials, a step of obtaining a fluorine-containing porous glass body, a step of obtaining a fluorine-containing vitrified glass body, a step of obtaining a fluorine-containing formed glass body and a step of carrying out annealing treatment.
US08329602B2 Optical glass
An optical glass has a refractive index (nd) of 1.60 or over and excellent transmittance and internal quality. The optical glass comprises 0.1-4 mass % of Ta2O5 to total mass of glass calculated on oxide basis, has ratio of 0.95
US08329601B2 Biodegradable and renewable film
A biodegradable and renewable film that may be employed in a wide variety of applications is provided. The film is formed from a thermoplastic composition that contains at least one starch and at least one plant protein. Even at a high renewable material content, the present inventors have discovered that films may be readily formed from plant proteins and starches by selectively controlling the individual amount of the starch and plant proteins, the nature of the starch and plant proteins, and other components used in the film. Balancing the amount of starches and plant proteins within a certain range, for instance, can reduce the likelihood of plant protein aggregation and enhance the ability of the composition to be melt processed. The composition also contains at least one plasticizer that improves the thermoplastic nature of the protein and starch components. The selection of the plasticizer may also help reduce the tendency of the plant protein to aggregate during melt processing. For example, a relatively acidic plasticizer (e.g., carboxylic acid) may be employed in certain embodiments to minimize the formation of disulfide bonds in a gluten protein, and thereby decrease its tendency to aggregate.
US08329600B2 Method and system for high-speed precise laser trimming and scan lens for use therein
A method, system and scan lens for use therein are provided for high-speed, laser-based, precise laser trimming at least one electrical element along a trim path. The method includes generating a pulsed laser output with a laser, the output having one or more laser pulses at a repetition rate. A fast rise/fall time, pulse-shaped q-switched laser or an ultra-fast laser may be used. Beam shaping optics may be used to generate a flat-top beam profile. Each laser pulse has a pulse energy, a laser wavelength within a range of laser wavelengths, and a pulse duration. The wavelength is short enough to produce desired short-wavelength benefits of small spot size, tight tolerance, high absorption and reduced or eliminated heat-affected zone (HAZ) along the trim path, but not so short so as to cause microcracking. In this way, resistance drift after the trimming process is reduced.
US08329597B2 Semiconductor process having dielectric layer including metal oxide and MOS transistor process
A semiconductor process having a dielectric layer including metal oxide is provided. The semiconductor process includes: A substrate is provided. A dielectric layer including metal oxide is formed on the substrate, wherein the dielectric layer has a plurality of oxygen-related vacancies. A first oxygen-importing process is performed to fill the oxygen-related vacancies with oxygen. Otherwise, three MOS transistor processes are also provided, each of which has a gate dielectric layer including a high dielectric constant, and a first oxygen-importing process is performed to fill the oxygen-related vacancies with oxygen.
US08329594B2 Method for fabricating a semiconductor structure
A method for fabricating a semiconductor structure is disclosed. The method includes the steps of: providing a substrate; depositing a material layer on the substrate; forming at least one dielectric layer on the material layer; forming a patterned resist on the dielectric layer; performing a first trimming process on at least the patterned resist; performing a second trimming process on at least the dielectric layer; and using the dielectric layer as mask for etching the material layer.
US08329588B2 Passivation of integrated circuits containing ferroelectric capacitors and hydrogen barriers
A method for forming a hydrogen barrier layer that overlies ferroelectric capacitors and a buffer region but is removed from a portion of the logic region.
US08329587B2 Post-planarization densification
Processes for forming high density gap-filling silicon oxide on a patterned substrate are described. The processes increase the density of gap-filling silicon oxide particularly in narrow trenches. The density may also be increased in wide trenches and recessed open areas. The densities of the gap-filling silicon oxide in the narrow and wide trenches/open areas become more similar following the treatment which allows the etch rates to match more closely. This effect may also be described as a reduction in the pattern loading effect. The process involves forming then planarizing silicon oxide. Planarization exposes a new dielectric interface disposed closer to the narrow trenches. The newly exposed interface facilitates a densification treatment by annealing and/or exposing the planarized surface to a plasma.
US08329584B2 Method of manufacturing semiconductor device
A post-CMP cleaning process of a copper layer is to be performed as follows. An alkaline aqueous solution, a polycarboxylic acid, BTA, and an alkaline aqueous solution are sequentially brought into contact with a primary surface of a silicon substrate over which the copper layer is provided.
US08329583B2 Metal precursors for semiconductor applications
Methods and compositions for depositing metal films are disclosed herein. In general, the disclosed methods utilize precursor compounds comprising gold, silver, or copper. More specifically, the disclosed precursor compounds utilize pentadienyl ligands coupled to a metal to increase thermal stability. Furthermore, methods of depositing copper, gold, or silver are disclosed in conjunction with use of other precursors to deposit metal films. The methods and compositions may be used in a variety of deposition processes.
US08329582B2 Semiconductor device and method of manufacturing the same
A semiconductor device comprises insulating layer including damascene patterns and formed over a semiconductor substrate, conductive line formed higher than the insulating layer within the respective damascene patterns, and interference-prevention grooves formed within the damascene patterns between sidewalls of the conductive line and the insulating layer.
US08329579B2 Through substrate VIAS
Through substrate vias (TSVs) are provided after substantially all high temperature operations needed to form a device region of a first thickness proximate the front surface of a substrate wafer by: (i) from the front surface, forming comparatively shallow vias of a first aspect ratio containing first conductors extending preferably through the first thickness but not through the initial wafer thickness, (ii) removing material from the rear surface to form a modified wafer of smaller final thickness with a new rear surface, and (iii) forming from the new rear surface, much deeper vias of second aspect ratios beneath the device region with second conductors therein contacting the first conductors, thereby providing front-to-back interconnections without substantially impacting wafer robustness during manufacturing and device region area. Both aspect ratios are desirably about ≦40, usefully ≦10 and preferably ≦5.
US08329574B2 Methods of fabricating flash memory devices having shared sub active regions
Flash memory devices include a pair of elongated, closely spaced-apart main active regions in a substrate. A sub active region is also provided in the substrate, extending between the pair of elongated, closely spaced-apart main active regions. A bit line contact plug is provided on, and electrically contacting, the sub active region and being at least as wide as the sub active region. An elongated bit line is provided on, and electrically contacting, the bit line contact plug remote from the sub active region.
US08329571B2 Deposition methods for the formation of III/V semiconductor materials, and related structures
Methods of forming ternary III-nitride materials include epitaxially growing ternary III-nitride material on a substrate in a chamber. The epitaxial growth includes providing a precursor gas mixture within the chamber that includes a relatively high ratio of a partial pressure of a nitrogen precursor to a partial pressure of one or more Group III precursors in the chamber. Due at least in part to the relatively high ratio, a layer of ternary III-nitride material may be grown to a high final thickness with small V-pit defects therein. Semiconductor structures including such ternary III-nitride material layers are fabricated using such methods.
US08329570B2 Method of manufacturing semiconductor device
A method of manufacturing a semiconductor device, comprising, forming a first gate electrode in a first region of a semiconductor substrate and forming a second gate electrode in a second region of the semiconductor substrate, forming a first sidewall along a lateral wall of the first gate electrode and forming a second sidewall along a lateral wall of the second gate electrode, forming an oxide film to cover the semiconductor substrate, the first gate electrode, the second gate electrode, the first sidewall and the second sidewall, forming a resist above the oxide film to cover the first region, removing the oxide film in the second region by etching the oxide film with the resist serving as a mask, removing the resist, and executing a plasma process by using a gas containing chlorine with respect to the semiconductor substrate and the oxide film in the first region.
US08329566B2 Method of manufacturing a high-performance semiconductor device
The present invention relates to a method of manufacturing a semiconductor device, wherein the method comprises: providing a substrate; forming a source region, a drain region, a dummy gate structure, and a gate dielectric layer on the substrate, wherein the dummy gate structure is between the source region and the drain region on the substrate, and the gate dielectric layer is between the substrate and the dummy gate structure; annealing the source region and the drain region; removing the dummy gate structure to form an opening; implanting dopants into the substrate from the opening to form a steep retrograded well; annealing to activate the dopants; and forming a metal gate on the gate dielectric layer by deposition.
US08329565B2 Methods for improving the quality of structures comprising semiconductor materials
Methods which can be applied during the epitaxial growth of semiconductor structures and layers of III-nitride materials so that the qualities of successive layers are successively improved. An intermediate epitaxial layer is grown on an initial surface so that growth pits form at surface dislocations present in the initial surface. A following layer is then grown on the intermediate layer according to the known phenomena of epitaxial lateral overgrowth so it extends laterally and encloses at least the agglomerations of intersecting growth pits. Preferably, prior to growing the following layer, a discontinuous film of a dielectric material is deposited so that the dielectric material deposits discontinuously so as to reduce the number of dislocations in the laterally growing material. The methods of the invention can be performed multiple times to the same structure. Also, semiconductor structures fabricated by these methods.
US08329564B2 Method for fabricating super-steep retrograde well MOSFET on SOI or bulk silicon substrate, and device fabricated in accordance with the method
A method is provided to fabricate a semiconductor device, where the method includes providing a substrate comprised of crystalline silicon; implanting a ground plane in the crystalline silicon so as to be adjacent to a surface of the substrate, the ground plane being implanted to exhibit a desired super-steep retrograde well (SSRW) implant doping profile; annealing implant damage using a substantially diffusionless thermal annealing to maintain the desired super-steep retrograde well implant doping profile in the crystalline silicon and, prior to performing a shallow trench isolation process, depositing a silicon cap layer over the surface of the substrate. The substrate may be a bulk Si substrate or a Si-on-insulator substrate. The method accommodates the use of an oxynitride gate stack structure or a high dielectric constant oxide/metal (high-K/metal) gate stack structure. The various thermal processes used during fabrication are selected/controlled so as to maintain the desired super-steep retrograde well implant doping profile in the crystalline silicon.
US08329561B2 Method of producing semiconductor device
A method of producing a semiconductor device includes: a dicing step of dicing a wafer member using a dicing blade to form a cut portion in the wafer member, in which the wafer member is formed of a wafer portion, a glass substrate, and an adhesive layer for bonding the wafer portion and the glass substrate in a thickness direction of the wafer member so that the cut portion penetrates the wafer portion and the adhesive layer and reaches a part of the glass substrate; and an individual piece dividing step of dividing the wafer member into a plurality of semiconductor devices with the cut portion as a fracture initiation portion.
US08329545B1 Method of fabricating a charge trap NAND flash memory
Subject matter disclosed herein relates to a method of manufacturing a semiconductor integrated circuit device, and more particularly to a method of fabricating a charge trap NAND flash memory device.
US08329542B2 Hybrid-mode LDMOS
An MOS-bipolar hybrid-mode LDMOS device has a main gate input and a control gate input wherein the device operates in an MOS mode when both gate inputs are enabled, and operates in a bipolar mode when the main gate input is enabled and the control gate input is disabled. The device can drive the gate of a power MOSFET to deliver the high current required by the power MOSFET while in the bipolar mode, and provide a fully switching between supply voltage and ground to the gate of the power MOSFET while in the MOS mode.
US08329541B2 InP-based transistor fabrication
Methods of forming structures that include InP-based materials, such as a transistor operating as an inversion-type, enhancement-mode device. A dielectric layer may be deposited by ALD over a semiconductor layer including In and P. A channel layer may be formed above a buffer layer having a lattice constant similar to a lattice constant of InP, the buffer layer being formed over a substrate having a lattice constant different from a lattice constant of InP.
US08329540B2 Semiconductor device and manufacturing method thereof
Device isolation regions for isolating a device forming region are formed over a substrate. Subsequently, a gate insulation film is formed over the device forming region. Then, a lower gate electrode film comprised of a metal nitride film is formed over the gate insulation film. Further, a heat treatment is performed to the lower gate electrode film and then an upper gate electrode film is formed over the lower gate electrode film.
US08329537B2 Method for fabricating rewritable three-dimensional memory device
A method for fabricating a three-dimensional semiconductor memory device including three-dimensionally arranged transistors includes forming a thin film structure comprising a plurality of thin films on a semiconductor substrate, patterning the thin film structure such that a penetration region is formed to expose the semiconductor substrate, forming a polycrystalline semiconductor layer to cover the resultant structure where the penetration region is formed, patterning the semiconductor layer to locally form a semiconductor pattern within the penetration region, and performing a post-treatment process to treat the semiconductor layer or the semiconductor pattern with a post-treatment material containing hydrogen or deuterium.
US08329533B2 Stacked capacitor for double-poly flash memory
A stacked capacitor for double-poly flash memory is provided. The stacked capacitor is formed by a lower electrode, a lower dielectric layer, a central electrode, an upper dielectric layer, and an upper electrode, wherein the lower electrode is a doped region in a substrate. The manufacturing process of this stacked capacitor can be fully integrated in to the manufacturing process of the double-poly flash memory cell.
US08329532B2 Process for the simultaneous deposition of crystalline and amorphous layers with doping
One embodiment of the present invention relates to method for the concurrent deposition of multiple different crystalline structures on a semiconductor body utilizing in-situ differential epitaxy. In one embodiment of the present invention a preparation surface is formed, resulting in two distinct crystalline regions, a monocrystalline silicon substrate region and an isolating layer region. A monocrystalline silicon layer and an amorphous silicon layer are concurrently formed directly onto the preparation surface in the monocrystalline silicon substrate region and the isolating layer region, respectively. Deposition comprises the formation of two or more sub-layers. The process parameters can be varied for each individual sub-layer to optimize deposition characteristics.
US08329531B2 Strain memorization in strained SOI substrates of semiconductor devices
In sophisticated semiconductor devices, the initial strain component of a globally strained semiconductor layer may be substantially preserved during the formation of shallow trench isolations by using a rigid mask material, which may efficiently avoid or reduce a deformation of the semiconductor islands upon patterning the isolation trenches. Consequently, selected regions with high internal stress levels may be provided, irrespective of the height-to-length aspect ratio, which may limit the application of globally strained semiconductor layers in conventional approaches. Furthermore, in some illustrative embodiments, active regions of substantially relaxed strain state or of inverse strain type may be provided in addition to the highly strained active regions, thereby enabling an efficient process strategy for forming complementary transistors.
US08329528B2 Semiconductor device and method of manufacturing semiconductor device
The semiconductor device includes an n-channel transistor including n-type source/drain regions and a first gate electrode, a first sidewall insulating film formed on a side wall of the first gate electrode and having a Young's modulus smaller than a Young's modulus of silicon, a p-channel transistor including p-type source/drain regions and a second gate electrode, a second sidewall insulating film formed on a side wall of the second gate electrode and having a Young's modulus larger than the Young's modulus of silicon, a tensile stressor film formed, covering the n-channel transistor, and a compressive stressor film formed, covering the p-channel transistor.
US08329527B2 Methods of fomring array of nanoscopic MOSFET transistors
A nanoscopic transistor is made by forming an oxide layer on a semiconductor substrate, applying resist, patterning the resist using imprint lithography to form a pattern aligned along a first direction, applying a first ion-masking material over the pattern, selectively lifting it off to leave a first ion mask to form a gate, forming doped regions by implanting a suitable dopant, applying another layer of resist and patterning the second resist layer using imprint lithography to form a second pattern aligned along a second direction, applying a second ion-masking material over the second pattern, selectively lifting it off to leave a second ion mask defined by the second pattern, and forming second doped regions in the substrate by implanting a suitable second dopant selectively in accordance with the second ion mask. The method may be used to make an array of nanoscopic transistors.
US08329524B2 Surface emitting laser, method for producing surface emitting laser, and image forming apparatus
A surface emitting laser includes a lower multilayer mirror, an active layer, and an upper multilayer mirror stacked onto a substrate. A first current confinement layer having a first electrically conductive region and a first insulating region is formed above or below the active layer using a first trench structure. A second current confinement layer having a second electrically conductive region and a second insulating region is formed above or below the first current confinement layer using a second trench structure. The first and second trench structures extend from a top surface of the upper multilayer mirror towards the substrate such that the second trench structure surrounds the first trench structure. When the surface emitting laser is viewed in an in-plane direction of the substrate, a boundary between the first electrically conductive region and the first insulating region is disposed inside the second electrically conductive region.
US08329522B2 Method for fabricating semiconductor device
A method for fabricating a semiconductor device includes: forming a plurality of photoresist patterns over a substrate structure; forming an insulation layer for a spacer over a structure including the photoresist patterns; forming a plurality of spacers on sidewalls of the photoresist patterns by anisotropically etching the insulation layer, and forming a first opening through the insulation layer; and forming second openings in the insulation layer to expose the substrate structure.
US08329520B2 Method for manufacturing semiconductor device using a laser annealing process
An island-shaped single crystal semiconductor layer whose top surface has a plane within ±10° from a {211} plane is formed on an insulating surface; a non-single-crystal semiconductor layer is formed in contact with the top surface and a side surface of the single crystal semiconductor layer and on the insulating surface; the non-single-crystal semiconductor layer is irradiated with laser light to melt the non-single-crystal semiconductor layer, and to crystallize the non-single-crystal semiconductor layer formed on the insulating surface with use of the single crystal semiconductor layer as a seed crystal, so that a crystalline semiconductor layer is formed. A semiconductor device having an n-channel transistor and a p-channel transistor formed with use of the crystalline semiconductor layer is provided.
US08329516B2 Methods of manufacturing semiconductor devices
A plurality of nanowires is grown on a first substrate in a first direction perpendicular to the first substrate. An insulation layer covering the nanowires is formed on the first substrate to define a nanowire block including the nanowires and the insulation layer. The nanowire block is moved so that each of the nanowires is arranged in a second direction parallel to the first substrate. The insulation layer is partially removed to partially expose the nanowires. A gate line covering the exposed nanowires is formed. Impurities are implanted into portions of the nanowires adjacent to the gate line.
US08329514B2 Methods for forming antifuses with curved breakdown regions
Methods are disclosed for forming an antifuse that includes first and second conductive regions having spaced-apart curved portions, with a first dielectric region therebetween, forming in combination with the curved portions a curved breakdown region adapted to switch from a substantially non-conductive initial state to a substantially conductive final state in response to a predetermined programming voltage. A sense voltage less than the programming voltage is used to determine the state of the antifuse as either OFF (high impedance) or ON (low impedance). A shallow trench isolation (STI) region is desirably provided adjacent the breakdown region to inhibit heat loss from the breakdown region during programming. Lower programming voltages and currents are observed compared to antifuses using substantially planar dielectric regions. In a further embodiment, a resistive region is inserted in one lead of the antifuse with either planar or curved breakdown regions to improve post-programming sense reliability.
US08329512B2 Patterning method for high density pillar structures
A method of making a device includes forming a first photoresist layer over a sacrificial layer, patterning the first photoresist layer to form first photoresist features, rendering the first photoresist features insoluble to a solvent, forming a second photoresist layer over the first photoresist features, patterning the second photoresist layer to form second photoresist features, forming a spacer layer over the first and second photoresist features, etching the spacer layer to form spacer features and to expose the first and second photoresist features, forming third photoresist features between the spacer features, removing the spacer features, and patterning the sacrificial layer using the first, second and third photoresist features as a mask to form sacrificial features.
US08329511B2 Nitride crystal with removable surface layer and methods of manufacture
A nitride crystal or wafer with a removable surface layer comprises a high quality nitride base crystal, a release layer, and a high quality epitaxial layer. The release layer has a large optical absorption coefficient at wavelengths where the base crystal is substantially transparent and may be etched under conditions where the nitride base crystal and the high quality epitaxial layer are not. The high quality epitaxial layer may be removed from the nitride base crystal by laser liftoff or by chemical etching after deposition of at least one epitaxial device layer. The nitride crystal with a removable surface layer is useful as a substrate for a light emitting diode, a laser diode, a transistor, a photodetector, a solar cell, or for photoelectrochemical water splitting for hydrogen generation.
US08329510B2 Method of making a semiconductor chip assembly with a post/base heat spreader with an ESD protection layer
A method of making a semiconductor chip assembly includes providing a post, a base, an ESD protection layer and a metal layer, wherein the post extends above the base and the ESD protection layer is sandwiched between the base and the metal layer, mounting an adhesive on the base including inserting the post into an opening in the adhesive, mounting a conductive layer on the adhesive including aligning the post with an aperture in the conductive layer, then flowing the adhesive upward between the post and the conductive layer, solidifying the adhesive, then providing a conductive trace that includes a pad, a terminal and a selected portion of the conductive layer, providing a heat spreader that includes the post, the base, the ESD protection layer and an underlayer that includes at least a portion of the metal layer, then mounting a semiconductor device on the post, electrically connecting the semiconductor device to the conductive trace and thermally connecting the semiconductor device to the heat spreader.
US08329509B2 Packaging process to create wettable lead flank during board assembly
A method and apparatus are described for fabricating a low-pin-count chip package (701) including a die pad (706) for receiving an integrated circuit device and a plurality of connection leads (702) having recessed lead ends (704) at the outer peripheral region of each contact lead. After forming the package body (202) over the integrated circuit device, unplated portions (104) of the exposed bottom surface of the selectively plated lead frame are partially etched to form recessed lead ends (302) at the outer peripheral region of each contact lead, and the recessed lead ends are subsequently re-plated (402) to provide wettable recessed lead ends at the outer peripheral region of each contact lead.
US08329508B2 Semiconductor die packages using thin dies and metal substrates
A semiconductor die package is disclosed. The semiconductor die package comprises a metal substrate, and a semiconductor die comprising a first surface comprising a first electrical terminal, a second surface including a second electrical terminal, and at least one aperture. The metal substrate is attached to the second surface. A plurality of conductive structures is on the semiconductor die, and includes at least one conductive structure disposed in the at least one aperture. Other conductive structures may be disposed on the first surface of the semiconductor die.
US08329506B2 Semiconductor device and method for manufacturing the same
It is an object to provide an oxide semiconductor which is suitable for use in a semiconductor device. Alternatively, it is another object to provide a semiconductor device using the oxide semiconductor. Provided is a semiconductor device including an In—Ga—Zn—O based oxide semiconductor layer in a channel formation region of a transistor. In the semiconductor device, the In—Ga—Zn—O based oxide semiconductor layer has a structure in which crystal grains represented by InGaO3(ZnO)m (m=1) are included in an amorphous structure represented by InGaO3(ZnO)m (m>0).
US08329495B2 Method of forming photovoltaic modules
A method of forming a PV module includes forming conductors on a top surface of a PV coated substrate; forming insulators on the top surface of the PV coated substrate; and cutting the PV coated substrate to form a plurality of individual PV cells. The PV coated substrate is cut so that each of the PV cells has some of the conductors and an insulator on its top surface. Multiple PV cells are then joined to form a PV module by attaching an edge of a first one of the PV cells under an edge of a second one of the PV cells so that at least a portion of the conductors on the first PV cell electrically contacts a bottom surface of the second PV cell.
US08329490B2 Method of producing a solid-state image pickup apparatus, solid-state image pickup apparatus, and electronic apparatus
A method of producing a solid-state image pickup apparatus, including the steps of: forming a plurality of light-receiving portions on a substrate; forming a plurality of transfer gates to be connected to the plurality of light-receiving portions formed on the substrate; forming an insulation film on the substrate; exposing a base by etching the insulation film so that the etched part of the insulation film between the adjacent transfer gates tapers away; and injecting an impurity into the exposed part using the insulation film that has remained after the etching as a mask to thus form an impurity injection portion.
US08329486B2 Thin film transistor array panel and method for manufacturing the same
The present disclosure relates to a thin film transistor array panel and a manufacturing method thereof. The method comprises: forming a thin film transistor on a substrate; forming a color filter adjacent to the thin film transistor and over the same substrate; depositing a first passivation layer on the color filter; coating a photosensitive film on the first passivation layer and exposing the photosensitive film to light using a first photomask to form a first photosensitive film pattern that comprises a first portion and a second portion that is thicker than the first portion, the first photosensitive film pattern exposing the first passivation layer around a circumference of the second portion; removing the exposed first passivation layer using the first photosensitive film pattern as an etch mask; blanket etching a whole surface of the first photosensitive film pattern until the first portion is removed to form a second photosensitive film pattern; depositing a conductive layer on the second photosensitive film pattern; and removing the second photosensitive film pattern to thereby selectively lift off portions of the conductive layer where a left behind portion forms a pixel electrode.
US08329483B2 Bi-section semiconductor laser device, method for manufacturing the same, and method for driving the same
A method for manufacturing a bi-section semiconductor laser device includes the steps of (A) forming a stacked structure obtained by stacking, on a substrate in sequence, a first compound semiconductor layer of a first conductivity type, a compound semiconductor layer that constitutes a light-emitting region and a saturable absorption region, and a second compound semiconductor layer of a second conductivity type; (B) forming a belt-shaped second electrode on the second compound semiconductor layer; (C) forming a ridge structure by etching at least part of the second compound semiconductor layer using the second electrode as an etching mask; and (D) forming a resist layer for forming a separating groove in the second electrode and then forming the separating groove in the second electrode by wet etching so that the separating groove separates the second electrode into a first portion and a second portion.
US08329481B2 Manufacturing method of nitride semiconductor light emitting elements
A manufacturing method of nitride semiconductor light emitting elements, which can reliably form a mechanically stable wiring electrode leading from a light emitting element surface. A structure protective sacrifice layer is formed around a first electrode layer on a device structure layer beforehand, and after separation of the device structure layer into respective portions for the light emitting elements, the resultant is stuck to a support substrate. Subsequently, forward tapered grooves reaching the structure protective sacrifice layer are formed, and the inverse tapered portion formed outward of the forward tapered groove is lifted off in a lift-off step. Thus, an insulating layer is formed on the forward tapered side walls of the light emitting element, and a wiring electrode layer electrically connected to the second electrode layer on the principal surface of the light emitting element is formed on the insulating layer.
US08329480B2 Test pattern for detecting piping in a memory array
A method of detecting manufacturing defects at a memory array may include disposing an active area of a first width in communication with a first conductive member of the memory array to define a grounded conductive member, disposing an isolation structure of a second width in communication with a second conductive member of the memory array to define a floating conductive member, and providing an alternating arrangement of floating and grounded conductive members including arranging a plurality of the grounded and floating conductive members adjacent to each other to define a sequence of alternating floating and grounded conductive members. A corresponding test device is also provided.
US08329479B2 Information managing method, information managing apparatus and substrate processing system
An information managing method for managing information, based upon an electronic message containing apparatus information or event information transmitted from a substrate processing apparatus, comprises: storing the apparatus information of the substrate processing apparatus at the transmission time of the electronic message containing the apparatus information in a first apparatus information storage unit; when the electronic message containing the event information is transmitted, comparing conditions for accumulating the event information and the apparatus information; and when the conditions coincide with each other, storing the apparatus information in a second apparatus information storage unit in association with time when the event information has been generated.
US08329478B2 Magnetic tunnel junction device and method for manufacturing the same
The present invention relates to a magnetic tunnel junction device and a manufacturing method thereof. The magnetic tunnel junction device includes i) a first magnetic layer having an switchable magnetization direction, ii) a nonmagnetic layer provided on the first magnetic layer, iii) a second magnetic layer provided on the nonmagnetic layer and having a fixed magnetization direction, iv) an oxidation-preventing layer provided on the second magnetic layer, v) a third magnetic layer provided on the oxidation-preventing layer and fixing the magnetization direction of the second magnetic layer through magnetic coupling with the second magnetic layer, and vi) an antiferromagnetic layer provided on the third magnetic layer and fixing a magnetization direction of the third magnetic layer.
US08329477B2 Method and system for controllably releasing solutions
A method and system for releasing active ingredients into the surrounding atmosphere is disclosed. In one embodiment, the dispersion rate of the active ingredient through the membrane is passively regulated by adjusting the porosity of the membrane. In another embodiment, materials with microfluidic channels of various diameters are interposed together so that the configuration of the channels approximates a venturi, thereby improving the flow of the solution through the microfluidic channels. In another embodiment, heat is applied to the top membrane layer to further accelerate the rate at which fluid is dissipated through the membrane structure. Devices incorporating membranes with the disclosed properties are also presented.
US08329475B2 Wash process for removing undesired components in samples being analyzed
A method for removing undesired component(s) from bound desired component(s) in an analysis including: (a) providing a container having a desired component bound thereto and an undesired component; (b) dispensing wash fluid in the container at a first level sufficient to contact a portion of the bound desired component; (c) oscillating wash fluid level in the container; and (d) removing a portion of the wash fluid from the container. A method for removing undesired components from bound desired components in an analysis including steps (a) and (b) above; (c) removing the wash fluid; (d) dispensing a wash fluid at a subsequent level lower than the first level and sufficient to wash the bound desired substrate; and (e) removing wash fluid. Preferably, the desired component is an analyte being measured. Another aspect of the invention provides a method for removing an undesired component from a bound desired component in an analysis by removing a surface portion of fluid containing a portion of the undesired component.
US08329473B2 Method for extracting polychlorinated biphenyls
Column which includes a first column with an upper layer of H2SO4 silica gel and a lower layer AgNO3 silica gel and a second column packed with alumina, connected detachably to a lower end of the first column. An oily liquid containing polychlorinated biphenyls, is added to the upper layer and heated. An aliphatic hydrocarbon solvent is supplied to the upper layer, whereby polychlorinated biphenyls captured by the first column are dissolved in the aliphatic hydrocarbon and then flow to the second column. The polychlorinated biphenyls are captured by the alumina which is located near the inlet of the second column, which is then detached from the first column. A hydrophobic solvent is passed through the second column in a direction opposite to the direction in which the aliphatic hydrocarbon was passed, providing an extract containing the polychlorinated biphenyls dissolved in a small amount of the hydrophobic solvent.
US08329472B2 Gene associated with foam formation in acetic acid bacterium, acetic acid bacterium modified to reduce foam formation, and a method for producing vinegar by culturing an acetic acid bacterium modified to reduce foam formation
The object of the present invention is to provide a method for suppressing foam formation by identifying a gene involved in foam formation during culture of an acetic acid bacterium and reducing or deleting the function of a protein encoded by the gene, a method for more efficiently producing vinegar that contains a high concentration of acetic acid by using an acetic acid bacterium in which foam formation has been suppressed by the above method, and vinegar produced by the above production method. An acetic acid bacterium with suppressed foam formation was obtained by isolating a gene encoding a protein involved in foam formation during culture of an acetic acid bacterium, then by altering the gene by a modification to reduce or delete the function of a protein involved in foam formation. Further provided is a method for efficiently producing vinegar with higher concentration of acetic acid with the use of the acetic acid bacterium.
US08329469B2 Swellable (meth)acrylate surfaces for culturing cells in chemically defined media
Synthetic surfaces capable of supporting culture of undifferentiated human embryonic stem cells in a chemically defined medium include a swellable (meth)acrylate layer and a peptide conjugated to the swellable (meth)acrylate layer. The swellable (meth)acrylate layer may be formed by polymerizing monomers in a composition that includes hydroxyethyl methacrylate, 2-carboxyehylacrylate, and tetra(ethylene glycol) dimethacrylate. The conjugated peptide may include an amino acid sequence of XaanProGlnValThrArgGlyAspValPheThrMetPro, where n is an integer from 0 to 3 and where Xaa is any amino acid. Further, disclosed herein is a swellable (meth)acrylate synthetic surface which can be sterilized by gamma irradiation.
US08329466B2 Method for the cultivation of primary cells and for the amplification of viruses under serum free conditions
The present invention relates to a method for the cultivation of primary cells. The primary cells are cultivated in a serum free medium comprising a factor selected from the group consisting of growth factors and attachment factors.The method for the cultivation of primary cells may be one step in a method for the amplification of viruses, such as poxviruses. According to this latter method the primary cells are cultivated in a serum free medium comprising a factor selected from the group consisting of growth factors and attachment factors. The cells are then infected with the virus and the infected cells are cultivated in serum free medium until progeny virus is produced.
US08329465B2 Recombinant cell clones having increased stability and methods of making and using the same
Disclosed are a stable recombinant cell clones which are stable in serum- and protein-free medium for at least 40 generations, a biomass obtained by multiplying the stable cell clone under serum- and protein-free culturing conditions, and a method of preparing recombinant proteins by means of the biomass. Furthermore, the invention relates to a method of recovering stable recombinant cell clones.
US08329457B2 Bioartificial liver system using bioreactor packed with gel beads
The present invention discloses a bioartificial liver system comprising a fixed-bed bioreactor packed with gel beads containing hepatocytes of an animal, a plasma reservoir, a plasma separator, and an efflux chamber, wherein the plasma reservoir is located at a position higher than the bioreactor, a ventilation filter in contact with atmosphere is provided at the top of the plasma reservoir, and the top of the plasma reservoir is directly connected to the efflux chamber via a connecting line. The inventive system satisfactorily performs the essential liver functions without the problems of gel bead damage or channeling.
US08329456B2 Syngas conversion system using asymmetric membrane and anaerobic microorganism
A stable system for producing liquid products such as ethanol, butanol and other chemicals from syngas components contacts CO or a mixture of CO2 and H2 with a highly porous side of an asymmetric membrane under anaerobic conditions and transferring these components into contact with microorganisms contained within bio-pores of the membrane. The membrane side of the membrane utilizes a dense layer to control hydration of the bio-pores with a liquid phase. The gas feed directly contacts the microorganisms in the bio-pores and maximizes their utilization of the syngas. Metabolic products produced by the microorganisms leave the membrane through the side opposite the entering syngas. This system and method establishes a unitary direction across the membrane for the supply of the primary feed source to the microorganisms and the withdrawal of metabolically produced products. The feed and product flow improves productivity and performance of the microorganism and the membrane.
US08329455B2 Systems and methods for digestion of solid waste
This invention relates generally to systems and methods for digestion of solid waste that simplify solids handling. In certain embodiments, anaerobic methane extraction takes place for a period of time (e.g., from 1 to 4 weeks), after which an aerobic composting process begins in the same chamber. The organic waste remains in place and oxygen (e.g., in air) is forced into the chamber for an additional period of time (e.g., from 2 to 4 weeks). At the conclusion of the aerobic phase, the process yields a rough compost product that is stable and pathogen free. The rough compost can be further processed and blended to create high value engineered soils.
US08329454B2 Device for detecting a cholinesterase-inhibiting substance comprising a hydrophilic photo-crosslinkable resin
A device for detecting a cholinesterase-inhibiting substance comprising a basal layer fixed at the bottom of a container, and a cholinesterase-containing reaction layer which is fixed on the basal layer, wherein the basal layer and the cholinesterase-containing reaction layer comprises a hydrophilic photo-crosslinkable resin.
US08329449B2 Immobilized resins for algal oil extraction
A reverse phase extraction method for the recovery of triglycerides from aqueous slurries of algae is described herein. The present invention discloses the use of immobilized anion exchange and lipid binding resins. As the algae flows past the resin, triglycerides adhere while the bulk of the algae flows through. The lipids, useful for generating biofuels are then are eluted off the resin. The method of the present invention does not require prior drying of the algae, is inexpensive, and does not destroy the algal biomass which can be used for other purposes.
US08329448B2 Yeast host, transformant and method for producing heterologous proteins
The efficiency of production of a heterologous protein by a transformant of a yeast host is improved.A method of constructing a host for expression of a foreign gene which comprises deleting or inactivating at least one gene selected from the protease-associated genes (especially, metalloprotease genes and serine protease genes) of Schizosaccharomyces pombe, a host in which the above-mentioned gene is deleted or inactivated, a transformant obtained by introducing a foreign gene into the host and a method of producing a heterologous protein using the transformant.The protease-associated gene is at least one gene selected from the group consisting of psp3 (SPAC1006.01), is sxa2 (SPAC1296.03c), ppp51 (SPAC22G7.01c) and ppp52 (SPBC18A7.01) or at least two genes selected from the group consisting of metalloprotease genes and serine protease genes, especially, three genes psp3 (SPAC1006.01), isp6 (SPAC4A8.04) and ppp53 (SPAP14E8.04). A host in which four genes consisting of the above three genes and ppp16 (SPBC1711.12), or five genes consisting of the above four genes and ppp22 (SPBC14C8.03) are deleted or inactivated is preferred.
US08329446B2 Green mold inhibitor
The present invention provides a biocontrol agent for the prevention and/or reduction of mold during mushroom production. In particular, the invention utilizes Bacillus spp. as an agent for the control of mold caused by Trichoderma spp. The Bacillus spp. is a biologically pure culture of Bacillus subtilis strain J-P13.
US08329441B2 Production of peracids using an enzyme having perhydrolysis activity
A process is provided for producing peroxycarboxylic acids from carboxylic acid esters. More specifically, carboxylic acid esters are reacted with an inorganic peroxide, such as hydrogen peroxide, in the presence of an enzyme catalyst having perhydrolysis activity. The present perhydrolase catalysts are classified as members of the carbohydrate esterase family 7 (CE-7) based on the conserved structural features. Further, disinfectant formulations comprising the peracids produced by the processes described herein are provided.
US08329439B2 Glucose dehydrogenase
A modified pyrroloquinoline quinone glucose dehydrogenase that exhibits a high selectivity for glucose is provided. A modified pyrroloquinoline quinone glucose dehydrogenase is disclosed in which the amino acid residue G at Position 99 of a pyrroloquinoline quinone glucose dehydrogenase (PQQGDH) represented by SEQ ID NO: 1, or the amino acid residue G at Position 100 of the pyrroloquinoline quinone glucose dehydrogenase (PQQGDH) represented by SEQ ID NO: 3, is substituted by the amino acid sequence TGZN (where Z is SX, S, or N and X is any amino acid residue). The modified PQQGDH of the present invention may additionally comprise one or more mutations selected from the group consisting of Q192G, Q192A, or Q192S; L193X; E277X; A318X; Y367A, Y367F, or Y367W; G451C; and N452X (where X is any amino acid residue).
US08329438B2 Enone reductases
The disclosure relates to engineered enone reductase polypeptides having improved properties, polynucleotides encoding the engineered polypeptides, related vectors, host cells, and methods for making the engineered enone reductase polypeptides. The disclosure also provides methods of using the engineered enone reductase polypeptides for chemical transformations.
US08329433B2 Thermal cycler for PCR including temperature control bladder
A method for performing a polymerase chain reaction (PCR). The method comprises the step of maintaining a reaction mixture in a PCR reaction chamber alternatively at a first temperature and a second temperature using a device comprising a first heater for heating a temperature-control substance to a first temperature, a second heater for heating said temperature-control substance to a second temperature, a pump located in between and connected in series with the first heater and the second heater; and a bladder unit connected to the first and second heaters via different ports. The pump introduces the temperature-control substance into the bladder unit at the first temperature and the second temperature alternatively with a regular interval to enable the PCR.
US08329432B2 Method and composition for crystallizing G protein-coupled receptors
Certain embodiments provide a method for crystallizing a GPCR. The method may employ a fusion protein comprising: a) a first portion of a G-protein coupled receptor (GPCR), where the first portion comprises the TM1, TM2, TM3, TM4 and TM5 regions of the GPCR; b) a stable, folded protein insertion; and c) a second portion of the GPCR, where the second portion comprises the TM6 and TM7 regions of the GPCR.
US08329430B2 Polymyxin synthetase and gene cluster thereof
The present invention relates to a polymyxin synthetase isolated from Gram-positive Paenibacillus sp. and a gene cluster encoding the same, more precisely a polymyxin synthetase isolated from Paenibacillus polymyxa E681, ATCC21830 and F4 strains, a gene cluster encoding thereof and a preparation method of polymyxin or its derivatives using the gene cluster. The polymyxin synthetase of the present invention can be effectively used for the increase of productivity of polymyxin and the development of a novel antibiotic.
US08329429B2 Method of enhancing recombinant protein production
The present invention provides a method of enhanced protein production that comprises the step of expressing a recombinant gene encoding the protein in eukaryotic cells under conditions in which cleavage of the pro-domain of the protein is inhibited or eliminated. Generally the method of the present invention includes the step of inhibiting or altering the cleavage of a pro-domain of a recombinant protein of interest in order to increase the amount of recombinant protein secreted from a eukaryotic cell. Recombinant proteins that can be prepared using the method of this invention include members of the transforming growth factor-β (TGF-β) superfamily, such as bone morphogenetic proteins. Also provided are genetically engineered cells and polynucleotides for performing the method of the invention.
US08329428B2 Enzyme that catalyzes a peptide-forming reaction from a carboxy component and an amine component, microbe producing the same, and a method of producing a dipeptide using the enzyme or microbe
DNA and recombinant DNA that encode a peptide-forming enzyme, a method for producing a peptide-forming enzyme, and a method for producing a dipeptide are disclosed. A method for producing a dipeptide includes producing a dipeptide from a carboxy component and an amine component by using a culture of a microbe belonging to the genus Sphingobacterium and having the ability to form the dipeptide from the carboxy component and the amine component, a microbial cell separated from the culture, treated microbial cell product of the microbe or a peptide-forming enzyme derived from the microbe.
US08329420B2 Screening method for a substance that binds to an intracellular region of TLR4
The present invention provides a method of screening for a drug for the prophylaxis or treatment of at least one disease selected from the group consisting of cardiac disease, autoimmune disease, inflammatory disease, central nervous system disease, infectious disease, sepsis, severe sepsis and septic shock, which includes selecting a substance that binds to an intracellular region of TLR4, and inhibits signal transduction from said molecule, and a kit for this method, which contains (1) a cell that expresses wild-type TLR4 and (2) a cell that expresses a mutant TLR4, and which can detect a signal from TLR4 with expression of a reporter gene as an index.
US08329416B2 Stabilized standards for busulfan immunoassay
Use of busulfan amide as stabilized standards in immunoassays for quantifying the amount of busulfan in samples of human biological fluids, methods for carrying out said immunoassay and kits for use in said immunoassay.
US08329415B2 Lysis reagent for use with capture-in-solution immunoassay
The invention provides a lysis reagent and method for preparing a test sample for use in an assay, wherein the method yields a homogeneous lysis mixture suitable for use in automated pipetting systems without the need for a centrifugation step. The lysis reagent includes a glycol and non-specific animal immunoglobulins. Other aspects of the invention include related immunoassays and test kits.
US08329411B2 Diagnostic assay for Trypanosoma cruzi infection
A sensitive, multicomponent diagnostic test for infection with T. cruzi, the causative agent of Chagas disease, including methods of making and methods of use. Also provided is a method for screening T. cruzi polypeptides to identify antigenic polypeptides for inclusion as components of the diagnostic test, as well as compositions containing antigenic T. cruzi polypeptides.
US08329410B2 Method for diagnosing kidney disease comprising detecting the level of annexin A2
The present invention provides biomarkers for detecting kidney disease, selected from the oligonucleotide sequence, complementary sequence or derivatives, amino acid sequence or derivatives, fragment, variants, antibody of annexin A2 or S100A6 or combinations thereof. Moreover, the present invention also provides an assay kit and a method for kidney disease detecting, practically for the kidney disease resulting from acute tubular necrosis.
US08329408B2 Methods for prognosis and monitoring cancer therapy
The present invention also relates to biomarkers and the use of biomarkers for the prediction and prognosis of cancer as well as the use of biomarkers to monitor the efficacy of cancer treatment. Specifically, this invention relates to the use of HER-2, EGFR, VEGF, u-PA, p-PAI-1, and soluble forms thereof, as biomarkers for cancer, especially for subjects treated with sorafenib.
US08329402B2 Combination of marker genes for characterizing a Lactobacillus sakei strain
The present invention relates to a new combination of marker genes for characterizing a Lactobacillus sakei strain. In particular, the present invention concerns the use of a pattern of presence or absence of marker genes in the genome of the strain to be characterized for classifying and identifying said strain.
US08329401B2 Enzyme-catalyzed metal deposition for the enhanced detection of analytes of interest
The invention is directed to enhanced methods for detecting an analyte of interest in situ, by immunoassay, or by hybridization comprising binding an enzyme-labeled conjugate molecule to an analyte of interest in the presence of a redox-inactive reductive species and a soluble metal ion. The enzyme catalyzes the conversion of the inactive reductive species to an active reducing agent, which in turn reduces the metal ion to a metal atom thereby providing an enhanced means of detecting the analyte via metal deposition.
US08329399B2 Endometrial biomarkers
Methods for detecting endometrial diseases or an endometrium phase in a subject are described comprising measuring endometrial markers or polynucleotides encoding the markers in a sample from the subject. The invention also provides localization or imaging methods for endometrial diseases, and kits for carrying out the methods of the invention. The invention also contemplates therapeutic applications for endometrial diseases employing endometrial markers, polynucleotides encoding the markers, and/or binding agents for the markers.
US08329396B1 Cloned DNA sequences hybridizable with genomic RNA of lymphadenopathy associated virus (LAV)
This invention is in the field of lymphadenopathy virus. This invention relates to a diagnostic means and method of detecting lymphadenopathy associated virus or related viruses or DNA pro-viruses with cloned DNA sequences which are hybridizable to genomic RNA and DNA of lymphadenopathy associated virus. It further relates to the cloned DNA sequences and a process for their preparation.
US08329391B2 Analysis of amino acid copolymer compositions
Methods for analyzing, selecting, characterizing or classifying compositions of a co-polymer, e.g., glatiramer acetate are described. The methods entail analysis of pyro-glutamate in the composition, and, in some methods, comparing the amount of pyro-glutamate present in a composition to a reference standard. In some cases, the methods entail treating the co-polymer with pyro-glutamate aminopeptidase to cleave N-terminal pyro-glutamate residues.
US08329385B2 Method of manufacturing a semiconductor device
A method of manufacturing a semiconductor device according to one embodiment, includes: forming a first mask material film on a workpiece film formed on a semiconductor substrate; forming a resist pattern on the first mask material film; forming a second mask material film having a desired film thickness on the first mask material film so as to cover the resist pattern; carrying out etchback of the second mask material film so as to expose the resist pattern and the first mask material film; processing the resist pattern and the first mask material film simultaneously which are exposed, while leaving the second mask material film of which etchback is carried out; and processing the workpiece film which exposes under the first mask material film.
US08329384B2 Resist-modifying composition and pattern forming process
A patterning process includes (1) coating a first positive resist composition onto a substrate, baking, exposing, post-exposure baking, and alkali developing to form a first resist pattern, (2) coating a resist-modifying composition onto the first resist pattern and heating to effect modifying treatment, and (3) coating a second positive resist composition, baking, exposing, post-exposure baking, and alkali developing to form a second resist pattern. The resist modifying composition comprises a base resin comprising recurring units having formula (1) wherein A1 is alkylene, R1 is H or methyl, R2 is alkyl or bond together to form a nitrogen-containing heterocycle, and an alcohol-based solvent.
US08329381B2 Pattern forming method
A pattern forming method includes providing a first mask with a first aperture, forming a first transfer pattern on a resist by irradiating a first electron beam through the first aperture, the first transfer pattern extending in a first direction and having a boundary along a circumference thereof, and the first electron beam having a cross section of a first square when emerging from the first aperture, and forming a second transfer pattern on the resist by irradiating a second electron beam through the first aperture, the second transfer pattern extending in the first direction and overlapping a portion the boundary of the first transfer pattern, and the second electron beam having a cross section of a second square when emerging from the first aperture.
US08329379B2 Actinic ray-sensitive or radiation-sensitive resin composition, resist film, and pattern-forming method using the same
Provided is an actinic ray-sensitive or radiation-sensitive resin composition, a resist film formed with the composition, and a pattern-forming method using the same. The actinic ray-sensitive or radiation-sensitive resin composition includes (P) a resin that contains the following repeating units (A), (B) and (C); and a solvent having a boiling temperature of 150° C. or less, (A) a repeating unit containing a group capable of decomposing and forming an acid upon irradiation with an actinic ray or radiation, (B) a repeating unit containing a group capable of decomposing and forming a carboxylic acid by the action of an acid, and (C) a repeating unit containing a carbon-carbon unsaturated bond.
US08329377B2 Imide compound and chemically amplified resist composition containing the same
An imide compound represented by the formula (I): wherein R1 represents a C1-C20 aliphatic hydrocarbon group etc., W1 represents —CO—O— etc., Q1 and Q2 each independently represent a fluorine atom etc., and A represents a group represented by the formula (I-1): wherein A1 represents —CH2—CH2— etc., and a chemically amplified resist composition containing the same.
US08329373B2 Method and apparatus for producing toner
A method and an apparatus for producing toner are provided. A fluid comprising a resin and a colorant is supplied to a retention member that includes a film on which multiple discharge openings are formed. The fluid which is supplied to the retention member is resonated so that liquid droplets thereof are discharged from the multiple openings. The liquid droplets which are discharged from the multiple openings are solidified to form mother particles of a toner.
US08329371B2 Toner for non-magnetic one-component developer, method of preparing the toner, developer and image forming method
A non-magnetic toner, including a binder resin; a wax present dispersing in the toner in the shape of a particle; and a colorant, wherein the wax having a particle diameter not less than 2.0 μm and less than 3.0 μm is not less than 20% and less than 40% by number based on total number thereof; the wax has a mode value not less than 1.5 μm and less than 2.0 μm in a frequency distribution of 0.1 μm width; and the following relationship is satisfied: 45
US08329370B2 Toner, image forming apparatus, image forming method, and process cartridge
An image forming apparatus including: a latent electrostatic image bearing member, a charging unit, an exposing unit, a developing unit, a transfer unit, and a fixing unit, wherein the toner contains at least a binder resin and a colorant, the binder resin contains a polyester resin obtained by polycondensation of an alcohol component with a carboxylic acid component containing a rosin compound, the amount of the rosin compound contained in the carboxylic acid component is 5% by mass or more based on the total mass of the alcohol component and carboxylic acid component, and the amount of an abietic acid contained in the toner is 1% by mass or less.
US08329368B2 Electrophotographic toner
An electrophotographic toner is disclosed. The toner contains a compound of Formula X-1 and a copper complex compound represented by the following Formula (1), which are defined detain in the specification.
US08329366B2 Apparatus and method for providing resist alignment marks in a double patterning lithographic process
A method is described for alignment of a substrate during a double patterning process. A first resist layer containing at least one alignment mark is formed on the substrate. After the first resist layer is developed, a second resist layer is deposited over the first resist layer, leaving a planar top surface (i.e., without topography). By baking the second resist layer appropriately, a symmetric alignment mark is formed in the second resist layer with little or no offset error from the alignment mark in the first resist layer. The symmetry of the alignment mark formed in the second resist can be enhanced by appropriate adjustments of the respective thicknesses of the first and second resist layers, the coating process parameters, and the baking process parameters.
US08329365B2 Method for design and manufacture of diagonal patterns with variable shaped beam lithography
A method for fracturing or mask data preparation or optical proximity correction is disclosed, wherein a plurality of variable shaped beam (VSB) shots are determined for at least one exposure pass, where the plurality of VSB shots forms a line pattern which is at a diagonal to the axes of a Cartesian coordinate plane, and where at least two neighboring shots in the same exposure pass overlap. Methods for manufacturing a surface using charged particle beam lithography and for manufacturing an integrated circuit using an optical lithography process are also disclosed.
US08329364B2 Phase shift mask blank and phase shift mask
The present invention provides a photomask blank used for producing a photomask to which an ArF excimer laser light is applied, wherein: a light-shielding film is provided on a light transmissive substrate; the light-shielding film has a laminated structure in which a lower layer, an interlayer and an upper layer are laminated in this order from the side close to the light transmissive substrate; the thickness of the entire light-shielding film is 60 nm or less; the lower layer is made of a film containing a metal and has a first etching rate; the upper layer is made of a film containing a metal and has a third etching rate; the interlayer is made of a film containing the same metal as that contained in the lower layer or the upper layer and has a second etching rate that is lower than the first etching rate and the third etching rate; and the thickness of the interlayer is 30% or less of the thickness of the entire light-shielding film.
US08329363B2 Methods of fabricating halftone phase shift blank photomasks and halftone phase shift photomasks
Halftone phase shift photomasks are provided including a substrate configured to transmit light; a shift pattern on the substrate, the shift pattern including a pattern area on a center portion of the substrate and a blind area disposed on a periphery of the substrate, the shift pattern of the blind area having a greater thickness than a thickness that of the pattern area, and being configured to partially transmit the light; and a light shielding pattern formed on the shift pattern in the blind area and being configured to shield the light. Related methods are also provided herein.
US08329362B2 Extreme ultraviolet mask
An extreme ultraviolet (EUV) mask includes a quartz substrate including an absorption region and a reflection region, first and second multi-layered thin films formed on the quartz substrate, and a structure pattern disposed between the first and second multi-layered thin films.
US08329360B2 Method and apparatus of providing overlay
Provided is an apparatus that includes an overlay mark. The overlay mark includes a first portion that includes a plurality of first features. Each of the first features have a first dimension measured in a first direction and a second dimension measured in a second direction that is approximately perpendicular to the first direction. The second dimension is greater than the first dimension. The overlay mark also includes a second portion that includes a plurality of second features. Each of the second features have a third dimension measured in the first direction and a fourth dimension measured in the second direction. The fourth dimension is less than the third dimension. At least one of the second features is partially surrounded by the plurality of first features in both the first and second directions.
US08329358B2 Bipolar plate for fuel cell and fuel cell having the same
A bipolar plate includes a plurality of flow channels for fuel flow, wherein the flow channels are divided into a plurality of sections along a direction of the fuel flow. The total cross-sectional area of the flow channels across the sections becomes smaller from a fuel inlet toward a fuel outlet. A plurality of protrusions are formed between the sections, and the protrusions mix a fuel that passes through the flow channels. A fuel cell includes membrane electrode assemblies interposed between a plurality of the bipolar plates.
US08329357B2 Battery having fluid manager and sliding valve with friction reduction members
A fluid consuming battery (10) is provided with a fluid regulating system (50) for regulating fluid entry into the battery. The battery (10) includes a fluid consuming cell (20) having a cell housing with fluid entry ports for the passage of a fluid into the cell housing. A first fluid consuming electrode and a second electrode are disposed within the cell housing. The fluid regulating system (50) includes a valve having a moving plate (66) disposed adjacent to a fixed plate (62). The moving plate and fixed plate both have fluid entry ports (68, 64) that align in an open valve position and are misaligned in a closed valve position. The fluid regulating system (50) also includes an actuator that may include one or more shape memory alloy (SMA) components (82a, 82b) for moving the moving plate (66) relative to the fixed plate (62) to open and close the valve. In one embodiment, a friction reduction medium (502) employing lubricating oil (69) and a plurality spherical members (500) is disposed between the moving plate (66) and fixed plate (62) to provide enhanced valve operation.
US08329356B2 Fuel cell microporous layer including particles with a controlled pore size distribution
A fuel cell microporous layer including a plurality of porous particles wherein at least 90% of intruded volume by mercury porosimetry is introduced into pore size diameters ranging from about 0.43 μm to about 0.03 μm.
US08329354B2 Ejector and fuel cell system using the same
An ejector and a fuel cell system using the ejector which can improve a control of an ejection pressure of a fluid.The ejector 50 includes a body 60, a nozzle 80, a needle 70, a diffuser 90 which sucks a second fluid by a negative pressure generated by a first fluid ejected from the nozzle 80, and a first, a second and a third diaphragms 100, 110, 120 which are movable in the axial direction against the needle 70. The first diaphragm 100 and the second diaphragm 110 have the same effective area, and an effective area of the third diaphragm 120 is different from those of the first diaphragm 100 and the second diaphragm 110.
US08329353B2 Fuel cell system
A fuel cell system of the present invention includes a fuel cell (3); a water passage (8, 10, 12, 15, 17, 18); an electric heater (19) configured to heat the water passage; a water-related temperature detector (20); a first abnormality detector (22, etc.) configured to detect first abnormalities; a second abnormality detector (28, etc.) configured to detect second abnormalities; and a controller (21), and the controller is configured to stop an operation of the fuel cell system when the first abnormality is detected by the first abnormality detector or when the second abnormality is detected by the second abnormality detector. In a case where the fuel cell system stops since the second abnormality is detected by the second abnormality detector, the controller causes the electric heater (19) to carry out an operation as an antifreezing operation when the water-related temperature detector detects a temperature that is not more than a predetermined threshold. In a case where the fuel cell system stops since the first abnormality is detected by the first abnormality detector, the controller does not cause the electric heater (19) to carry out the operation as the antifreezing operation even when the water-related temperature detector detects the temperature that is not more than the predetermined threshold.
US08329350B2 Fuel cell power generation system
An electric power generator is particularly suitable for providing back-up power to sites with multiple power requirements. This generator comprises a rack having multiple module bays; at least one power conversion module is mounted in one of the bays and is electrically coupled to a fuel cell stack also mounted in the rack or located remote from the rack. The power conversion module converts the voltage level and/or current type of some of the electricity produced by the stack such that the generator can simultaneously output electricity at multiple voltage levels and/or current types. The rack can be a standardized nineteen relay rack, making the generator relatively compact and compatible with sites configured accept such racks.
US08329346B2 Battery having an air manager with a moving plate valve
A gas consuming battery is provided with an air regulating system for regulating the flow of air into the battery. The air regulating system includes a valve having a moving plate disposed adjacent to another plate. The moving plate and the other plate can both have apertures therethrough that at least partially align in an open valve and are misaligned in a closed valve. The air regulating system can also include an actuator for moving the moving plate to open and close the valve. A sealing medium including a fluid containment layer and a fluid partially contained therein is disposed between the moving and fixed plates to enhance valve operation and sealing effectiveness. The fluid containment layer includes a porous material, and the fluid has a maximum wicking height, that is equal to or greater than a maximum interfacial dimension between the valve plates.
US08329345B2 Combined power generation equipment
Combined power generation equipment combining a molten carbonate fuel cell (MCFC) and a gas turbine so as to construct a closed cycle system adapted to recover the total amount of carbon dioxide produced during power generation by feeding fuel and only O2 at an equivalent ratio, thereby obtaining CO2 as an oxidizing agent of a cathode gas, thus achieving high efficiency of a high order, the combined power generation equipment comprising a molten carbonate fuel cell (MCFC) 2 for performing power generation by the electrochemical reaction of an anode gas containing H2 and a cathode gas containing O2, a combustor 3 in which exhaust gas of the MCFC 2 is introduced and combusted, a gas turbine 4 for expanding a combustion gas from the combustor 3, and a circulatory line 15 for mixing CO2 of the exhaust of the gas turbine 4 into the cathode gas.
US08329344B2 Electrolytic solution
There is provided an electrolytic solution which has excellent flame retardance, low temperature characteristics and withstand voltage, high solubility of an electrolyte salt and excellent compatibility with hydrocarbon solvents, and comprises a chain carbonate (I) and an electrolyte salt (II), and the chain carbonate (I) is represented by the formula (I): wherein Rf1 is a fluorine-containing alkyl group having a fluorine content of 10 to 76% by mass and having, at its end, a moiety represented by the formula (Ia): (HCX1X2  (Ia) wherein X1 and X2 are the same or different and each is H or F; Rf2 is a fluorine-containing alkyl group having a fluorine content of 10 to 76% by mass and having, at its end, —CF3 or the moiety represented by the above-mentioned formula (Ia).
US08329343B2 Battery and electrode
An electrode includes: an electrode collector and an electrode active material layer, wherein a film containing a salt represented by the following formula (I) is provided on the electrode active material layer: R1AnMx   (I) wherein R1 represents an n-valent organic group containing a sulfur atom; n represents an integer of from 1 to 4; A represents an anion; M represents a metal ion; and x represents an integer of 1 or more.
US08329338B2 Battery with current-collector connector
The metal foil of the positive electrode 1a or the negative electrode 1b in the power generating element 1 is connected along the connecting plate portion 2b which is folded, twisted, and provided in a protruding condition from the main portion 2a of the current-collector connector 2; hence the shape of the current-collector connector 2 becomes easy to form, and a battery capable of enhancing current collection efficiency, reliability and workability can be provided.
US08329326B2 Electrochemical device
An electrochemical device 1A has first and second electrode pads 5a and 5b on the upper surfaces 11 of protrusions 3a and 3b, and has first and second electrode pads 7a and 7b on the lower surfaces 13 of the protrusions 3a and 3b. First and second foil electrode terminals 261a and 261b of an electrochemical element 251 are electrically connected to the first and second electrode pads 5a and 5b, respectively. First and second foil electrode terminals 262a and 262b of an electrochemical element 252 are electrically connected to the first and second electrode pads 7a and 7b, respectively.
US08329318B2 Metal based coating composition and related coated substrates
A coated substrate is disclosed. The coated substrate includes a substrate and a coating composition over the substrate comprising at least one metal based layer selected from tungsten, chromium, tantalum, molybdenum, aluminum, niobium, and mixtures and alloys thereof; and mixtures and alloys of cobalt and chromium; and at least one dielectric layer including SixNy, where x/y ranges from 0.75 to 1.5, over the metal based layer. The ΔEcmc (1.5:1) (T), ΔEcmc (1.5:1) (R1) and ΔEcmc (1.5:1) (R2) of a non-heat treated, coated substrate as compared to a heat treated, coated substrate according to the present invention are no greater than 8 units.
US08329311B2 Nanoprinted device comprising metallic patterns and method of nanoprinting metallic patterns
The invention concerns a nanoprinted device comprising point shaped metallic patterns, in which each metallic pattern has a bilayer structure controlled in hardness and in chemical properties comprising a lower layer (30) constituting the base of the point and an upper layer (31) constituting the point itself.
US08329310B2 Insecticidal composition and method
A composition for surface treatment of timber and wood products that provides protection against insect damage to all surfaces without any need for a secondary insecticide treatment of one or more new, untreated surfaces generated by post-treatment processing operations including sawing, cutting, drilling, bevelling, planing, sanding and/or a combination thereof, comprising one or more non-repellent insecticides.
US08329308B2 Cementitious article and method for preparing the same
A cementitious article and a method of making a cementitious article are disclosed. The cementitious article comprises a cementitious component that comprises a polyvinyl acetate type polymer, a monobasic phosphate, and optionally boric acid. Cementitious articles, such as board, are prepared such that the polyvinyl acetate type polymer, the monobasic phosphate, and optionally boric acid can be present in the cementitious core, and/or in dense layers if present. The concentration of the polyvinyl acetate type polymer, monobasic phosphate, and optionally boric acid in the cementitious article can increase from a central region A to peripheral regions B and C, respectively. In some embodiments, the polyvinyl acetate type polymer is a polyvinyl alcohol and the monobasic phosphate is monoammonium phosphate.
US08329304B2 Plasma display panel including TCC EMI filter, and/or method of making the same
A plasma display panel (PDP) includes an EMI filter supported by a glass substrate for blocking/shielding substantial amounts of electromagnetic waves, with the filter being supported by a side of the substrate opposite a viewer. In certain example embodiments, a black frit and a silver frit comprise a filter frame and are supported by the filter such that the filter is closer to the glass substrate than either or both of the frits. Alternatively, in certain example embodiments, a conductive black frit comprises a filter frame and is supported by the filter such that the filter is closer to the glass substrate than the frit. The filter has high visible transmission, and is capable of blocking/shielding electromagnetic waves. Advantageously, a transparent conductive coating (TCC) can be coated on a stock, non-cut glass sheet.
US08329303B2 Controlled atmosphere when sintering a frit to a glass plate
A method is described herein for controlling the oxygen level within an oven while sintering a frit to a glass plate where the sintered frit and glass plate are subsequently sealed to another glass plate to form a sealed glass package. Examples of the sealed glass package include a light-emitting device (e.g., organic light emitting diode (OLED) device), a photovoltaic device, a food container, and a medicine container.
US08329298B2 Heat-expandable microspheres and a process for producing the same
A method that heat-expandable microspheres includes the use of a shell of thermoplastic resin and a non-fluorine blowing agent encapsulated therein having a boiling point not higher than the softening point of the thermoplastic resin. The method includes, a step of dispersing an oily mixture containing a polymerizable component, the blowing agent, and a polymerization initiator containing a peroxydicarbonate in an aqueous dispersing medium to polymerize the polymerizable component contained in the oily mixture. The resultant heat-expandable microsphres have a shell which is less apt to become thinner than its theoretical value, contain minimum amount of resin particle inside their shell, and have excellent heat-expanding performance.
US08329297B2 Rubber compositions containing non-sulfur silica coupling agents bound to diene rubbers
The invention provides vulcanizable rubber compound having improved tensile mechanical and dynamic viscoelastic properties. The compounds are formed by mixing an elastomer, containing unsaturated carbon-carbon bonds in its molecular structure, with a reinforcing inorganic filler silica in the presence of an alkyl alkoxysilane and a non-sulfur coupling agent that binds to the rubber backbone with an “ene” linkage or a 1,3 dipolar addition linkage. In particular, the coupling agent and the alkyl alkoxysilane are present in the compound in a weight ratio of about 0.0001:1 to about 1:1.
US08329295B2 Process for producing doped gallium arsenide substrate wafers having low optical absorption coefficient
A process is disclosed for producing a doped gallium arsenide single crystal by melting a gallium arsenide starting material and subsequently solidifying the gallium arsenide melt, wherein the gallium arsenide melt contains an excess of gallium relative to the stoichiometric composition, and wherein it is provided for a boron concentration of at least 5×1017 cm−3 in the melt or in the obtained crystal. The thus obtained crystal is characterized by a unique combination of low dislocation density, high conductivity and yet excellent, very low optic absorption, particularly in the range of the near infrared.
US08329284B2 Identification device and application thereof
Identification devices are known, e.g. in the form of labels to be attached by sewing or adhesive, monograms and the like, for the individualizing identification of items of clothing, shoes, shoe inserts or similar personal objects to be worn on a person's body. Whenever such identification devices are easy to read and to manufacture, they usually have relatively little recognition value. It is proposed to provide an identification device with, or to produce it in the form of, an image of a pressure-distribution pattern obtained by at least two-dimensional sampling of a pressure distribution between a part of the person's body and a substantially solid object.
US08329283B2 Carbon-based composite material and producing method thereof
A carbon-based composite material includes a graphite substrate and a carbon-based sheet. The carbon-based sheet is adhered to a surface of the graphite substrate with a heat-resistant adhesive. The carbon-based sheet has at least one of slits and grooves.
US08329282B2 Power transmission belt and method of manufacturing a power transmission belt
A power transmission belt having a body made at least in part from ethylene-α-olefin rubber. At least one load carrying member is embedded in the ethylene-α-olefin rubber. First, second and third films are formed on the load carrying member. The first film is made from at least one of an isocyanate compound and an epoxy compound, the second film from polybutadiene rubber, and the third film from an ethylene-propylene-diene terpolymer.
US08329277B2 Deterring theft of optical media
An optical media disk is treated with a light-sensitive material that impedes the ability of an optical media player from reading data stored on the disk. This light-sensitive material is a material that changes phase upon exposure to one or more wavelengths of light. During the initial phase, the light-sensitive material will render the disk unreadable. After activation, however, the disk becomes permanently readable by conventional optical media players. In this fashion, theft of yet-to-be-activated optical media is deterred.
US08329276B2 Easy-open reclosable films having an interior frangible interface and articles made therefrom
The present invention relates to peelable resealable multilayer films which include at least a first thermoplastic layer of an anhydride-modified polyolefin, a second thermoplastic layer of an ethylene/vinyl alcohol copolymer and a third thermoplastic layer of a tacky pressure-sensitive adhesive. The bond formed between a second layer of ethylene/vinyl alcohol copolymer and a third layer of a tacky pressure-sensitive adhesive creates a peelable resealable interface having a first interfacial peel strength, A, and a second interfacial peel strength, B.
US08329273B2 Creep resistant article
Disclosed are creep resistant articles having a polyolefin in a continuous phase and fibrils or lamellae of polyester, polyamide, or mixtures thereof dispersed in the continuous phase.
US08329268B2 Paper-based plant pot, and blank for making same
A paper-based plant pot comprises a container formed from a sheet of paper-based material wrapped about an axis, opposite edge portions of the sheet being secured to each other, the container having a side wall that encircles the axis and a bottom wall formed by a plurality of bottom panels of the sheet folded inwardly from a lower edge of the side wall and engaging one another. The sheet comprises a paperboard substrate formed of natural cellulose fibers, an internal sizing composition incorporated into the paperboard substrate so as to render the paperboard substrate substantially resistant to saturation by liquid water, and a thermoplastic polymer layer on one of the major surfaces of the paperboard substrate. The thermoplastic polymer layer faces an interior of the container and forms an inner surface thereof for contact with soil or other growing medium placed in the container.
US08329266B2 Recording materials for ink-jet printing
A recording material printable with an ink jet printer and having a gel layer for that purpose.
US08329265B2 Transition synthetic sports turf
A durable and wear resistant synthetic sports transition turf field having at least two strips with a plurality of fibrillated polypropylene strands tufted within a backing material. The strands are tufted in a wide variety of pile heights, patterns, gauges, and stitch patterns depending upon end use. The backing material consists of at least two layers of a woven material, with the bottommost one coated with a secondary coating used to contain the ends of the plurality of strands. The strips are placed onto a shock layer and coupled together using a hook and loop fastening system. The field is covered with an infill preferably consisting of resilient particles. The field is easily installed and removed and is ideal for use in indoor, multiuse sports and entertainment facilities that require a multitude of different flooring surfaces.
US08329263B2 Method of coating a particle
Disclosed is a method of coating a particle, the method comprising contacting (i) a particle, wherein the surface of the particle comprises functional groups suitable to undergo a coupling reaction with at least a hydroxyl group, a halogen group or an akloxy group, with (ii) molecules of formula (Ia) and/or (Ib): (Ia) or (Ib) wherein A is a metal or a metalloid, R1 and (in formula (Ia)) R2 are halogen or an alkoxy group and R20 is OH, halogen or an alkoxy group. G1 is an aliphatic; cycloaliphatic, aromatic, arylaliphatic or arylcycloaliphatic spacer and E is a functional group. Molecules of the compound of general formula (Ia) and/or (Ib) are immobilized on the surface of the particle by a coupling reaction between functional groups on the surface of the particle and moieties R20 .
US08329259B2 Electrostatic painting apparatus and electrostatic painting method
An electrostatic painting apparatus for electrostatically painting a door on a vehicle body. The painting apparatus uses a robot arm that opens/closes the door. A high voltage is applied to the robot arm and the amount of any current between an electrode pin on the robot arm and the door is measured to determine the positional relationship between the door and the robot arm.
US08329254B2 Method for production of ink-jet head
Disclosed is a method for producing an ink-jet head which enables the formation of an ink-repellent layer having excellent ink ejection stability, excellent adhesion to a head base material and excellent pressure resistance in a simple manner. The method for producing an ink-jet head is characterized by applying a coating solution comprising a compound represented by Formula (1) and an aqueous dispersion of a fluororesin to an ink-ejecting surface of an ink-jet head to form an ink-repellent layer on the ink-ejecting surface.
US08329253B2 Method for forming a transparent conductive film by atomic layer deposition
A method for forming a transparent conductive film by atomic layer deposition includes providing more than one kind of oxide precursor which is individually introduced into atomic layer deposition equipment through different sources, wherein the oxide precursors are consecutively introduced into the atomic layer deposition equipment at the same time, so that the oxide precursors are simultaneously present in the atomic layer deposition equipment, to form a uniform mixture of oxide precursors in a single adsorbate layer for settling onto a substrate in the atomic layer deposition equipment. Then, an oxidant is provided to react with the oxide precursors to form a single multi-oxide atomic layer. The above mentioned steps are repeated to form a plurality of multi-oxide atomic layers.
US08329252B2 Method for the growth of SiC, by chemical vapor deposition, using precursors in modified cold-wall reactor
A method is described for the growth of high-quality epitaxial silicon carbide (SiC) films and boules, using the Chemical Vapor Deposition (CVD) technique, which comprises the steps of supplying original species SiH4 and CCl4 into a growth chamber, decomposing at elevated temperatures, producing decomposition product SiH2, SiH, Si, CCl3, or CCl2, producing interaction product HCl, CH3Cl, CH4, or SiH2Cl2, etching by one of the byproducts HCl to suppress Si nucleation, providing main species SiCl2 and CH4 at a cooled insert located on sides of a substrate holder and at a shower-head located on top of the substrate holder, in the growth chamber, with proper Si to C atom ratio and Si to Cl atom ratio, to suppress parasitic deposits, and depositing SiC on a substrate at a proper growth substrate temperature (1500 to 1800 centigrade range).
US08329250B2 Crack resistant coating and method of applying crack resistant coating
The present invention relates to a method for applying a crack resistant coating on a surface, where the crack resistant coating increases resistance to high vertical and horizontal movements and high shear stresses on the surface. The method comprises the steps of applying a binding material to the surface, applying an aggregate mixture within 15 seconds of applying the binding material to the surface, and allowing the binding material to fill some of the air voids in the aggregate mixture to form a substantially voidless layer with a height of at least 0.38 cm.
US08329241B2 Brassica seeds
Treated Brassica seeds and their extracts for use as a flavor and/or a flavor modifier in consumables. Treatment includes heating, and optionally grinding methods and/or recovery methods. Consumables include foods, beverages, health-care products, oral hygiene and oral care products, beauty-care products, and tobacco products. The extract contains elevated amounts of flavor and flavor modifier. One flavor modifier of the extract may be 2-furfurylthiol (“FFT”). A process for producing treated Brassica seeds extract.
US08329230B2 Natural hair care composition, methods for obtaining the same and use thereof
Disclosed is a natural hair care composition comprising extract of flowers of plant Butea frondosa and/or stem bark of plant Butea parviflora, and/or the exudates of stem and root of plant Butea superba, and a cosmetically acceptable carrier. Also disclosed are methods for obtaining the plant extract and use thereof in regulation of hair growth and prevention of hair loss in all kinds of hair disorders.
US08329229B2 Steam distillation of catmint plants
This invention provides processes for improved recovery of essential oil from the catmint (catnip) plant Nepeta cataria.
US08329228B2 Special extract and use thereof for inhibiting the degradation of cyclic guanosine monophosphate (cGMP)
A process for the preparation of an extract from a plant of the Turneraceae family, comprising the steps of: extracting plant parts with an extractant containing, in addition to water, an organic solvent selected from methanol, ethanol, propanol, isopropanol, acetone and mixtures thereof; concentrating the extracts to form a viscous extract; enriching the lipophilic substances to form a concentrate.
US08329227B2 Compositions for improving mental performance
The present invention provides formulas for producing compositions for the structural/functional nutritional support for those who struggle with poor focus, concentration and/or memory. In addition, the present invention provides compositions comprising nutritional/botanical factors helpful to those who subjectively experience transient mental fatigue or poor cognitive function. The compositions of this invention consist primarily of the following ingredients B-complex vitamins, antioxidants, minerals, phosphatidyl serine (PS), choline, dimenthyl-aminoethanol (DMAE), docosahexaenoic acid (DHA), L-pyroglutamic acid, as well as herbal extracts from Bacopa monniera, Vinca minor, and Huperzia serrata. The present invention also relates to the administration of these compounds to alleviate mental fatigue or poor cognitive function.
US08329221B2 Microcrystalline cellulose and calcium phosphate compositions useful as pharmaceutical excipients
Coprocessed compositions containing calcium phosphate and microcrystalline cellulose are useful as excipients in the preparation of solid dosage forms containing active pharmaceutical ingredients, particularly those prepared by processes involving multiple compaction steps. Such compositions may be obtained by preparing aqueous slurries of microcrystalline cellulose and calcium phosphate and drying such slurries to produce particulate products. The coprocessed products exhibit improved compactibility, as compared to dry physical blends of the same components.
US08329218B2 Unusually stable glasses and methods for forming same
The present invention provides vapor deposition methods that overcome the kinetic restrictions imposed by more conventional vapor deposition processes and liquid-cooling techniques to form amorphous molecular solids with greatly enhanced stabilities. The present methods produce amorphous molecular solids having stabilities, as measured by fictive temperature, that cannot be achieved using liquid-cooling methods.
US08329216B2 Oxymorphone controlled release formulations
The invention pertains to a method of relieving pain by administering a controlled release pharmaceutical tablet containing oxymorphone which produces a mean minimum blood plasma level 12 to 24 hours after dosing, as well as the tablet producing the sustained pain relief.
US08329213B2 Liposomes useful for drug delivery
The present invention provides liposome compositions containing substituted ammonium and/or polyanion, and optionally with a desired therapeutic or imaging entity. The present invention also provides methods of making the liposome compositions provided by the present invention.
US08329209B2 Encapsulated vaccines for the oral vaccination and boostering of fish and other animals
The invention relates to a composition comprising a pharmaceutically active agent and a bioadhesive delivery system that provides for the oral delivery of a vaccine to animals, particularly aquatic animals.
US08329208B2 Pharmacokinetics of S-adenosylmethionine formulations
Compositions and methods to improve the pharmacokinetic profile of S-Adenosylmethionine (SAMe) are provided, as are methods of treating various disorders using SAMe formulations with improved pharmacokinetic profiles. More specifically, the invention is directed to methods of treating a disease or disorder in a subject and/or improving the nutritional status of a subject by administering formulations exhibiting improved pharmacokinetic profiles of exogenous SAMe. The method also includes the step of orally administering compositions of the invention to the subject once per day after overnight fast; that is prior to food intake in the morning.
US08329206B2 Bolus devices for the delivery of active agents to animals
A bolus for administration of a substance to an animal, the bolus including An external coating, and A core inside the external coating wherein the core is formed from a plurality of dosage media, wherein each dosage media contains a substance, the bolus characterised in that the dosage media are ordered within the bolus such that the amount of substance released by each dosage media is progressively more than the amount of substance released by the previous dosage media.
US08329203B2 Drug-containing implants and methods of use thereof
The present invention provides implants comprising a therapeutic drug and a polymer containing polylactic acid (PLA) and optionally polyglycolic acid (PGA). The present invention also provides methods of maintaining a therapeutic level of a drug in a subject, releasing a therapeutic drug at a substantially linear rate, and treating schizophrenia and other diseases and disorders, utilizing implants of the present invention.
US08329193B2 Method for treating premature ejaculation with a botulinum neurotoxin
Methods for prolongation of climax time in a patient in need thereof are presented, as are methods for treating premature ejaculation by local administration of a Clostridial neurotoxin, such a botulinum neurotoxin, to the patient, are provided.
US08329189B2 Immunoreactive Ehrlichia p120/p140 epitopes and uses thereof
Provided herein are immunoreactive peptides which can selectively bind Ehrlichia-specific anti-p120 or anti-p140 antibodies. Methods and kits utilizing the immunoreactive peptides are also provided. The immunoreactive peptides may be utilized, e.g., for determining whether or not a subject is infected with Ehrlichia chaffeensis or Ehrlichia canis. In certain embodiments, the immunoreactive peptides may be utilized in an ELISA or lateral flow assay.
US08329187B2 Compositions of spores of non pathogenic bacteria
Liquid-based phamaceutical and/or veterinary and/or nutraceutical compositions comprising non pathogenic sporogenic bacteria, component SP), and the following components: O) from 0.001 to 95% by weight of one or more oils selected from esters of C4-C32 acids, C4-C32, S) from 0 to 90% by weight of one or more amphiphilic compounds, selected from surfactants, polymers forming organized structures such as aggregates, micelles, liquid crystals, vesicles, in the liquid in which they are solubilized, AD) from 0 to 60% by weight of one or more additive compounds selected from modifiers of the water and/or oil polarity, modifiers of the film curvature of component S), co-surfactants, PA) from 0.001 to 70% of one or more compounds selected from food supplements and pharmaceutical and/or veterinary active principles, W) from 0.1 to 99.9% by weight of water or saline aqueous solution, optionally buffered, the sum of the percentages by weight of the components, excluding SP), is 100%.
US08329185B2 Method for isolating and purifying grass pollen allergens
The invention relates to a method for quickly and effectively isolating and purifying five, namely the group 1, 2, 3, 10 and 13 allergens from grass pollen. The purification of said grass pollen is based on the inventive combination of hydrophobic interaction chromatography, gel filtration and cation exchange chromatography. The proteins obtained by the inventive method facilitate an improved diagnosis of pollen allergies and are used in pharmaceutical preparations for the therapy of pollenogenic diseases.
US08329184B2 Process for manufacturing vaccines
The present application discloses an improved method for conducting saccharide-protein conjugation reactions using carbodiimide condensation chemistry. Depending on the nature of the saccharide or protein carrier involved, the quality of the conjugate may be improved by adding one of the reaction components slowly to the reaction mixture. Immunogenic compositions are further provided comprising the saccharide-protein conjugates made by the methods disclosed.
US08329180B2 Antibody selective for a tumor necrosis factor-related apoptosis-inducing ligand receptor and uses thereof
An antibody of the invention interacts with human DR5 to produce agonistic or antagonistic effects downstream of the receptor including inhibition of cell proliferation and apoptosis. Nucleic acid sequences and amino acid sequences of anti-DR5 antibodies have been elucidated and vectors and cells containing and expressing these sequences have been generated. Methods and uses for the antibodies are detailed including treatment of apoptosis-related disease and treatment of dysregulated cell growth.
US08329179B2 Death domain containing receptor 4 antibodies and methods
The present invention relates to novel Death Domain Containing Receptor-4 (DR4) proteins which are members of the tumor necrosis factor (TNF) receptor family. In particular, isolated nucleic acid molecules are provided encoding the human DR4 proteins. DR4 polypeptides are also provided as are vectors, host cells and recombinant methods for producing the same. The invention further relates to screening methods for identifying agonists and antagonists of DR4 activity and methods for using DR4 polynucleotides and polypeptides. The invention also relates to the treatment of diseases associated with reduced or increased levels of apoptosis using antibodies specific for DR4, which may be agonists and/or antagonists of DR4 activity.
US08329177B2 Method of treating hypertrophic cardiomyopathy
We found that FIZZ1/RELMα is inducible by hypoxia in lung. The hypoxia-upregulated expression of FIZZ1/RELMα was located in the pulmonary vasculature, bronchial epithelial cells, and type II pneumocytes. Recombinant FIZZ1/RELMα protein stimulates rat pulmonary microvascular smooth muscle cell (RPSM) proliferation dose-dependently. Therefore, we renamed this gene as hypoxia-induced mitogenic factor (HIMF). HIMF strongly activated Akt phosphorylation. The phosphatidylinositol 3-kinase (PI3K) inhibitor LY294002 inhibits HIMF-activated Akt phosphorylation. It also inhibits HIMF-stimulated RPSM proliferation. Thus, the PI3K/Akt pathway, at least in part, mediates the proliferative effect of HIMF. HIMF also has angiogenic and vasoconstrictive activity. Notably, HIMF increases pulmonary arterial pressure and vascular resistance more potently than either endothelin-1 or angiotensin II.
US08329174B2 Anti-hepcidin antibodies and uses thereof
Monoclonal antibodies are provided that selectively bind human hepcidin-25 and are characterized as having high affinity for human hepcidin-25 and strong human mature hepcidin neutralizing properties. The antibodies of the invention are useful therapeutically for increasing serum iron levels, reticulocyte count, red blood cell count, hemoglobin, and/or hematocrit in a human and for the treatment and diagnosis of mature hepcidin-promoted disorders such as anemia, in a human subject.
US08329173B2 Antibodies inhibiting c-Met dimerization and uses thereof
A process for the selection of anti c-Met antibodies capable of inhibiting both ligand-dependent and ligand-independent activation of c-Met, wherein said process is based on the inhibition of the c-Met dimerization. The present invention also concerns such antibodies and compositions comprising antibodies for the preparation of a medicament to treat cancer including diagnosis process and kits.
US08329171B2 Method for treating psoriatic arthritis by administering an anti-IL-12 antibody
Isolated anti-IL-12 antibodies, nucleic acids encoding antibodies or antibody portions, vectors, host cells, and methods of making are useful for production of antibody or portions for treating and/or diagnosing IL-12 related conditions, diseases, and disorders, such as psoriatic arthritis.
US08329169B2 Methods and compositions for the prevention and treatment of sepsis
The present invention includes compositions comprising one or more complement inhibitors and one or more CD14 pathway inhibitors for the prevention or treatment of sepsis. The complement inhibitors may be antibodies that bind to and inhibit complement proteins such as C5a and the CD14 pathway inhibitors may be antibodies that bind to and inhibit CD14 pathway components, such as CD14 and LPS. The invention also relates to methods of treating subjects suffering from sepsis comprising administering these compositions, as well as kits for supplying the compositions for treatment.
US08329165B2 Composition for the treatment of ballast water containing bacteriophage as an effective component and biological method with the same for removing bacteria present in ballast water
The present invention relates to a composition for the treatment of ballast water containing bacteriophage capable of killing specific target bacteria as an active ingredient in order to eliminate or reduce bacteria including pathogenic bacteria present in ballast water, and a biological treatment method of ballast water.
US08329163B2 Attenuated gram negative bacteria
Disclosed and claimed are a mutant of a gram negative bacterium, wherein said bacterium has at least one mutation in a nucleotide sequence which codes for a polypeptide having an identity which is equal or more than 70%, 75%, 80%, 85%, 90%, 95%, 96%, 97%, 98%, or 99% with an amino acid sequence coded by a nucleotide sequence selected from the group consisting of nucleotide sequences identified SEQ ID NO: 2, 6, 9, 12, 16, 19, 22, 25, 28, 31, 34, 37, 40, 43, 46, 49, 52, 55, 58, 61, 64, 67, 70, 75, 78, 81, 84, 87, 90, 93; said mutation resulting in attenuated virulence of the bacterium. Immunogenic compositions and vaccines containing such a mutant are also disclosed and claimed.
US08329161B2 Red blood cell-derived vesicles as a nanoparticle drug delivery system
Red blood cell-derived vesicles (RDV) as a nanoparticle drug delivery system. The RDV are smaller than one micrometer, capable of encapsulating and delivering an exogenous substance into cells. The substance may be at least one selected from the group consisting of fluorophores, nucleic acids, superparamagnetic compounds and therapeutic agents. The RDV are capable of delivering encapsulated substances into cells including stem cells. The delivered substance within the cell or stem cell may be traced or tracked using a suitable device either in vitro or in vivo.
US08329160B2 Interferon alpha sequential regimen for treating cancers
The present invention relates to a method of treating cancers, especially those showing resistance to classical anti-pro liferative chemotherapy drugs. Further, the invention provides a specific interferon alpha sequential regimen for treating cancers, especially those showing resistance to classical anti-proliferative chemotherapy drugs such as stem cell driven cancers. More specifically, the invention relates to a use of IFN alpha for the preparation of a pharmaceutical formulation for the treatment of cancers wherein the pharmaceutical formulation is to be administered following a sequential administration pattern i.e. an induction period wherein a therapeutically effective amount of IFN alpha is administered, a period during which no IFN alpha is administrated and a chemotherapy period wherein a therapeutically effective amount of a chemotherapeutic agent is administered.
US08329159B2 Hepatitis C virus inhibitors
The present disclosure relates to compounds, compositions and methods for the treatment of hepatitis C virus (HCV) infection. Also disclosed are pharmaceutical compositions containing such compounds and methods for using these compounds in the treatment of HCV infection.
US08329158B2 Polymers containing poly(hydroxyalkanoates) and agents for use with medical articles and methods of fabricating the same
Embodiments of the present invention provides medical devices comprising poly(hydroxyalkanoates) and agents.
US08329156B2 Ionomeric silicone thermoplastic elastomers
This invention relates to thermoplastic elastomers comprising at least one silicone ionomer. These thermoplastic elastomers may be reprocessed and/or recycled.
US08329155B2 Quaternary ammonium functionalized glycodendrimers, methods for the production and use thereof
The present invention provides novel antimicrobial agents that are quaternary ammonium functionalized glycodendrimers. In one embodiment, the quaternary ammonium functionalized glycodendrimers are compounds of Formula (I): (Q+−-S-L)z-DnX− wherein: D is a dendrimer; n is the generation number of the functionalized dendrimer; z is an integer less than or equal to 2(n+2); L is a linking group; Q+ represents a quaternary ammonium moiety; and S represents a carbohydrate moiety. The present invention further provides formulations containing the antimicrobial agents of the invention, methods of making the agents and formulations of the invention, and methods of using the same as effective and/or broad spectrum antimicrobial agents. The agents and formulations of the invention find use in medicine, for the treatment of various inflammatory conditions or diseases, for example, and have numerous industrial applications. (Q+−-S-L)z-DnX−  (I)
US08329154B2 Compositions comprising a dispersant and microcapsules containing an active material
Compositions for providing controlled-release of an active material comprise a dispersant and microcapsules containing the active material. The compositions contain the dispersant and/or microcapsules at relatively low levels to avoid negatively impacting the surfaces treated with the compositions. The active material is preferably a perfume and the composition provides a controlled-release scent, along with controlling malodor when the compositions fuirther comprise optional odor control agent. Methods of providing a controlled-release of an active material on a surface comprise the step of contacting the surface with a composition comprising a dispersant and microcapsules containing an active material.
US08329153B2 Cosmetic product
The present invention provides cosmetic products, such as makeup cosmetics and skin care cosmetics, which have a good water-proof and perspiration resistance and an excellent use feel, such as spreadability, at the time of application and long-wearing capabilities. Specifically, a cosmetic product containing a silicone resin, composed of (A) and (B) described below: R3SiO1/2 unit  (A) RSiO3/2 unit  (B) at a proportion of (A):(B) being 1:1 to 1:7 and having a softening point of 50 to 110° C., wherein R represents a substituted or unsubstituted monovalent hydrocarbon group.
US08329152B2 Smooth styling agents
Agents for temporarily shaping keratin fibers having a very high degree of hold. These agents impart a feeling of providing flexible and conditioned hair while also being pleasant to the touch. Agents according to the invention contain, in a cosmetically acceptable carrier, at least one copolymer A having at least one structural unit according to formula (I), and at least one further structural unit according to formula (II), wherein X+ is a physiologically acceptable cation, and further contains at least one further structural unit according to formula (III) and at least one silicone oil and/or silicone rubber.
US08329134B2 Method for fabricating carbon nanotube film
A method for fabricating a carbon nanotube film includes the following steps: providing a vacuum chamber having a carbon nanotube array therein; and pulling a carbon nanotube film out from the carbon nanotube array.
US08329133B2 Method and apparatus for refining metallurgical grade silicon to produce solar grade silicon
A method and apparatus for refining metallurgical silicon to produce solar grade silicon for use in photovoltaic cells. A crucible in a vacuum furnace receives a mixture of metallurgical silicon and a reducing agent such as calcium disilicide. The mix is melted in non-oxidizing conditions within the furnace under an argon partial pressure. After melting, the argon partial pressure is decreased to produce boiling and the process ends with directional solidification. The process reduces impurities, such as phosphorus, to a level compatible with solar-grade silicon and reduces other impurities significantly.
US08329132B2 Polycrystalline silicon manufacturing apparatus and manufacturing method
A polycrystalline silicon manufacturing apparatus efficiently produces high-quality polycrystalline silicon. There is provided a polycrystalline silicon manufacturing apparatus, in which a plurality of gas supplying ports 6A for ejecting raw gas upward in a reactor 1 and gas exhausting ports 7 for exhausting exhaust gas after a reaction are provided on an inner bottom of the reactor 1 in which a plurality of silicon seed rods 4 are stood, the silicon seed rods 4 are heated and the polycrystalline silicon is deposited from the raw gas on the surfaces. The apparatus includes gas distributing tubes 9 that are respectively connected to the gas supplying ports 6A and respectively supply the raw gas to the gas supplying ports 6A, valves 21 that are provided on at least the gas distributing tubes connected to the gas supplying ports 6A adjacent to a center of the reactor 1 and open or close conduit lines of the gas distributing tubes 9, and a valve controlling device 22 that is connected to the valves 21 and controls the conduit lines to be closed for a predetermined time at an early stage of the reaction.
US08329130B2 Method of synthesizing crystalline polymeric boron-nitrogen compound and dehydrogenation of boron-nitrogen-hydrogen complex
The present invention provides a method for synthesis of crystalline polymeric boron-nitrogen compounds comprising a step of dehydrogenation of a boron-nitrogen-hydrogen compound on catalyst, wherein the boron-nitrogen-hydrogen compound is selected from the group consisting of ammonia borane, metal amidoboranes, amine boranes or mixtures thereof, and the catalyst is selected from the group consisting of transition metals, transition metal salts or alloys.
US08329123B2 Method for preparing of cerium oxide powder for chemical mechanical polishing and method for preparing of chemical mechanical polishing slurry using the same
The present invention relates to a method of preparing a cerium oxide powder for a CMP slurry and a method of preparing a CMP slurry using the same, and more particularly, to a method of preparing a cerium oxide powder for a CMP slurry and a method of preparing a CMP slurry using the same in which the specific surface area of the powder is increased by preparing a cerium precursor, and then decomposing and calcinating the prepared cerium precursor. The pore distribution is controlled to increase the chemical contact area between a polished film and a polishing material, thereby reducing polishing time while the physical strength of powder is decreased, which remarkably reduces scratches on a polished film.
US08329122B1 Method for production of an isotopically enriched compound
A method is presented for producing and isolating an isotopically enriched compound of a desired isotope from a parent radionuclide. The method includes forming, or placing, a precipitate containing a parent radionuclide of the desired daughter isotope in a first reaction zone and allowing sufficient time for the parent to decay into the desired gaseous daughter radioisotope. The method further contemplates collecting the desired daughter isotope as a solid in a second reaction zone through the application of temperatures below the freezing point of the desired isotope to a second reaction zone that is connected to the first reaction zone. Specifically, a method is presented for producing isotopically enriched compounds of xenon, including the radioactive isotope Xe-131m and the stable isotope Xe-131.
US08329121B2 Apparatus for preparing cytological specimens
A handheld apparatus for preparing a specimen slide includes a handle, a filter holder assembly coupled to and extending from the handle; and a slide holder assembly coupled to and extending from the handle spaced apart from the filter holder assembly, wherein the slide holder assembly comprises a plurality of positioning members movably coupled to one another, and a slide holder movably coupled to one of the positioning members to allow a slide mounted on the slide holder to make rolling contact with an outward facing surface of a filter mounted on the filter holder assembly.
US08329119B2 Reagent preparation and dispensing device and methods for the same
A reagent preparation and dispensing device includes a body. A reagent reservoir containing a reagent is disposed within the body. A solution reservoir containing a solution is disposed within the body. The device further includes an activator movably coupled with the body to open the reagent reservoir and the solution reservoir. The activator is operable to mix the solution with the reagent to form a reagent mixture. A dispensing reservoir tip is coupled with the body. The dispensing reservoir tip is sized and shaped to dispense the reagent mixture from the device.
US08329118B2 Method and apparatus for determining one or more operating parameters for a microfluidic circuit
Methods for determining one or more operating parameters for a timing protocol of a microfluidic circuit are provided. In some embodiments, wet out times are measured for certain flow channels, and start times, flow rates and/or other parameters are calculated so that the various fluids in the microfluidic cartridge arrive at certain locations at a desired time and/or in a desired sequence. To help compensate for process variations, one or more fluidic process monitor components/structures may be fabricated along with the functional components/structures of a microfluidic cartridge. Test may be performed on the process monitor components/structures to help identify process variations in the particular microfluidic cartridge at hand. By using the process monitor data, the timing protocol for a particular microfluidic cartridge may be made more accurate.
US08329115B2 Nanofluidic preconcentration device in an open environment
This invention provides a device and methods for increasing the concentration of a charged species in solution, wherein the solution containing the concentrated species is exposed to the environment. Such solution can be formed on a surface or on a tip of a measurement device. The open-environment concentration technique overcomes the disadvantages of in-channel concentration devices, especially by eliminating flow-induced delivery processes that lead to concentration losses. Combined with direct contact dispensing, methods of this invention can be used for various applications such as immunoassay and MALDI-MS.
US08329113B2 Additive effect enhanced hydrogen peroxide disinfection method and apparatus
A method which enhances a disinfection process by obtaining an additive effect from energy and byproducts of the decomposition process. Also disclosed are contact lens disinfecting systems, wherein the systems are configured to create the desirable elevated pressure, oxygen saturation and sustained peroxide concentration conditions within a contact lens holding and reaction chamber, in order to enhance disinfection by additive effect. The systems are configured to provide that an elevated pressure is maintained in the reaction chamber before venting occurs.
US08329110B2 Electrically-heated catalyst
An electrically-heated catalyst is disclosed. The catalyst may include a carrier; more than two comb-shaped electrode portions extending in a longitudinal direction; an electrically conductive underlying layer provided between the carrier and the comb-shaped electrode portions; and more than two electrically conductive fixing layers bonding to at least one of the comb-shaped electrode portions and to the underlying layer to fix the comb-shaped electrode portions to the carrier at more than two points spaced apart.
US08329105B1 Portable furnace for assaying a crushed ore sample
A lightweight, compact, easy-to-use, portable furnace used for quickly assaying crushed ore samples in the field and used by prospectors, miners, and geologists. The portable furnace can also be used for smelting precious metals and refining and recycling different metals. The furnace includes a metal stand having a stand base with a first end portion with a dish opening therein and a second end portion with an upwardly folded heat shield. The heat shield includes a nozzle groove therein for receiving a gas nozzle. The gas nozzle is part of a gas blow torch having a handle and a gas tank. The stand base also includes first and second sides folded downwardly for holding the metal stand above a flat surface. A bottom of a scorifying dish is received in the dish opening. A cupel is received inside the open top of the scorifying dish. The cupel is adapted for receiving a crushed ore sample therein to be assayed. A dish lid is received on top of the scorifying dish. The lid is used to help direct a gas flame from the end of the gas nozzle around the outer circumference of the cupel and heating and assaying the ore sample inside the cupel.
US08329104B2 Measurement device with at least one sensor
A measurement device has at least one sensor for detecting a parameter, a data storage unit, and a power supply for said sensor and data storage unit. In addition to the first sensor, the measurement device has at least one second sensor connected to the power supply and to the data storage unit. The second sensor is provided for detecting a physical quantity acting on the first sensor and is capable of permanently altering the measurement characteristic of the first sensor. An evaluator functions coactively with the second sensor and the data storage unit so that the permanent alteration of the measurement characteristic of the first sensor is recordable as a function of the measurement signal of the second sensor.
US08329103B2 Sample analyzer and method for analyzing samples
An sample analyzer, which enables to confirm an analysis remaining time for each sample and a total analysis remaining time for all samples set in the analyzer, so that time management for both each sample and all samples can be easily performed, is disclosed. Specifically, an analyzing unit analyzes a sample by executing an analysis sequence including a predetermined number of analyzing steps, and an control device calculates an analysis remaining time for each sample based on the number of analyzing steps. Control device acquires a total analysis remaining time by calculating the analysis remaining time for the sample in which sample information is lastly inputted. The display member displays the analysis remaining time and the total analysis remaining time.
US08329101B2 Sample analyzer
A sample analyzer includes a transporting device configured to transport a rack for holding a plurality of sample containers containing samples respectively, a barcode reader configured to read a barcode of the rack transported by the transporting device, and an aspirating device that includes an aspirating tube and a moving device configured to move the aspirating tube in a direction to insert the aspirating tube in a sample container. The sample analyzer further includes a controller configured to determine, based on the container type identifying information included in the barcode read by the barcode reader, an aspiration position for aspirating a sample in a sample container and to control insertion of the aspirating tube in the sample container at the determined aspiration position, and an analyzing part configured to analyze the sample aspirated by the aspirating device.
US08329088B1 Process for over-molding onto crosslinked polymers
A process is described for injection overmolding a second polymer onto a crosslinked profile of a first polymer comprising the steps of: heating at least a portion of the crosslinked profile (previously crosslinked to at least approximately 65%) to a temperature which raises the temperature of a skin of said portion of the crosslinked profile from a first temperature to a second higher temperature (e.g., 112-269° F.) for a duration sufficient to heat the crosslinked portion to the second temperature, the crosslinked profile having been crosslinked from a method selected from the group consisting of radiation and silane; inserting at least a portion of the heated portion at least partially into a mold which defines an overmolding profile; injection molding the second polymer over at least a portion of the heated portion of the crosslinked profile into the void of the mold; and crosslinking the second polymer. The affixation between the heated portion of the crosslinked profile and the second crosslinked polymer passing a hot burst pressure test applicable to an intended end use for said combination at 180° F. without any visible separation between the first and second profiles after the test.
US08329087B2 Method of manufacturing magnetic sheet
A method of manufacturing a magnetic sheet includes a slurry sheet forming step, a local magnetic field applying step, and a slurry curing step. In the slurry sheet forming step, slurry is formed by mixing flat soft magnetic metal powder in a binding material, and a slurry sheet is formed by shaping the slurry into a sheet. In the local magnetic field applying step, only the orientation of the flat soft magnetic metal powder, which exists in the partial area, of the entire flat soft magnetic metal powder mixed in the slurry sheet is unified in a predetermined direction by locally applying a magnetic field to a partial area of the expanded slurry sheet in a predetermined direction. In the slurry curing step, a magnetic sheet is formed by curing the slurry sheet after the local magnetic field applying step.
US08329084B2 Process for the manufacture of a shaped part and shaped part obtainable with said process
The invention relates to a process for the manufacture of a shaped part comprising the steps of—forming a stack by stacking (2) or more sheets comprising mono-layers of unidirectional anti-ballistic fibers and a binder followed by placing the stack in a mould, clamping the stack in the mould with a control member closing the mould—consolidating the stack under temperature and pressure into a curved shaped part. The invention furthermore relates to products obtainable with said process, which are very suitable for use in anti-ballistic applications and include e.g. helmets, curved panels, cones and domes.
US08329082B2 Procedure for producing hollow bodies of thermoplastic material
The invention concerns a process for the production of hollow bodies of thermoplastic material, in particular a process for the production of fuel tanks of plastic material. In the process according to the invention preforms in web or band form of plasticized plastic material are shaped in a multi-part tool forming a mold cavity by expansion of the preforms and causing them to bear against the internal contour of the mold cavity. The process firstly includes the production of two mutually complementary intermediate products in the form of shell portions. Then respective built-in fitment components are secured to the respective insides of the shell portions, which face towards each other in the installation position, wherein at least some built-in fitment components of mutually complementary shell portions are respectively of mutually complementary configuration, in the sense that they can be joined to provide an assembled component or to provide a functional unit. The shell portions are assembled in such a way that the mutually complementary built-in fitment components engage into each other and/or come into operative connecting relationship with each other.
US08329081B2 Method of creating a golf ball with a secondary surface texture feature
A method of making a golf ball having a secondary surface texture created on the fret areas by the dimple making procedure. The secondary surface is created on a hob prior to the primary dimple pattern being formed therein, and which largely obliterates the secondary surface texture except for the fret areas and the outer inside surface of the perimeter of the dimples where the depth of the dimple is less than the depth of the secondary surface texture.
US08329073B2 Variable-orifice prill plate
Prill heads having prilling assemblies that include adjustable openings. Prilling methods using the prilling assemblies can allow for the size of the openings to be varied during processing to alter the size of the prills as desired, or for clogged openings to be cleared, while maintaining operation of the prilling process. The prilling assemblies and prilling methods can be used to produce fertilizer products, including fertilizers comprising ammonium sulfate nitrate.
US08329070B2 Liposomal apparatus and manufacturing method
The present invention provides apparatus and processes for producing liposomes. By providing a buffer solution in a first reservoir, and a lipid solution in a second reservoir, continuously diluting the lipid solution with the buffer solution in a mixing chamber produces a liposome. The lipid solution preferably comprises an organic solvent, such as a lower alkanol.
US08329069B2 Method of fabricating a mold and producing an antireflection film using the mold
A motheye mold fabrication method of at least one embodiment of the present invention includes the steps of: (a) preparing an Al base in which an Al content is less than 99.99 mass %; (b) partially anodizing the Al base to form a porous alumina layer which has a plurality of very small recessed portions; (c) after step (b), allowing the porous alumina layer to be in contact with an etchant which contains an anodic inhibitor, thereby enlarging the plurality of very small recessed portions of the porous alumina layer; and (d) after step (c), further anodizing the Al base to grow the plurality of very small recessed portions.
US08329067B2 Method of producing color filter and color filter
A method of producing a color filter by using the ink jet system. The method includes a step of preparing a specific ink-jet ink for color filter, the ink containing a pigment, a pigment dispersing agent, a binder forming system and a solvent, a step of forming an R ink layer, a G ink layer and a B ink layer respectively by the ink jet system and a step of curing each of the ink layer to form an R pixel, a G pixel and a B pixel, which each have an average film thickness range from 1.5 μm to 2.5 μm and a film thickness distribution range from 1.0 μm to 3.0 μm, wherein the ratio of the total area of the regions having a film thickness of 1.5 μm or less or 2.5 μm or more in one pixel is 5% or less.
US08329066B2 Paste containing aluminum for preparing PDP electrode, method of preparing the PDP electrode using the paste and PDP electrode prepared using the method
Embodiments of the present invention provide a paste for forming a PDP electrode, a method of manufacturing a PDP electrode using the paste, and a PDP including the electrode. The paste includes an aluminum solution containing aluminum particles and a surface treatment agent. The aluminum particles have an average particle size of about 5 μm or less. The surface treatment agent is configured to withstand sintering temperatures of about 550° C. or greater, and remains on the surface of the aluminum particles after sintering. The electrode manufactured from the paste has a specific resistance of about 20 μΩ·cm or less, making it suitable for use as an electrode in a PDP having a reliability of 90% or greater.
US08329064B2 Water-soluble self-acid-doped polyaniline blends
The present invention provides a water-soluble self-acid-doped polyaniline blends, comprising a 70-90% weight percentage polyaniline derivative and 10-30% weight percentage at least a water-soluble polymer. The blend can be used to produce a conductive polymer film and/or a conductive-polymer composite film. In the present invention, a water-soluble self-acid-doped polyaniline derivative is blended with a water-soluble polymer to enhance the mechanical properties and the coating-to-substrate adhesion of the electric conductive polymer film or the electric conductive-polymer composite film, and increase the conductivity of the blender. In addition, the blend containing a water-soluble self-acid-doped polyaniline of the present invention is biotoxicity-free and has free radical-capture capability. Thus it can be used as a biocompatible and conductive biomedical material.
US08329063B2 Low-emission formaldehyde donor preparations
A method of use and a composition with reduced formaldehyde and amine emissions. The composition contains an N-formal, an emission reducing additive, and monoethylene glycol. The emission reducing additive may be urea, a urea derivative, an amino acid, guanidine, or a guanidine derivative.
US08329056B2 Anisotropic rare earth-iron based resin bonded magnet
Anisotropic rare earth-iron based resin bonded magnet comprises: [1] a continuous phase including: (1) a spherical Sm2Fe17N3 based magnetic material covered with epoxy oligomer where its average particle size is 1 to 10 μm, its average aspect ratio ARave is 0.8 or more, and mechanical milling is not applied after Sm—Fe alloy is nitrided; (2) a linear polymer with active hydrogen group reacting to the oligomer; and (3) additive; and [2] a discontinuous phase being an Nd2Fe14B based magnetic material coated with the epoxy oligomer where its average particle size is 50 to 150 μm, and its average aspect ratio ARave is 0.65 or more, further satisfying: [3] the air-gap ratio of a granular compound on the phases is 5% or less; and [4] a composition where crosslinking agent with 10 μm or less is adhered on the granular compound is formed at 50 MPa or less.
US08329055B2 Plasma uniformity control using biased array
Apparatus and method for improving the plasma uniformity in a plasma based system are described. The apparatus may include a plurality of electrical conductors, to which one or more types of electrical potentials may be applied. The conductors may be arranged in an array and may preferably be positioned near the plasma. By applying the bias voltages to the various electrically conductors, the plasma can be manipulated. For example, the conductors may extract or confine the electrons in the plasma, thereby locally adjusting the plasma density near the conductors. In the process, uniformity of the plasma density or ion concentration in the plasma may be improved. In a further embodiment, a magnetic field is included in the same direction as the electric field created by the bias voltage so as to better confine the charged particles.
US08329053B2 Micromachined transducers and method of fabrication
In accordance with an illustrative embodiment, a method of fabricating a transducer is described. The method comprises providing a transducer over a first surface of a substrate, wherein the substrate comprises a thickness. The method further comprises patterning a mask over a second surface. The mask comprises an opening for forming a scribe etch. The method comprises etching through the opening in the mask and into but not through the thickness of the substrate to provide the scribe etch.
US08329048B2 Method for trimming a structure obtained by the assembly of two plates
A method for trimming a structure obtained by bonding a first wafer to a second waver on contact faces and thinning the first waver, wherein at least either the first wafer or the second wafer is chamfered and thus exposes the edge of the contact face of the first wafer, wherein the trimming concerns the first wafer. The method includes a) selecting the second wafer from among wafers with a resistance to a chemical etching planned in b) that is sufficient with respect to the first wafer to allow b) to be carried out; b) after bonding the first wafer to the second wafer, chemical etching the edge of the first wafer to form in the first wafer a pedestal resting entirely on the contact face of the second wafer and supporting the remaining of the first wafer; and c) thinning the first wafer until the pedestal is reached and attacked, to provide a thinned part of the first wafer.
US08329047B2 Method for producing liquid discharge head
The present invention provides a method for producing a liquid discharge head including a silicon substrate having, on a first surface, energy generating elements, and a supply port penetrating the substrate from the first surface to a second surface, which is a rear surface of the first surface of the substrate. The method includes the steps of: preparing the silicon substrate having a sacrifice layer at a portion on the first surface where the ink supply port is to be formed and an etching mask layer having a plurality of openings on the second surface, the volume of a portion of the sacrifice layer at a position corresponding to a portion between two adjacent said openings being smaller than the volume of a portion of the sacrifice layer at a position corresponding to the opening; etching the silicon substrate from the plurality of openings and etching the sacrifice layer.
US08329044B2 Method of treating fluids contaminated with anthrax or legionella using wave energy from a carbon arc
A method of and apparatus for treating liquids flowing in a thin film around a source of wave energy to directly expose the liquid to the wave energy, preferably generated in whole or part by an electrical arc between carbon electrodes. In addition to the wave energy generated by the electrical arc, energy generated by cavitation of the flowing liquid may be used in treating the liquid.
US08329039B2 Magnetic separation of substances on the basis of the different surface charges thereof
The present invention relates to a process for separating at least one first material from a mixture comprising this at least one first material and at least one second material, which comprises the steps: (A) production of a suspension of the mixture comprising at least one first material and at least one second material and at least one magnetic particle in a suitable suspension medium, (B) setting of the pH of the suspension obtained in step (A) to a value at which the at least one first material and the at least one magnetic particle bear opposite surface charges so that these agglomerate, (C) separation of the agglomerate obtained in step (B) from the suspension by application of a magnetic field and (D) dissociation of the agglomerate separated off in step (C) by setting of the pH to a value at which the at least one first material and the at least one magnetic particle bear the same surface charges in order to obtain the at least one first material.
US08329038B2 Methods and apparatus for making a chromatography column
Methods for depositing a stationary phase in a tube for chromatography. The resulting column may be a variable gradient column or a standard open tubular column with a uniform thickness stationary phase. The methods include providing a tube comprising an electrically conductive interior surface and having a composition within the tube, the composition comprising a stationary phase precursor and at least one of an electrolyte and a reactant precursor, and electrochemically reacting the reactant precursor or the stationary phase precursor to form a stationary phase in the tube.
US08329034B2 Functionalized nonwoven article
A grafted nonwoven substrate is disclosed having average fiber sizes of 0.7 to 15 microns, and a void volume of 50 to 95%, and a polymer comprising anionic monomer units grafted to the surface of the nonwoven substrate. The article may be used as a filter element to purify or separate target materials, such as monoclonal antibodies (MAb), from a fluid mixture.
US08329033B2 Fuel supply device, particularly for an internal combustion engine
A fuel supply device (1), particularly for an internal combustion engine (6), is equipped with a particulate filter and a water trap. In order to improve the separation effect, while simultaneously reducing the separation material that is required, it is proposed to configure the water trap (5, 8, 30) as a separate component connected downstream of the fuel filer (4) and to provide it with a first separation stage made of coalescent material and a second separation stage made of hydrophobic material, and to dispose the separation stages such that between them the fuel is diverted.
US08329029B2 Selective desulfurization of naphtha using reaction inhibitors
A reaction inhibitor can be used to reduce catalyst activity at the beginning of a naphtha selective hydrodesulfurization process. The use of the reaction inhibitor can allow greater flexibility in selecting the reaction conditions to accommodate both the start and end of the hydrodesulfurization process. The reaction inhibitor can be removed during the hydrodesulfurization process, possibly in conjunction with modification of the reaction temperature, in order to maintain a substantially constant amount of sulfur in the naphtha product.
US08329018B2 Metal plating compositions and methods
Disclosed are metal plating compositions and methods. The metal plating compositions provide good leveling performance and throwing power.
US08329017B2 Method for electrochemically structuring a conductive or semiconductor material, and device for implementing it
The invention relates to a method and to a device for electrochemical micro- and/or nano-structuring, which are reliable, fast, simple, easy to implement, and reproducible. For this purpose, the invention provides a method of electrochemically structuring a sample (12) of conductive or semiconductor material that has opposite front and rear faces (11 and 13). The method comprises the steps consisting: in putting at least the front face (11) of the sample (12) into contact with at least one electrolytic solution (4) stored in at least one tank (3); in placing at least one counter-electrode (6) in an electrolyte (4) in register with the front face (11) of the sample (12), said front face (11) being for structuring; in placing at least one working electrode (7) presenting structuring patterns (14) into dry ohmic contact with the rear face (13) of the sample (12); and in applying an electric current between at least one counter-electrode (6) and at one least working electrode (7) that are substantially in register with each other in order to obtain an electrochemical reaction at the interface between the front face (11) of the sample (12) and the electrolyte (4) with current density that is modulated by the structuring patterns (14) of the working electrode (7) in order to perform etching and/or deposition on the front face (11) of the sample (12).
US08329007B2 Hearing aid dehumidifier and disinfectant chamber
A hearing aid dehumidifier and disinfectant chamber. The chamber comprises an enclosure, including a vapor permeable wall, adapted to removably enclose a hearing aid. In vapor transmitting relationship with the vapor permeable wall is an electrochemical ozone generator, which is designed to draw water vapor from inside the enclosure and electrolyze it into at least ozone, which is discharged into the enclosure. Drawing the water vapor from the enclosure dries the hearing aid. The electrochemical action ceases when all water vapor is removed. Bathing the hearing aid in ozone for at least until the ozone decomposes, sterilizes the hearing aid, inside and out.
US08328997B2 Chemical synthesis comprising heat treatment by intermittent dielectric heating combined with a recycling system
This invention relates to the design of a process by intermittent dielectric heating combined with a recycling system.This process consists in subjecting reagents to electromagnetic waves selected in the frequencies ranging between 300 GHz and 3 MHz intermittently using a recycling system.This process enables the treatment of oils that are hardly absorbent as well as great investment savings.This process enables operation on different scales, whether in laboratories, on a semi-industrial or industrial scale, without forfeiting the advantages of continuous dielectric heating.
US08328995B2 Method for producing a distillate stream from a water stream containing at least one dissolved solid
A method and a system to produce a distillate stream from an aqueous stream containing at least one dissolved solid by a thermal distillation process using at least one of a heated aqueous stream from a turbine system intercooler and a stack heater as a heat source.
US08328990B2 Structured forming fabric, papermaking machine and method
A fabric for a papermaking machine, the fabric including a machine facing side and a web facing side having pockets formed by warp and weft yarns. Each pocket is defined by four sides on the web facing side, two of the four sides each formed by a warp knuckle of a single warp yarn that passes over three consecutive weft yarns to define the warp knuckle, the other two of the four sides each formed by a weft knuckle of a single weft yarn that passes over three consecutive warp yarns to define the weft knuckle, a lower surface of each pocket being formed by first and second lower warps yarns and first and second lower weft yarns, a first warp knuckle being of the first warp yarn passed over by a first weft knuckle and the first lower warp yarn being of the second warp yarn passed over by the first weft knuckle and the second lower warp yarn being of the third warp yarn passed over the first weft knuckle, a second weft knuckle being of the first weft yarn passed over by the first warp knuckle and the second lower weft yarn being of the second weft yarn passed over by the first warp knuckle and the first lower weft yarn being of the third weft yarn passed over by the first warp knuckle, the first lower warp yarn passing under the first and second lower weft yarns, and the second lower warp passing over the first lower weft yarn and under the second lower weft yarn.
US08328984B2 Web substrate having optimized emboss design
The present disclosure provides for a web substrate having at least one embossed ply having a surface thereof. The surface has surface area comprising from about 0.0 percent to about 1.2 percent dot embossments and from about 5.0 percent to about 20.0 percent line embossments.
US08328982B1 Low-temperature, converging, reactive gas source and method of use
Devices and methods for generating a low-temperature, atmospheric pressure plasma are disclosed. A plasma device may include a first electrode having an inlet for a gas, a second electrode having an outlet for the gas and disposed proximate to the first electrode to form a substantially uniform gap therebetween. The gas flows along the substantially uniform gap and from a plurality of different directions to converge and flow through the outlet. High frequency electrical power is applied between the first electrode and the second electrode to generate a plasma within the gas flow emerging at the outlet. Precursor chemicals are added to the plasma flow at the outlet. Various electrode designs may be implemented and various applications involving surface treatment of materials with the low-temperature atmospheric plasma, including surface activation, cleaning, sterilization, etching and deposition of thin films are disclosed.
US08328980B2 Apparatus and methods for enhanced fluid delivery on bevel etch applications
An apparatus to supply a plurality of process fluids for processing a substrate in a semiconductor processing chamber is disclosed. The apparatus includes a plurality of process fluid supply valves and a fluid supply network that is defined between a crossover valve and a tuning supply valve. The apparatus further includes a tuning fluid supply being connected to the fluid supply network through the tuning supply valve. Further included with the apparatus is a plurality of process fluids that are connected to the fluid supply network through the plurality of process fluid supply valves. A process chamber that has a substrate support is also included in the apparatus. The process chamber further including an edge fluid supply and a center fluid supply, the edge fluid supply connected to the fluid supply network through an edge enable valve and the center supply connected to the fluid supply network through a center enable valve. Wherein the crossover valve, edge enable valve, and center enable valve allow one of tuning fluid or process fluids to flow to one of the edge fluid supply or the center fluid supply.
US08328977B2 Method for enhancing adherence of carbon fibers with respect to an organic matrix
The invention relates to a process for improving the adhesion of carbon fibres with regard to an organic matrix forming a composite material with these fibres, this composite material being obtained by bringing the fibres into contact with a resin which can be cured by chain polymerization and then polymerizing the resin, which process is characterized in that it comprises the grafting, to the surface of the fibres, before they are brought into contact with the resin, of groups capable of acting as chain transfer agents during the polymerization of said resin.Fields of application: aeronautical, aerospatial, railway, ship building and automobile industries but also the armaments industry, the industry of sports and leisure articles, and the like.
US08328974B2 Film sticking apparatus and film sticking method
The film sticking apparatus includes: a gripping member; a pressing member pressing the adhesive face of the film being held in a planar state against one end face of a cylindrical honeycomb structure having a plurality of cells, and thus sticking the film to the one end face; and a folding member having an opening portion formed that allows an end of the honeycomb structure on the side to which the film is stuck to be inserted, wherein the folding member folds a protruding portion of the film protruding from the one end face of the honeycomb structure by inserting the honeycomb structure into the opening portion, toward a side face of the honeycomb structure, and simultaneously presses at least a part of the folded protruding portion against a part of the side face of the honeycomb structure to stick the film to the side face.
US08328971B2 Laminated steel with compliant viscoelastic core
Laminated metallic sheets which comprise an interior layer of a viscoelastic polymeric compound sandwiched between outer layers of metallic sheet may exhibit enhanced properties through modification of the viscoelastic phase. In a first embodiment the elastic modulus of the viscoelastic polymeric compound may be reduced by incorporating voids, bubbles or pores within the compound. These voids which may be introduced by introduction of polymeric microspheres are effective in reducing the internal stresses induced in the laminate by temperature changes. In a second embodiment, coating the microspheres with an electrically-conductive layer may be effective in enabling resistance spot welding of such laminated materials.
US08328970B2 Drywall joint compound applicator for seam and patch surfacing
A drywall patch is coated with a premeasured amount of joint compound in its wet state making it immediately available for application to a wall surface. The patch with compound is sealed in an airtight wrapper or between unsealed wrapper halves which are in turn sealed in a surrounding airtight package so that the joint compound stays moist and will not harden over time. The wrapper includes a peel-away adhesive dry patch. In use, the dry patch is first applied over a crack or hole to be repaired. The wrapper and compound are applied directly over the dry patch and, while the wrapper remains in place, pressure is applied to smooth the compound over the patch and removed after the compound has dried. The wrapper is preferably composed of microporous breathable plastic film.
US08328968B2 Microporous composite sheet material
Disclosed herein are methods of preparing a composite sheet material having strength and barrier properties suitable for use as a housewrap, the methods comprising extrusion coating a polyolefin film layer onto a surface of an area-bonded, spunbond nonwoven substrate to form a composite sheet material, the composite sheet material having a grab tensile strength of at least 178 Newtons in at least one of the machine direction (MD) or the cross-machine direction (CD), and stretching the composite sheet material to impart to the composite sheet material a hydrostatic head of at least 100 cm, and a moisture vapor transmission rate (MVTR) of at least 35 g/m2/24 hr at 50% relative humidity and 23° C.
US08328963B2 Aluminum alloy sheet superior in paint baking hardenability and invulnerable to room temperature aging, and method for production thereof
An aluminum alloy sheet of specific Al—Mg—Si composition, which, owing to preliminary aging treatment under adequate conditions, has a specific metallographic structure in which there are a large number of clusters of specific size (each being an aggregate of atoms) expressed in terms of number density, which, when observed under a transmission electron microscope of 1,000,000 magnifications, appear as dark contrast in the bright field image. It is superior in paint baking hardenability and is invulnerable to room temperature aging during storage for a comparatively long period of 1 to 4 months.
US08328962B2 Damping apparatus, use of a shape memory alloy and method for changing damping characteristics
A damping and shock absorbing method and apparatus for permanent or non-permanent use in the human body and having a shape memory alloy material cycled through stress-strain hysteresis to dissipate energy for effective damping. A sufficiently high pre-stress is applied to the damping element(s) to ensure that the damping working range is within the superelastic cycle. The damping apparatus can work in tension or compression or both in tension and compression. Moreover, damping elements from a shape memory alloy can also work in flexion and extension as well in rotation. The damping apparatus can have a stroke and force suitable for use in the human body by the design, the structure and the chemical composition of the shape memory alloy and their pre-set properties, such as plateau stresses of the superelastic cycle depend on the ambient temperature, the force of damping elements can also be changed in-situ by changing the temperature of the damping elements. The damping elements made out of a shape memory alloy can be combined with elastic elements made out of other materials to achieve stress-strain behavior more suitable for use in the individual human body.
US08328959B2 Lever-type cam follower
The invention proposes a lever-type cam follower (1) made without chip removal out of a sheet steel for use in a valve train of an internal combustion engine for actuating at least one gas exchange valve. This lever-type cam follower (1) is characterized by being made of a cold-forming, core-hardening heat-treatable steel.